JP2009076881A - 処理ガス供給システム及び処理装置 - Google Patents

処理ガス供給システム及び処理装置 Download PDF

Info

Publication number
JP2009076881A
JP2009076881A JP2008208782A JP2008208782A JP2009076881A JP 2009076881 A JP2009076881 A JP 2009076881A JP 2008208782 A JP2008208782 A JP 2008208782A JP 2008208782 A JP2008208782 A JP 2008208782A JP 2009076881 A JP2009076881 A JP 2009076881A
Authority
JP
Japan
Prior art keywords
gas
processing
passage
supply system
flow rate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
JP2008208782A
Other languages
English (en)
Inventor
Kenji Matsumoto
賢治 松本
Hitoshi Ito
仁 伊藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2008208782A priority Critical patent/JP2009076881A/ja
Priority to KR1020107001252A priority patent/KR101140476B1/ko
Priority to CN2008801011449A priority patent/CN101765680B/zh
Priority to US12/675,222 priority patent/US20110139272A1/en
Priority to PCT/JP2008/065430 priority patent/WO2009028619A1/ja
Publication of JP2009076881A publication Critical patent/JP2009076881A/ja
Ceased legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D11/00Control of flow ratio
    • G05D11/02Controlling ratio of two or more flows of fluid or fluent material
    • G05D11/13Controlling ratio of two or more flows of fluid or fluent material characterised by the use of electric means
    • G05D11/131Controlling ratio of two or more flows of fluid or fluent material characterised by the use of electric means by measuring the values related to the quantity of the individual components
    • G05D11/132Controlling ratio of two or more flows of fluid or fluent material characterised by the use of electric means by measuring the values related to the quantity of the individual components by controlling the flow of the individual components
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/7722Line condition change responsive valves
    • Y10T137/7837Direct response valves [i.e., check valve type]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems

Abstract

【課題】処理ガスの濃度を数ppb〜数100ppbレベルの極めて低い濃度で精度良く制御することができる処理ガス供給システムを提供する。
【解決手段】ガス使用系4に対して希釈ガスにより希釈された処理ガスを供給する処理ガス供給システム2において、処理ガスタンク10と、希釈ガスタンク12と、処理ガスタンクとガス使用系とを接続する主ガス通路14と、主ガス通路に介設した複数の流量制御器FC1、FC5と、希釈ガスタンクから延びると共に、複数の流量制御器の内の最下流側の流量制御器以外の各流量制御器の直ぐ下流側の主ガス通路に接続された希釈ガス通路16と、希釈ガス通路に介設される流量制御器FC2と、複数の流量制御器の内の最上流側の流量制御器以外の各流量制御器の直ぐ上流側の主ガス通路に接続されて余剰な希釈された処理ガスを排出する余剰ガス排出通路24とを備える。
【選択図】図1

Description

本発明は、半導体ウエハ等の被処理体に対してアニール処理や成膜処理等の所定の処理を施す処理装置及びこれに用いる処理ガス供給システムに係り、特に処理ガスを希釈ガスにより数ppbレベル〜数100ppbレベルの非常に希釈率の高い領域で精度良く希釈して供給することが可能な処理ガス供給システム及び処理装置に関する。
一般に、半導体デバイスを製造するには、半導体ウエハに成膜処理、エッチング処理、アニール処理、酸化拡散処理、改質処理等の各種の処理を繰り返し行って所望のデバイスを製造するが、半導体デバイスの更なる高集積化及び高微細化の要請より、線幅やホール径が益々微細化されている。
このような状況下において、上述したような各種の処理を実施するに際して、プロセス条件である、例えばプロセス温度やプロセス圧力等はより厳しく精度の高い制御が要求されるが、これと同時にプロセスガスである処理ガスに関してもより厳しく精度の高い流量制御が求められ、特に、微量な処理ガスを供給する場合においては、この処理ガスの微細量を精度良く流量制御しつつ供給することが求められる場合がある。
例えば最近にあっては、配線材料やトレンチ、ホールなどの凹部内への埋め込み材料として電気抵抗の小さな銅を用いる場合があり(特許文献1等)、この時のバリア膜として従来のTa膜やTaN膜に代えてMn膜やCuMn合金膜を用いた自己形成バリア層が注目されている(特許文献2)。このMn膜やCuMn合金膜は、スパッタリングにより成膜されて、更にこのMn膜やCuMn合金膜自体がシード膜となるので、この上方にCuメッキ層を直接形成することができる。Cuメッキ後にアニールを施すことでMn膜やCuMn合金膜中のMn成分が自己整合的に下層の絶縁膜であるSiO 層と反応して、このSiO 層とメッキしたCu層との境界部分にMnSixOy(x、y:任意の自然数)膜、或いはマンガン酸化物MnxOy(x、y:任意の自然数)膜というバリア膜が形成されるため、製造工程数も削減できる、という利点を有する。
上述したようなMn膜やCuMn合金膜による自己形成バリア膜を用いたCu配線の信頼性を向上させるためにはCu層の形成後に、これをO 雰囲気中でアニール処理してMn膜やCuMn合金膜中の余分なMnをCu層上に排出させる必要がある。この際、MnのCu表面への排出度合いをコントロールするためには、上記O 雰囲気のO 濃度を数ppbから数100ppbレベルという非常に低い値に設定することが必要であり、且つこれを精度良く制御することが望まれる。
また他の例としては、上記Mn膜またはMn含有膜を形成する場合には、例えばMnを含む有機金属材料と僅かな水分を用いて、例えばCVD(Chemical Vapor Deposition)法により形成するが、このときに必要とされる水分は非常に微量であり、信頼性の高いMnxOyバリア膜を形成するためにはこの水分量を数ppbから数100ppbレベルで精度良く流量制御する必要がある。
上述したような微量な流量で処理ガスを供給するには、一般的には上記処理ガスを微少な流量で流しつつ、これを多量のArやN 等の希釈ガスで希釈してこの希釈処理ガスを供給することが行われている(特許文献3等)。
特開2004−107747号公報 特開2005−277390号公報 特表2006−521707号公報
ところで、上述のように、微少量の処理ガスを流しつつこれを多量の希釈ガスで希釈するようにした場合には、処理ガスの濃度を上述したような数ppb〜数100ppbレベルの極めて低い濃度で精度良く制御することはマスフローコントローラのような流量制御器の特性上非常に困難であった。また、非常に多くの希釈ガスを流さなければ所望の濃度の希釈処理ガスを作ることができないことと、希釈処理ガスのうち必要とされる流量以外の余剰分を廃棄しなければならず、非効率的でガスのコストが高いという問題点があった。
本発明は、以上のような問題点に着目し、これを有効に解決すべく創案されたものである。本発明の目的は、処理ガスの濃度を、数ppm〜数100ppmレベルの低い濃度で、或いは数ppb〜数100ppbレベルの極めて低い濃度で精度良く制御することができる処理ガス供給システム及び処理装置を提供することにある。また本発明の別の目的は、処理ガスを再利用することでガスのコストを低減することにある。
請求項1に係る発明は、ガス使用系に対して希釈ガスにより希釈された処理ガスを供給する処理ガス供給システムにおいて、前記処理ガスを貯留する処理ガスタンクと、前記希釈ガスを貯留する希釈ガスタンクと、前記処理ガスタンクと前記ガス使用系とを接続する主ガス通路と、前記主ガス通路に介設した複数の流量制御器と、前記希釈ガスタンクから延びると共に、前記複数の流量制御器の内の最下流側の流量制御器以外の各流量制御器の直ぐ下流側の主ガス通路に接続された希釈ガス通路と、前記希釈ガス通路に介設される流量制御器と、前記複数の流量制御器の内の最上流側の流量制御器以外の各流量制御器の直ぐ上流側の主ガス通路に接続されて余剰な希釈された処理ガスを排出する余剰ガス排出通路と、を備えたことを特徴とする処理ガス供給システムである。
このように、処理ガスタンクから主ガス通路内を流量制御されつつ流れてきた処理ガスを、希釈ガス通路内に流量制御されつつ流れてきた希釈ガスにより希釈して希釈処理ガスを流量制御して供給しつつ余剰な希釈処理ガスを排出するようにしたので、処理ガスの濃度を数ppb〜数100ppbレベルの極めて低い濃度で精度良く制御することができる。
この場合、例えば請求項2に記載したように、前記処理ガスタンク内には、純粋な処理ガス、或いは希釈ガスにより所定の濃度に希釈された処理ガスが収容されている。
特に、処理ガスタンク内に、希釈ガスにより予め所定の濃度に希釈された処理ガスを収容しておくことにより、更に低い濃度で精度良く制御することができる。
また例えば請求項3に記載したように、前記余剰ガス排出通路は、該余剰ガス排出通路から排出された余剰ガスの全部、或いは一部を前記希釈ガスとして再利用するために再利用ガス通路を介して前記希釈ガス通路側へ接続されている。
このように、排出された余剰ガスの全部、或いは一部を再利用することにより、ガスのコストを低減して運転費用を削減することができる。
また例えば請求項4に記載したように、前記余剰ガス排出通路は、該余剰ガス排出通路から排出される余剰ガスの全部、或いは一部を前記ガス使用系の真空ポンプに対するパージガスとして再利用するために再利用ガス通路を介して前記ガス使用系の真空ポンプ側へ接続されている。
また例えば請求項5に記載したように、前記再利用ガス通路には、該再利用ガス通路に流れる希釈された処理ガス中から処理ガスを吸収して除去し、希釈ガスを排出する処理ガス除去フィルタが介設されている。
また例えば請求項6に記載したように、前記ガス使用系の直前の前記主ガス通路、或いは前記ガス使用系には、前記処理ガスの濃度を測定する濃度測定器が設けられると共に、該濃度測定器の検出値に基づいて前記流量制御器をフィードバック制御するフィードバック制御部が設けられる。
このように、ガス使用系に導入される処理ガスの濃度を検出してフィードバック制御するようにしたので、処理ガスの濃度を極めて低い濃度で精度良く安定的に制御することができる。
また例えば請求項7に記載したように、前記フィードバック制御が行われる流量制御器は、前記主ガス通路に介設された前記流量制御器である。
また例えば請求項8に記載したように、前記フィードバック制御が行われる流量制御器は、前記希釈ガス通路に介設された前記流量制御器である。
また例えば請求項9に記載したように、前記希釈ガス通路の前記主ガス通路に対する各々の接続部には、混合器が設けられている。
また例えば請求項10に記載したように、前記処理ガスは、O ガスである。
また例えば請求項11に記載したように、前記希釈ガスは、N ガス及び希ガスよりなる群より選択される1以上のガスよりなる。
請求項12に係る発明は、ガス使用系に対して希釈ガスにより希釈された処理ガスを供給する処理ガス供給システムにおいて、前記処理ガスの液体原料を貯留する液体原料タンクと、前記希釈ガスを貯留する希釈ガスタンクと、前記液体原料タンクと前記ガス使用系とを接続する主ガス通路と、前記主ガス通路に介設した流量制御器と、前記希釈ガスタンクから延びると共に、前記流量制御器の下流側の主ガス通路に接続された希釈ガス通路と、を備えたことを特徴とする処理ガス供給システムである。
このように、処理ガスの原料が液体原料の場合には、この液体原料を貯留する液体原料タンクから発生する処理ガスを流量制御しつつ流しながら流量制御された希釈ガスにより希釈するようにしたので、処理ガスの濃度を数ppm〜数100ppmレベルの低い濃度で精度良く制御することができる。
この場合、例えば請求項13に記載したように、前記液体原料タンクからは、貯留されている前記液体原料の蒸気圧によって発生した処理ガスが流されて行く。
請求項14に係る発明は、ガス使用系に対して希釈ガスにより希釈された処理ガスを供給する処理ガス供給システムにおいて、前記処理ガスの液体原料を貯留する液体原料タンクと、前記希釈ガスを貯留する希釈ガスタンクと、前記液体原料タンク内へ流量制御器により流量制御された希釈ガスを供給してバブリングにより前記液体原料を気化させて前記処理ガスを形成するバブリング機構と、前記液体原料タンクと前記ガス使用系とを接続する主ガス通路と、前記希釈ガスタンクから延びて前記主ガス通路に接続された希釈ガス通路と、前記希釈ガス通路に介設された流量制御器と、を備えたことを特徴とする処理ガス供給システムである。
このように、処理ガスの原料が液体原料の場合には、この液体原料を貯留する液体原料タンク内に流量制御した希釈ガスを導入してバブリングにより処理ガスを形成し、この処理ガスを流量制御された希釈ガスにより更に希釈するようにしたので、処理ガスの濃度を数ppm〜数100ppmレベルの低い濃度で精度良く制御することができる。
この場合、例えば請求項15に記載したように、前記主ガス通路に介設された流量制御器と、該流量制御器の直ぐ上流側の主ガス通路に接続されて余剰な希釈された処理ガスを排出する余剰ガス排出通路と、を有する。
また例えば請求項16に記載したように、前記主ガス通路に介設された複数の流量制御器と、前記複数の流量制御器の内の最下流側の流量制御器以外の各流量制御器の下流側の主ガス通路に接続された希釈ガス通路と、前記希釈ガス通路に介設された流量制御器と、前記複数の流量制御器の内の最上流側の流量制御器以外の各流量制御器の直ぐ上流側の主ガス通路に接続されて余剰な希釈された処理ガスを排出する余剰ガス排出通路と、を有する。
このように、希釈ガス通路と余剰ガス排出通路とを複数段に亘って設けて、処理ガスの希釈と余剰ガスの排出とを繰り返しながら複数段に亘って希釈を繰り返すようにしたので、処理ガスの濃度を更に低い濃度領域で精度良く制御することができる。また多段構成とすることで、希釈ガスの総使用量を少なくすることもできる。
また例えば請求項17に記載したように、前記液体原料タンクの直ぐ下流側の主ガス通路には圧力調整弁機構が設けられている。
また例えば請求項18に記載したように、前記処理ガスは、水蒸気(H O)である。
請求項19に係る発明は、ガス使用系に対して希釈ガスにより希釈された処理ガスを供給する処理ガス供給システムにおいて、処理ガスを形成する処理ガス形成部と、希釈ガスを貯留する希釈ガスタンクと、前記処理ガス形成部と前記ガス使用系とを連通する主ガス通路と、前記主ガス通路に介設される1又は複数の流量制御器と、前記希釈ガスタンクから延びると共に前記1又は複数の流量制御器の上流側の主ガス通路に接続された希釈ガス通路と、前記希釈ガス通路に介設された流量制御器と、前記1又は複数の流量制御器の直ぐ上流側の主ガス通路に接続されて余剰な希釈された処理ガスを排出する余剰ガス排出通路と、を備えたことを特徴とする処理ガス供給システムである。
このように、処理ガス形成部から主ガス通路内を流れてきた処理ガスを、希釈ガス通路内を流量制御されつつ流れてきた希釈ガスにより希釈して希釈処理ガスを流量制御して供給しつつ余剰な希釈処理ガスを排出するようにしたので、処理ガスの濃度を数ppb〜数100ppbレベルの極めて低い濃度で精度良く制御することができる。
この場合、例えば請求項20に記載したように、前記処理ガス形成部は、前記処理ガスを形成するための複数の原料ガスを個別に流量制御しつつ供給する原料ガス供給系と、前記原料ガス供給系からの前記複数の原料ガスを反応させて前記処理ガスを形成する反応部と、を有する。
このように、処理ガス形成部では、処理ガスを形成するための複数の原料ガスを供給しつつこれらの原料ガスを反応部で反応させて処理ガスを形成するようにしたので、ここで形成される処理ガスの純度を高く維持すると共に、濃度を精度良く制御することができる。
また例えば請求項21に記載したように、前記複数の原料ガスはH ガスとO ガスであり、前記処理ガスは水蒸気(H O)である。
また例えば請求項22に記載したように、前記ガス使用系の直前の前記主ガス通路、或いは前記ガス使用系には、前記処理ガスの濃度を測定する濃度測定器が設けられると共に、該濃度測定器の検出値に基づいて前記流量制御器をフィードバック制御するフィードバック制御部が設けられる。
また例えば請求項23に記載したように、前記フィードバック制御が行われる流量制御器は、前記主ガス通路に介設された前記流量制御器、或いは前記処理ガス形成部に設けられた流量制御器である。
また例えば請求項24に記載したように、前記フィードバック制御が行われる流量制御器は、前記希釈ガス通路に介設された前記流量制御器である。
また例えば請求項25に記載したように、前記希釈ガス通路の前記主ガス通路に対する各々の接続部には、混合器が設けられている。
また例えば請求項26に記載したように、前記希釈ガスは、N ガス及び希ガスよりなる群より選択される1以上のガスよりなる。
また例えば請求項27に記載したように、前記ガス使用系は、被処理体の表面に薄膜の成膜処理を行う成膜チャンバ、或いは薄膜が形成された被処理体に対してアニール処理を行うアニールチャンバである。
また例えば請求項28に記載したように、前記薄膜はCuMn膜、高誘電率膜、Mn膜、及びMn含有膜の内のいずれか1つである。
また例えば請求項29に記載したように、最下流側の前記流量制御器の下流側の主ガス通路には、前記ガス使用系をバイパスして前記処理ガスを流して廃棄させる廃棄ガス排出通路が接続されている。
また例えば請求項30に記載したように、前記余剰ガス排出通路には、前記処理ガスの圧力が所定の圧力以上になると開動作する逆止弁が介在されている。
また例えば請求項31に記載したように、前記余剰ガス排出通路には、前記逆止弁よりも上流側にニードル弁が介在されている。
また例えば請求項32に記載したように、前記主ガス通路のガス圧力を測定する圧力計と、前記余剰ガス排出通路の途中に介設された圧力調整弁と、前記圧力計の測定値に基づいて前記圧力調整弁の弁開度を制御する弁制御部と、を備える。
また例えば請求項33に記載したように、前記主ガス通路において、前記余剰ガス排出通路の接続点と、該接続点よりも下流側における前記希釈ガス通路の接続点との間の主ガス通路部分は、他の主ガス通路部分よりもその内径が細くなされている。
また例えば請求項34に記載したように、前記主ガス通路には、処理ガス中の酸素濃度を測定するジルコニア式の濃度測定器を設け、該ジルコニア式の濃度測定器の検出値に基づいて前記流量制御器を制御するフィードバック制御部を設けるように構成する。
また例えば請求項35に記載したように、前記主ガス通路には、前記ジルコニア式の濃度測定器をバイパスさせるために開閉弁が介設された測定器バイパス管が設けられている。
請求項36に係る発明は、被処理体に対して所定の処理を施す処理装置において、前記被処理体を1枚、或いは複数枚収容することが可能な処理容器と、前記処理容器内で前記被処理体を保持する保持手段と、前記処理容器内へガスを導入するためのガス導入手段と、前記処理容器内を真空引きする真空排気系と、前記被処理体を加熱する加熱手段と、前記処理容器内へ希釈ガスにより希釈された処理ガスを供給するために前記ガス導入手段に接続された請求項1乃至35のいずれか一項に記載された処理ガス供給システムと、を備えたことを特徴とする処理装置である。
また例えば請求項37に記載したように、前記真空排気系には、途中に開閉弁と真空ポンプが介設された主排気通路と、前記真空ポンプを迂回するように前記主排気通路に接続されると共に途中に開閉弁が開設された大気圧処理用のバイパス排気通路とを有する。
本発明に係る処理ガス供給システム及び処理装置によれば、次のように優れた作用効果を発揮することができる。
処理ガスの濃度を、数ppm〜数100ppmレベルの低い濃度で、或いは数ppb〜数100ppbレベルの極めて低い濃度で精度良く制御することができる。
特に請求項3に係る発明によれば、処理ガスを再利用することにより、ガスのコストを低減して運転費用を削減することができる。
以下に、本発明に係る処理ガス供給システム及び処理装置の好適な一実施例を添付図面に基づいて詳述する。
以下に説明する各実施例においては、ガス使用系としては半導体ウエハ等の被処理体に対して成膜処理やアニール処理等の各種の処理を施す処理装置を例にとって説明する。また、処理ガスとしてはO ガス或いは水蒸気(H O)を用い、希釈ガスとしては希ガスの中のArガスを用いた場合を例にとって説明する。
<第1実施例>
図1は処理装置に接続された本発明に係る処理ガス供給システムの第1実施例を示す構成図である。
図1に示すように、ガス使用系としては上述したように処理装置4が用いられており、この処理ガス供給システム2は、半導体ウエハ等の被処理体に対して成膜処理やアニール処理等の各種の処理を施すために上記処理装置4のガス導入手段6に接続されている。そして、この処理装置4内は、真空ポンプ8により真空引きされて減圧雰囲気になされている。
上記処理ガス供給システム2は、上記処理装置4で用いる処理ガスを貯留する処理ガスタンク10と、この処理ガスを希釈する希釈ガスを貯留する希釈ガスタンク12とを有している。この場合、この処理ガスタンク10や希釈ガスタンク12には、それぞれの原料が液体で貯留されていてもよいし、気体で貯留されていてもよい。
また、これらの両タンク10、12としては、上記処理装置4が設置される半導体製造工場に、工場設備として常設されているタンク設備を用いてもよいし、或いは搬送が可能な可動式のタンク設備を用いてもよい。以上の点は、後述する他の実施例においても同様である。
特に、この第1実施例においては、上記希釈ガスタンク12内には、濃度が実質的に100%の純粋な希釈ガスが貯留されており、上記処理ガスタンク10内には、希釈ガスにより所定の濃度に希釈された処理ガスが貯留されている。ここで前述したように、希釈ガスとしてはArガスが用いられ、処理ガスとしてはO ガスが用いられている。従って、上記処理ガスタンク10内にはArガスで希釈されたO ガスが充填されており、O の含有量は例えば500ppm程度に設定されている。この程度のO 濃度の希釈処理ガスは、ガス製造会社において精度良く比較的容易に製造することができ、ここでは処理ガスタンク10として可動式のタンク設備が用いられており、希釈ガスタンク12としては工場設備の固定式のタンク設備が用いられている。
そして、上記処理ガスタンク10と上記処理装置4のガス導入手段6とを接続するようにして主ガス通路14が設けられている。そして、この主ガス通路14の途中には、複数、図示例では2個の流量制御器FC1、FC5が上流側から下流側に向けて順次介設されている。また上記希釈ガスタンク12から延びるようにして希釈ガス通路16が設けられ、この希釈ガス通路16の先端は上記主ガス通路14に接続されている。
具体的には、ここでは上記希釈ガス通路16は1本であり、その先端は、最下流側の流量制御器FC5以外の他の流量制御器、ここでは最上流側の流量制御器FC1の直ぐ下流側の主ガス通路14に接続されており、処理ガスタンク10側から流れてくる希釈処理ガスを、新たに導入される希釈ガスにより更に薄く希釈するようになっている。
そして、この主ガス通路14と希釈ガス通路16の接続部は図2に示すようになっており、例えば図2(A)に示すように、主ガス通路14の一部を縮径してこの部分に希釈ガス通路16を連結しベンチュリ効果を持たせたものや、さらに好ましくは、図2(B)〜図2(F)に示すように両ガスの混合を促進させるための混合器18を有していてもよい。この混合器18は、図2(B)、図2(C)に示すように、ある程度の大きさの容量を持った容器本体18Aを有しており、この容器本体18Aの一端から主ガス通路14内を流下する処理ガスを導入し、他端から処理ガスを下流に向けて流出させると共に、希釈ガス通路16内を流下する希釈ガスにより容器本体18A内で上記処理ガスを希釈するようになっている。
この場合、上記希釈ガス通路16の端部は、図2(B)に示すように容器本体18Aの側面に接続するようにしてもよいし、図2(C)に示すように、上流側から延びてくる主ガス通路14の接続端面と同じ端面に接続するようにしてもよい。また容器本体18A内に、SUS(ステンレススチール)或いはセラミックのボールを詰めたり、各種フィルタメディアを設置してもよい。さらに図2(D)〜図2(F)に示すように、容器本体18A内に複数の穴を設けた穴開きバッフル板18Bを設置したり(図2(D))、オリフィス孔を有するオリフィス板18Cを設置したり(図2(E))、主ガス通路14の配管の一部を容器本体18A内に延長し、この配管に複数の穴を設けてこれを穴開き管18Dとして形成する(図2(F))ようにしても良い。以上説明した希釈ガス通路と主ガス通路14との接続部に関する事項は、これ以降説明する全ての実例において同様に適用される。
そして、上記希釈ガス通路16の途中には、流量制御器FC2が介設されており、希釈ガスの流量を制御しつつ流し得るようになっている。ここで用いられる流量制御器FC1、FC2、FC5としては、マスフローコントローラや絞り流量計や音速ノズルを用いた圧力制御によるフローコントローラ等を用いることができ、この点は、これ以降に説明する実施例においても同様である。
そして、上記処理ガスタンク10の直ぐ下流側の主ガス通路14及び上記希釈ガスタンク12の直ぐ下流側の希釈ガス通路16には、これらに流れるガス中の水分等の不純物を除去するためのインラインガス精製器20、22がそれぞれ介設されている。そして、主ガス通路14の上記複数、ここでは2つの流量制御器FC1、FC5の内の最上流側の流量制御器FC1以外の流量制御器、すなわちここでは流量制御器FC5の直ぐ上流側であって上記混合器18の下流側の主ガス通路14には、余剰ガス排出通路24が接続されている。この余剰ガス排出通路24には、開閉弁26と排出用の逆止弁28とが順次介設されており、上記主ガス通路14内の圧力が一定圧以上になった時に上記逆止弁28が開いて余剰な希釈処理ガスを系外へ排出するようになっている。この場合、余剰ガス排出通路24の下流側は、例えば処理装置4の排気系側に接続された真空ポンプ8の上流側へ、あるいは真空ポンプ8の下流側の減圧された排気ダクトへ接続されている。
また、上記処理装置4の直前の主ガス通路14には、これに流れる処理ガスの濃度を測定する濃度測定器30が設けられており、ここでは処理ガスであるO 濃度を測定できるようになっている。この濃度測定器30としては差動排気機能付きのQ−mass(四重極質量分析計)を用いることができ、他には限界電流式(ジルコニア式)、磁気流量比式、隔膜ガルバニ電池式等の分析計や、フーリエ変換赤外分光分析計(FT−IR)を用いることができる。そして、この濃度測定器30の検出値は例えばコンピュータ等よりなるフィードバック制御部32へ入力され、流量制御器FC5を除く上流側の流量制御器FC1又はFC2を制御して所定の処理ガス濃度、すなわちO 濃度を維持するようになっている。尚、上記濃度測定器30は、主ガス通路14ではなく、処理装置4内に設けるようにしてもよい。
次に、以上のように構成された処理ガス供給システム2の動作について説明する。
まず、処理装置4の動作時には、この処理装置4に設けられた真空ポンプ8が連続的に駆動して、処理装置4内は真空引きされて所定の減圧雰囲気、例えば10−7Paに維持されている。
上記処理ガスタンク10からは、予め希釈ガスであるArガスにより例えば500ppm程度まで希釈された処理ガスであるO ガスを含む希釈処理ガスが、流量制御器FC1により、例えば10sccm程度に流量制御されつつ主ガス通路14内を流下し、このガス中に含まれる水分等の不純物はインラインガス精製器20にて除去される。ここで一般的な流量制御器の制御精度は、流量制御が可能な範囲であるフルスケールの1%程度であり、必要に応じてフルスケールを変更すれば、様々な濃度、流量に対応することができる。そして、上述したように水分等の不純物が除去された希釈処理ガスは流量制御器FC1を通過した後に混合器18内へ流入される。
一方、希釈ガスタンク12からは、希釈ガスであるArガスが流量制御器FC2により、例えば50slm程度に流量制御されつつ希釈ガス通路16内を流下し、このガス中に含まれる水分等の不純物はインラインガス精製器22にて除去される。そして、この水分等の不純物除去後のArガスは流量制御器FC2を通過した後に混合器18内に流入する。この混合器18内では上記主ガス通路14内を流れてきた希釈処理ガスが、上記希釈ガス通路16内を流れてきたArガスと均一に混合されることにより、更に希釈されて主ガス通路14の下流側へ流出して行く。従って、この時の希釈された処理ガス中におけるO 濃度は100ppb程度である。
このように、O 濃度が100ppb程度まで希釈された希釈処理ガスは更に下流側へ流れ、流量制御器FC5により例えば20slm程度に流量制御される。そして、この流量制御された希釈処理ガスが更に主ガス通路14内を流下して処理装置4内へ導入されて、所定の処理、例えばアニール処理等に用いられることになる。従って、処理装置4内ではArによるO 濃度が極めて低い100pbb程度まで希釈された処理ガスが使用されることになる。尚、上記O 以外に他の処理ガスが必要な場合には、図示しないガス供給系によって必要なガスが処理装置に導入されるのは勿論である。
ここで上記混合器18と流量制御器FC5との間の主ガス通路14内には余剰な希釈処理ガスが滞留することになるが、この部分の圧力が一定以上になると余剰ガス排出通路24に介設した逆止弁28が開動作して、上記余剰な希釈処理ガスがこの余剰ガス排出通路24を介して系外へ排気されることになる。また、処理装置4の直前の主ガス通路14に設けた濃度測定器30により希釈処理ガス中の処理ガスの濃度、すなわちO ガス濃度が測定して検出され、この検出値をフィードバック制御部32に入力することにより、このフィードバック制御部32は、流量制御器FC1又はFC2を制御して希釈処理ガス中のO 濃度が設定値を維持するようにしている。
この場合、流量の大きな希釈ガス通路16の流量制御器FC2をフィードバック制御する場合には、応答速度は遅いが流量精度を高くすることができる。逆に、主ガス通路14に介設した流量制御器FC1をフィードバック制御する場合には、希釈ガス通路16内を流れる希釈ガス流量に対して遥かに少ない流量の処理ガスを流量制御することになるので、流量精度は少し低くなるが応答速度を速くすることができる。別の視点から考察すれば、流量制御器のフルスケール(FS)を適宜選択することによって最適動作を選択可能である。すなわち、流量制御の精度を優先する場合には流量制御器に実際に流す流量(想定流量)に近いFSをもつ流量制御器(例えば、想定流量10sccmに対し、FSを20sccmとする)を設置し、流量制御の速度を優先する場合には流量制御器に実際に流す流量よりもずっと大きなFSをもつ流量制御器(例えば、想定流量10sccmに対し、FSを100sccmとする)を設置することも有効である。
このように、処理ガスタンク10から主ガス通路14内を流量制御されつつ流れてきた処理ガスを、希釈ガス通路16内を流量制御されつつ流れてきた希釈ガスにより希釈して希釈処理ガスを流量制御して供給しつつ余剰な希釈処理ガスを排出するようにしたので、処理ガスの濃度、例えばO 濃度を数ppb〜数100ppbレベルの極めて低い濃度で精度良く制御することができる。
また、処理ガスタンク10内に、希釈ガスにより予め所定の濃度に希釈された処理ガスを収容しておくことにより、更に低い濃度で精度良く制御することができる。また、ガス使用系である処理装置4に導入される処理ガスの濃度を検出してフィードバック制御するようにしたので、処理ガスの濃度を極めて低い濃度で精度良く安定的に制御することができる。
<第2実施例>
次に本発明に係る処理ガス供給システムの第2実施例について説明する。
図3は処理装置4に接続された本発明に係る処理ガス供給システムの第2実施例を示す構成図である。尚、図1及び図2に示した構成部分と同一構成部分については同一参照符号を付してその説明を省略する。
先の第1実施例では、処理ガスタンク10内に予め希釈ガスで精度良く所定のO 濃度、例えば500ppmに希釈されたガスを充填しておいた場合を例にとって説明したが、この第2実施例の処理ガス供給システムにおいては、上記処理ガスタンク10に代えて処理ガスとして純粋なO ガスを充填した処理ガスタンク34を用いている。そして、この純粋な処理ガスを希釈ガスにより複数段階、ここでは2段階で希釈するようにしている。
具体的には、上記希釈ガス通路16の途中から分岐させて新たな希釈ガス通路36を延ばし、この希釈ガス通路36の途中に別の流量制御器FC4を介設すると共に、この希釈ガス通路36の他端を新たな混合器38を介在させて上記主ガス通路14に接続している。この希釈ガス通路36の主ガス通路14に対する接続部は、先の第1実施例における混合器18と余剰ガス排出通路24の接続部との間の領域である。
そして、この混合器38の直ぐ上流側の主ガス通路14に別の流量制御器FC3を介在させている。更に、この流量制御器FC3の直ぐ上流側であって上記混合器18の下流側の主ガス通路14には新たな余剰ガス排出通路40が接続されている。そして、この余剰ガス排出通路40には、開閉弁42と排出用の逆止弁44とが順次介設されており、この領域における主ガス通路14内の圧力が一定値以上になった時に上記逆止弁44が開いて余剰な希釈処理ガスを系外へ排出するようになっている。この場合、主ガス通路14の上流側に行く程、主ガス通路14内の圧力が高くなるように上記各逆止弁28、44の開放圧力値は設定されている。またフィードバック制御部32のフィードバック先は、この場合には、流量制御器FC1〜FC4の内のいずれか1つである。
次に、上記した第2実施例の動作について説明する。ここでは、各流量制御器FC1の設定値は10sccm、流量制御器FC2の設定値は20slm、流量制御器FC3は10sccm、流量制御器FC4は50slm、流量制御器FC5は20slmである。
まず、処理ガスタンク34からは純粋のO ガスが主ガス通路14内に向けて流れて行き、このガス流量は初段の流量制御器FC1により10sccmに制御される。この純粋のO ガスは、希釈ガス通路16内を流れてくるArガスにより初段の混合器18にて均一に混合されて希釈される。この時のArガスの流量は流量制御器FC2により20slmに流量制御されており、従って、混合器18にて希釈された希釈処理ガスのO 濃度は500ppm程度となる。
この希釈処理ガスは、第2段の流量制御器FC3により10sccmに流量制御されて第2段の混合器38内に流入する。この混合器38にて、上記O 濃度が500ppmの希釈処理ガスは、希釈ガス通路36内を流れてくるArガスにより均一に混合されて更に希釈される。この時のArガスの流量は、流量制御器FC4により50slmに流量制御されており、従って、混合器38にて希釈された希釈処理ガスのO 濃度は100ppbとなり(第1実施例と同じ)、この希釈処理ガスが流量制御器FC5により20slmに流量制御されつつ処理装置4内へ導入されることになる。
この場合にも各流量制御器FC3、FC5の上流側で余剰となった希釈処理ガスは、それぞれ余剰ガス排気通路40、24を介して系外へ排出される。尚、この第2実施例において、各流量制御器FC3、FC4、FC5における流量設定値は、第1実施例における各流量制御器FC1、FC2、FC5の流量設定値に対応している。
この場合、流量の大きな希釈ガス通路16、36の流量制御器FC2又はFC4をフィードバック制御する場合には、応答速度は遅いが流量精度を高くすることができる。逆に、主ガス通路14に介設した流量制御器FC1又はFC3をフィードバック制御する場合には、希釈ガス通路16内を流れる希釈ガス流量に対して遥かに少ない流量の処理ガスを流量制御することになるので、流量精度は少し低くなるが応答速度を速くすることができる。この第2実施例の場合も、先の第1実施例と同様な作用効果を発揮することができる。
<第3実施例>
次に本発明に係る処理ガス供給システムの第3実施例について説明する。
図4は処理装置4に接続された本発明に係る処理ガス供給システムの第3実施例を示す構成図である。尚、図1乃至図3に示した構成部分と同一構成部分については同一参照符号を付してその説明を省略する。
先の第2実施例では余剰ガス排出通路24、40から排出された余剰ガスは全て廃棄されていたが、この第3実施例では、その内の一部、すなわちO 濃度がより低い余剰ガス排出通路24から排出された余剰ガスを再利用するようにしている。すなわち、図4に示すように、上記余剰ガス排出通路24は、ここでは再利用ガス通路46に接続され、この再利用ガス通路46の先端が、上記希釈ガス通路16の流量制御器FC2の直ぐ上流側に接続されている。すなわち上記余剰ガス排出通路24は、上記再利用ガス通路46を介して上記希釈ガス通路16側へ接続されている。
この場合、この再利用ガス通路46には、排出される余剰ガスの圧力を高めるための加圧ポンプ48と、この加圧ポンプ48から僅かに発生するゴミやチリを除去するため、および加圧ポンプ48の圧力振動を緩和するためのフィルタ50及びガス中の水分等の不純物を除去するインラインガス精製器52が順次介設されている。上記加圧ポンプ48としてはガス中に油が混入しないよう、油フリーポンプ、例えばダイヤフラムポンプなどのドライポンプを使用することが望ましい。
また、上記インラインガス精製器52の下流側の再利用ガス通路46には、余剰ガス排出通路54が接続されており、この余剰ガス排出通路54には、開閉弁56及び逆止弁58が順次介設されて、再利用されなかった余剰なガスを排気するようになっている。
また、上記希釈ガス通路16に対する上記再利用ガス通路46の接続部と希釈ガス通路16に設けたインラインガス精製器22の出口側との間の希釈ガス通路16には、この下流側に向けて逆止弁60及び開閉弁62が順次介設されており、上記再利用ガス通路46内を流れてくるガスの流量が不足する場合には、この不足分を希釈ガスタンク12側から供給して補うようになっていると共に、ここでは上記再利用ガス中にはある程度のO ガスが含まれているので、後段の混合器38に接続される希釈ガス通路36内へは純粋のArガスのみが流れて再利用ガスが流入しないようにしている。
この第3実施例の場合は、先の第2実施例と同様の作用効果を発揮することができる。また、ここでは再利用ガス通路46を設けて、排出された余剰ガスの一部を再利用することにより、運転費用を低減することができる。
<第4実施例>
次に本発明に係る処理ガス供給システムの第4実施例について説明する。
図5は処理装置4に接続された本発明に係る処理ガス供給システムの第4実施例を示す構成図である。尚、図1乃至図4に示した構成部分と同一構成部分については同一参照符号を付してその説明を省略する。
先の第3実施例では、2つの余剰ガス排出通路24、40から排出された余剰ガスの内、O 濃度が低い一方の余剰ガス排出通路24から排出された余剰ガスのみを再利用していたが、ここでは、上記2つの余剰ガス排出通路24、40の双方から排出された余剰ガス、すなわち全ての余剰ガスを再利用するようにしている。具体的には、上記2つの余剰ガス排出通路24、40をそれぞれ上記再利用ガス通路46に接続し、この再利用ガス通路46の他端を先の希釈ガス通路16側へ接続している。この場合、この再利用ガス通路46の途中には、これに流れるガス中から処理ガスであるO ガスを取り除くための処理ガス除去フィルタ64が介設されており、O 除去後の希釈ガスであるArガスのみを再利用するようにしている。
上述のように、処理ガス除去フィルタ64を通過した後の再利用ガス中にはO 成分が含まれておらず、純粋な希釈ガス(Ar)のみとなるので、これを後段の混合器38へ導入することも可能となる。そこで、ここでは希釈ガス通路16から他方の希釈ガス通路36が分岐される分岐点を、インラインガス精製器22の直ぐ下流側(図4参照)から、この途中に介設した逆止弁60及び開閉弁62の直ぐ下流側、すなわち流量制御器FC2の直ぐ上流側へ移している。
これにより、再利用ガスを、上記希釈ガス通路16のみならず、他方の希釈ガス通路36側へも供給できるようになっている。この第4実施例の場合は、先の第3実施例と同様な作用効果を発揮することができる。また、ここでは再利用ガス通路46を設けて、排出された余剰ガスの全部を再利用することにより、運転費用を低減することができる。
<第5実施例>
次に本発明に係る処理ガス供給システムの第5実施例について説明する。
図6は処理装置4に接続された本発明に係る処理ガス供給システムの第5実施例を示す構成図である。尚、図1乃至図5に示した構成部分と同一構成部分については同一参照符号を付してその説明を省略する。
先の第4実施例においては、再利用ガス通路46を希釈ガス通路16側へ接続して希釈ガスであるArガスを再利用するようにしたが、この第5実施例においては、図6に示すように上記再利用ガス通路46を、処理装置4に設けた真空ポンプ8側へ接続して、真空ポンプ8の回転シャフトのパージガスとして用いている。
すなわち、例えば半導体ウエハの成膜処理やエッチング処理等を行う場合には、処理装置4内に残留する反応ガスや反応副生成物が排気ガス中に含まれて排出される結果、これらの反応ガスや反応副生成物が真空ポンプ8の回転シャフト等に付着して真空ポンプ8を故障させる恐れがある。そのため、この付着現象を防止するために上記再利用ガス通路46内を流れてくる希釈ガスを上記回転シャフト8Aに吹き付けてパージガスとして用い、回転シャフトに反応ガスや反応副生成物が付着することを防止することができる。
この場合、上記余剰ガスは希釈ガスとして用いるのではないので、第3及び第4実施例で設けた加圧ポンプ48、フィルタ50、インラインガス精製器52、余剰ガス排出通路54、開閉弁56、62、逆止弁58、60は設ける必要がない。また、O 成分を除去する処理ガス除去フィルタ64は必要に応じて設けるようにすればよい。
この第5実施例の場合にも先の第4実施例と同様な作用効果を発揮することができる。尚、ここでは全ての余剰ガスを再利用するようにしたが、これに限定されず、両余剰ガス排出通路24、40の内のいずれか一方の余剰ガス排出通路からの余剰ガスのみを再利用するようにしてもよい。また、真空ポンプ8の回転シャフトのパージ用以外の用途としては、除害装置における排気ガス冷却や、H などの可燃性ガスの爆発下限希釈用途にも用いることができる。
<第6実施例>
次に本発明に係る処理ガス供給システムの第6実施例について説明する。この第6実施例から第10実施例では処理ガスとして水分(水蒸気)を用いた場合を例にとって説明する。図7は処理装置に接続された本発明に係る処理ガス供給システムの第6実施例を示す構成図である。尚、図1乃至図6に示した構成部分と同一構成部分については同一参照符号を付してその説明を省略する。
図7に示す第6実施例の構成は、基本的には図1で示した第1実施例の構成を用いている。ただし、ここでは処理ガスタンク10(図1参照)に代えて処理ガスの液体原料を貯留する液体原料タンク66が設けられている。この液体原料タンク66は、ジョイント68により主ガス通路14に対して着脱可能に設けられており、着脱時にはこの液体原料タンク66の出口に設けた開閉弁70を手動で開閉するようになっている。この液体原料タンク66は例えばステンレススチールよりなり、この内部には、液体原料72が収容されている。ここで、上述したように、処理ガスとして水蒸気を用いることから、上記液体原料72としては清浄な水が用いられる。なお必要に応じてジョイント68からFC1との間にバルブを設けても良い。
この液体原料タンク66では、液体原料72の温度に応じた蒸気が、すなわちここでは水蒸気が発生し、所定の水蒸気圧となっている。例えば35℃における水蒸気圧は45.1Torr(6.0KPa)である。ここでは、発生した水蒸気は処理ガスとなり、主ガス通路14内を流下して行くことになる。この時の水蒸気(H O)の供給量は、流量制御器FC1により適宜制御することができ、必要な場合には上記液体原料タンク66にヒータを設けて、これを所定の温度に加熱するようにしてもよい。換言すれば、ヒータにより必要な水蒸気圧が得られるように液体原料タンク66の温度を調節する。なお、液体原料の蒸気が主ガス通路14の内部で凝集しないよう、主ガス通路14およびここに介設される流量制御器や混合器については、液体原料タンク66の温度程度に加熱できるよう、ヒータを設置しておくことが望ましい。
また、ここでは液体原料タンク66内にて発生した水蒸気、すなわち処理ガスを全て用いるようにしているので、図1で用いた余剰ガス排出通路24は設けていない。そして、上記主ガス通路14内を流下する水蒸気は希釈ガス通路16からのArガスにより希釈されるようになっている。また、処理装置4の直前の主ガス通路14に設けた濃度測定器74は、ここでは水分の濃度を検出し、この検出値に基づいてフィードバック制御部32は、流量制御器FC1又はFC2を制御することになる。
この第6実施例においては、上記原料ガス用の流量制御器FC1は0.5sccmに設定され、希釈ガス用の流量制御器FC2は20slmに設定されている。従って、従って混合器18より流下する希釈処理ガス中の水分濃度は25ppmとなって処理装置4内へ導入されることになる。なお、液体原料タンク66内の液体原料72の温度が上述のように35℃の場合には水蒸気圧は45.1Torr(6.0KPa)あるので流量制御器FC1を動作させる圧力としては十分である。一般に、流量制御器が動作するには流量制御器の上流と下流との差圧が一定値以上なければならないことから、流量制御器FC1の下流の圧力が45Torr(6.0KPa)よりも高くならないようにしておく。
このように、処理ガスの原料が液体原料72の場合には、この液体原料を貯留する液体原料タンク66から発生する処理ガス(水蒸気)を流量制御しつつ流しながら流量制御された希釈ガスにより希釈するようにしたので、処理ガスの濃度を数ppm〜数100ppmレベルの低い濃度で精度良く制御することができる。また、ガス使用系(処理装置4)に導入される処理ガスの濃度を検出してフィードバック制御するようにしたので、処理ガスの濃度を低い濃度で精度良く安定的に制御することができる。
<第7実施例>
次に本発明に係る処理ガス供給システムの第7実施例について説明する。図8は処理装置4に接続された本発明に係る処理ガス供給システムの第7実施例を示す構成図である。尚、図1乃至図7に示した構成部分と同一構成部分については同一参照符号を付してその説明を省略する。
図8に示すように、この第7実施例の場合には、図7に示す第6実施例の液体原料タンク66に対してバブリング機構78を設けている。具体的には、このバブリング機構78は、上記希釈ガス通路16側から分岐させたバブリング用ガス通路80を有しており、Arガスをバブリングガスとして用いるようになっている。このバブリング用ガス通路80には、流量制御器FC1、熱交換器82及び開閉弁84が順次介設されている。この熱交換器82はバブリングガスを一定の温度に維持するものである。
このバブリング用ガス通路80の一端は、上記液体原料タンク66内の液体原料72中にその先端を浸漬させたバブリングノズル86にジョイント88を介して接続されている。そして、このバブリングノズル86には手動による開閉弁90が介設されている。
一方、上記主ガス通路14には、図7に示す流量制御器FC1に替えて、圧力調整弁機構92が設けられている。この圧力調整弁機構92は、上記主ガス通路14に介在させた圧力調整弁94と、圧力測定器96とよりなり、この圧力測定器96で得られた圧力値に基づいて上記圧力調整弁94を制御することになる。この圧力調整弁機構92では例えば1〜50kPa程度の範囲で制御されている。
この第7実施例においては、上記各流量制御器FC1、FC2の流量は、それぞれ0.69sccm、20slmに設定されている。そして、原料液体72の温度を35℃に設定すると共に、液体原料タンク66内部圧力を200Torr(26.7KPa)に設定すると、この時のArガスのバブリングにより発生した水蒸気中の水分の実流量は0.20sccmとなる。この結果、処理装置4に導入されるガス中の水分濃度は10.06ppmとなる。
そして、この時に発生した水蒸気を含む希釈処理ガスは混合器18にてArガスにより更に希釈されて処理装置4側へ供給されることになる。この場合、フィードバック制御部32は、流量制御器FC1又はFC2をフィードバック制御することになる。このように、処理ガスの原料が液体原料72の場合には、この液体原料72を貯留する液体原料タンク66内に流量制御した希釈ガスを導入してバブリングにより処理ガス(水蒸気)を形成し、この処理ガスを流量制御された希釈ガスにより更に希釈するようにしたので、処理ガスの濃度を数ppm〜数100ppmレベルの低い濃度で精度良く制御することができる。
また、ガス使用系(処理装置4)に導入される処理ガスの濃度を検出してフィードバック制御するようにしたので、処理ガスの濃度を低い濃度で精度良く安定的に制御することができる。
<第8実施例>
次に本発明に係る処理ガス供給システムの第8実施例について説明する。図9は処理装置4に接続された本発明に係る処理ガス供給システムの第8実施例を示す構成図である。尚、図1乃至図8に示した構成部分と同一構成部分については同一参照符号を付してその説明を省略する。
図9に示すように、この第8実施例の場合には、図8に示す第7実施例の構成に対して、主ガス通路14の途中に流量制御器FC5を設けると共に、この直ぐ上流側の主ガス通路14に、開閉弁26及び逆止弁28を有する余剰ガス排気通路24を分岐させて設けており、上記流量制御器FC5よりも上流側の主ガス通路14内の水蒸気を含んだ希釈処理ガスのうちの余剰分を排気するようになっている。
この第8実施例における各流量制御器FC1、FC2、FC5の各設定値は、それぞれ1sccm、50slm、20slmである。そして、液体原料72の温度を35℃に設定すると共に、液体原料タンク66内の圧力を1140Torr(152KPa)に設定すると、この時のArガスのバブリングにより発生した水蒸気中の水の実流量は0.04sccmとなる。この結果、処理装置4に導入されるガス中の水分濃度は0.82ppmとなる。この場合にも、先の図8に示す実施例7と同様な作用効果を発揮することができる。なお、第8実施例においては圧力調整弁機構92における圧力制御範囲を第7実施例よりも高く、10〜500kPaとしている。これは、流量制御器FC5を動作させるための必要差圧が0.15MPaであるため、流量制御器FC5の上流側の主ガス通路14における圧力は常に0.15MPa以上あり、その圧力に打ち勝って水蒸気を含んだ希釈処理ガスを圧力調整弁機構92から混合器18に向けて滞りなく流すためである。
<第9実施例>
次に本発明に係る処理ガス供給システムの第9実施例について説明する。図10は処理装置に接続された本発明に係る処理ガス供給システムの第9実施例を示す構成図である。尚、図1乃至図9に示した構成部分と同一構成部分については同一参照符号を付してその説明を省略する。
図10に示すように、この第9実施例の場合には、図9に示す第8実施例の構成に対して、更に、図3に示す第2実施例における新たな希釈ガス通路36と、この希釈ガス通路36に介設した流量制御器FC4と、主ガス通路14に介設した混合器38及び流量制御器FC3と、開閉弁42及び逆止弁44を有する新たな余剰ガス排出通路40とをそれぞれ設けている。
この第9実施例における各流量制御器FC1〜FC5の各設定値は、それぞれ65sccm、20slm、50sccm、50slm、20slmである。そして、液体原料72の濃度を35℃に設定すると共に、液体原料タンク66内の圧力を1520Torr(203KPa)に設定すると、この時のArガスのバブリングにより発生した水蒸気中の水の実流量は1.99sccmとなる。この結果、処理装置4に導入されるガス中の水分濃度は99ppbとなる。
このように、希釈ガス通路16、36と余剰ガス排出通路24、40とを複数段、例えば2段に亘って設けて、処理ガス(水蒸気)の希釈と余剰ガスの排出とを繰り返しながら複数段、例えば2段に亘って希釈を繰り返すようにしたので、処理ガスの濃度を更に低い濃度領域で精度良く制御することができる。また、ガス使用系(処理装置4)に導入される処理ガスの濃度を検出してフィードバック制御するようにしたので、処理ガスの濃度を極めて低い濃度で精度良く安定的に制御することができる。
<第10実施例>
次に本発明に係る処理ガス供給システムの第10実施例について説明する。図11は処理装置4に接続された本発明に係る処理ガス供給システムの第10実施例を示す構成図である。尚、図1乃至図10に示した構成部分と同一構成部分については同一参照符号を付してその説明を省略する。
図11に示すように、この第10実施例の場合には、図10に示す第9実施例の構成において、液体原料タンク66やバブリング機構78に替えて、別の機構の処理ガス形成部100を設けている。尚、図9に示す第8実施例の構成において、上記液体原料タンク66やバブリング機構78に替えて、上記処理ガス形成部100を設けるようにしてもよい。
具体的には、この処理ガス形成部100は、処理ガスを形成するための複数の原料ガスを個別に流量制御しつつ供給する原料ガス供給系102と、この原料ガス供給系102からの複数の原料ガスを反応させて上記処理ガスを形成する反応部104とにより構成されている。
上記原料ガス供給系102としては、ここでは2つの原料ガスを貯留する原料ガスタンク106A、106Bを有しており、例えば一方の原料ガスタンク106Aには純粋なH ガスが貯留され、他方の原料ガスタンク106Bには純粋なO ガスが貯留されている。尚、上記両原料ガスタンク106A、106Bとしては、工場設備のタンクを用いるようにしてもよい。そして、上記両原料ガスタンク106A、106Bからのガス通路108A、108Bは途中で一本に接続されてガス通路108となり、このガス通路108の途中に上記反応部104が介設されている。
この反応部104では触媒反応により、或いは燃焼反応により処理ガス、すなわちここでは水蒸気を形成するようになっている。そして、このガス通路108が上記主ガス通路14側に接続されており、処理ガスとして水蒸気を下流に向けて流すようになっている。
ここで上記両ガス通路108A、108Bにはそれぞれ流量制御器FC1−a、FC1−bが介設されており、これに流れるガスを流量制御するようになっている。また上記反応部104の直ぐ上流側のガス通路108にはフィルタ110が介設され、反応部104の直ぐ下流側のガス通路108にはH 、或いはO を検出するセンサ112及びフィルタ114がそれぞれ介設されている。
尚、必要に応じてO ガス、又はH ガスを貯留する添加用ガスタンク116を設けて、このタンク116より途中に流量制御器FC1−cを介設したガス通路118を延ばし、この先端を反応部104の下流側のガス通路108に接続するようにして、反応部104より流れ出るガス中に過剰なO ガス、或いはH ガスを供給するようにしてもよい。
この第10実施例における各流量制御器FC1−a、FC1−b、FC2〜FC5の各設定値は、制御器FC1−aが10sccm、FC1−bが5sccm、FC2が50slm、FC3が25sccm、FC4が50slm、FC5が20slmである。ここで反応部104で触媒反応や燃焼反応によって生ずる水の生成量は10sccmとなる。この場合、余剰な希釈処理ガスは余剰ガス排出通路24、40から排出されるのは前述した通りである。この結果、処理装置4内に導入されるガス中の水分濃度は100ppbとなる。
このように、処理ガス形成部100から主ガス通路14内を流れてきた処理ガス(水蒸気)を、希釈ガス通路16、36内に流量制御されつつ流れてきた希釈ガスにより希釈して希釈処理ガスを流量制御して供給しつつ余剰な希釈処理ガスを排出するようにしたので、処理ガスの濃度を数ppb〜数100ppbレベルの極めて低い濃度で精度良く制御することができる。
また、処理ガス形成部100では、処理ガスを形成するための複数の原料ガス、例えばO ガスとH ガスとを供給しつつこれらの原料ガスを反応部104で反応させて処理ガスを形成するようにしたので、ここで形成される処理ガスの純度を高く維持することができる。この場合、原料ガスを供給する2つの流量制御器FC1−a、FC1−bにより、これらの流量を精度良く制御することができるので、これらの両ガスの反応によって生ずる処理ガス(水分=水蒸気)の流量を精度良く制御することができる。
また、この場合、ガス中の水分濃度を調整するフィードバック制御部32のフィードバック先は流量制御器FC2、FC3、FC4の内のいずれか1つとなり、このフィードバック制御により、ガス中の水分濃度を極めて低い濃度であるにもかかわらず、精度良く安定的に制御することができる。また、上記水分濃度を調整するために流量制御器FC1−a又はFC1−bをフィードバック制御するようにしてもよい。なお、処理ガス形成部100としては、パーミエータと呼ばれる浸透膜式の微量蒸発器を用いてもよく、この場合にも上述の実施例と同様な作用効果を発揮することができる。
<第11実施例>
次に本発明に係る処理ガス供給システムの第11実施例について説明する。図12は本発明に係る処理ガス供給システムの第11実施例を示す部分構成図である。尚、図3に示した第2実施例の構成部分と同一構成部分については同一参照符号を付して、その説明を省略する。この第11実施例は、先に図3を参照して説明した第2実施例を基本構成として改良したものであり、処理装置4内にて主にアニール処理を真空雰囲気のみならず、大気圧、或いは大気圧に近い雰囲気中でも実施できるようにすると共に、各流量制御器の上流側における圧力変動を抑制して流量制御器の安定動作を保証するようにしたものである。
まず、ここではアニール処理を真空雰囲気中のみならず、大気圧、或いは大気圧に近い雰囲気中でも選択的に行い得るようにするために、真空排気系を2系統にしている。すなわち、ガス使用系である処理装置4には、この内部雰囲気を排気する真空排気系128が接続されている。この真空排気系128は、途中に開閉弁160、処理装置(処理容器)4内の圧力調整を行う圧力調整弁126、及びドライポンプ等の真空ポンプ8を順次介設した主排気通路162を有している。この主排気通路162の下流側は、図示しない除害装置等を介して大気圧程度になされた排気ダクトに接続されており、これより排気ガスが大気放散されている。
そして、この主排気通路162には、上記真空ポンプ8を迂回するようにして途中に開閉弁164を介設したバイパス排気通路166が設けられている。具体的には、このバイパス排気通路166の上流側の一端は、開閉弁160よりも上流側で主排気通路162に接続され、その下流側の他端は真空ポンプ8よりも下流側で主排気通路162に接続されている。従って、処理装置4内にて真空雰囲気中でアニール処理する場合には、バイパス排気通路166側の開閉弁164を閉状態とし、主排気通路162側の開閉弁160を開状態とし、真空ポンプ8を回転駆動させて真空雰囲気中のアニール処理を行う。
これに対して、処理装置4内で大気圧雰囲気、或いはそれに近い圧力雰囲気中でアニール処理を行う場合には、上記とは逆に、バイパス排気通路166側の開閉弁164を開状態とし、主排気通路162側の開閉弁160を閉状態とする。これにより、処理装置4内の雰囲気は、排気ダクト側から直接的に吸引されることになり、大気圧、或いはそれに近い圧力雰囲気中でアニール処理が行うことができるようになっている。従って、真空雰囲気中のアニール処理と大気圧中のアニール処理とを選択的に実行できるようになっている。換言すれば、真空雰囲気中から大気圧雰囲気中まで広範囲な圧力雰囲気中でアニール処理を行うことができる。尚、この真空排気系の構成は、第1〜第10の全ての実施形態に適用可能である。
また、酸素濃度等の処理ガスの濃度を切り替える場合や、処理システムの立ち上げ時等は流量が一時的に不安定になるので、この処理ガスを処理装置4内に流すことなく、バイパスさせて廃棄することが必要な場合が生ずる。このため、この処理ガス供給システムの主ガス通路14には、処理装置4の直前近傍に処理ガスを廃棄させるための廃棄ガス排出通路168が接続されている。また、この接続点と上記処理装置4との間の主ガス通路14には開閉弁170が介設されており、処理ガスの廃棄時には、この開閉弁170を閉状態にして処理装置4側へ処理ガスが流れないようにしている。尚、処理装置4内へ処理ガスを流す時にはこの開閉弁170を開状態にするのは勿論である。
そして、上記廃棄ガス排出通路168は、2つの分岐路168A、168Bに分岐されて、一方の分岐路168Aの下流側は、真空ポンプ8の直ぐ上流側にて主排気通路162に接続され、他方の分岐管168Bの下流側は、開閉弁164の下流側にてバイパス排気通路166に接続されている。そして、一方の分岐路168Aの途中には真空雰囲気用の開閉弁172Aが介設され、他方の分岐路168Bの途中には大気圧雰囲気用の開閉弁172Bが介設される。従って、真空雰囲気中でアニール処理をする時の不要な処理ガス(流量が安定するまでのガス)は、上記真空雰囲気用の開閉弁172Aを開状態にしてこの分岐路168Aを介して主排気通路162側へ廃棄する。
また大気圧雰囲気中でアニール処理をする時の不要な処理ガス(流量が安定するまでのガス)は、上記大気圧雰囲気用の開閉弁172Bを開状態にしてこの分岐路168Bを介してバイパス排気通路166側へ廃棄する。この廃棄ガス排出通路168の一連の構成は、第1〜第10の全ての実施形態に適用可能である。
また、ここではO 濃度を測定する前記濃度測定器30としては、ジルコニア式の濃度測定器30Aを設けた場合が示されている。このジルコニア式の濃度測定器30Aは、主ガス通路14に流れる処理ガスの一部を分岐させて取り出すための分岐測定管176を有しており、この分岐測定管176にオリフィス178及びジルコニア式O 測定センサ180を順次介設して、上記処理ガス中のO 濃度を測定し得るようになっている。
この場合、この分岐測定管176に分岐して流れ込む処理ガスの流量は、上記オリフィス178を設けてあることから非常に抑制されて、主ガス通路14内を流れるガス流量の約10%程度であって非常に少なく、処理装置4内におけるアニール処理に悪影響を与えることはないようにしている。
また、このジルコニア式O 測定センサ180にあっては、その測定方法に起因して測定対象となったガス中のO 濃度が少し増加する傾向にあるので、この測定対象となったガスを再度、主ガス通路14内に戻すのは好ましくなく、そのため、ここでは真空排気系128側へ廃棄するようにしている。具体的には、この分岐測定管176の途中に開閉弁184を介設して、その下流側を上記バイパス排気通路166に接続している。
これにより、処理装置4内で大気圧雰囲気中にてアニール処理を行う時には、O 濃度測定対象になった処理ガスを、上記分岐測定管176を介してバイパス排気通路166へ廃棄するようになっている。
また、真空雰囲気中ではジルコニア式O 測定センサ180が使えないので、或いは処理ガス中のO 濃度の測定を行う必要がない場合もあるので、このような場合のために、上記主ガス通路14には、上記ジルコニア式の濃度測定器30(30A)をバイパスさせるために途中に開閉弁186が介設された測定器バイパス管188が接続されている。
そして、このジルコニア式の濃度測定器30(30A)の直ぐ上流側と下流側の主ガス通路14の途中にも開閉弁190が介設されており、これらの開閉弁190と上記測定器バイパス管188に介設した開閉弁186とを切り替え操作することにより、処理ガス中のO 濃度を測定するか否かを選択できるようになっている。
ここでジルコニア式のO 測定センサ180での測定値はフィードバック制御部32へ入力され、これにより流量制御器FC1〜FC4のいずれかの流量制御をしてO 濃度が予め定められた一定値を維持するようになっている。
この場合、流量制御器FC2、FC4の制御流量は大きいので、この流量は固定しておき、また制御流量の小さい流量制御器FC1の流量を制御すると、その制御結果のO 濃度が処理装置4内に反映するまでに長い時間を要すことになり、結果的に、制御流量が小さい流量制御器FC3の流量を制御してO 濃度をコントロールするのが最も好ましい。このジルコニア式の濃度測定器30A(30)の構成は、第1〜第10の全ての実施形態においてO 濃度を測定する場合に適用可能である。
また、ここでは各余剰ガス排出通路24、40の途中には、それぞれ主ガス通路14内の圧力が所定の圧力以上になると開状態となる逆止弁28、44が設けられているが、更に、各逆止弁28、44の上流側に、それぞれニードル弁192、194を介設している。これにより、各逆止弁28、44が開状態となった場合でも、主ガス通路14内の圧力が急激に減少することを防止し、緩やかに減少させるようにしている。
一般に、マスフローコントローラのような流量制御器FC3、FC5は、その上流側と下流側との間で大きな圧力変動を生ずると、流量制御の精度が低下することが知られているが、上述のように余剰ガス排出通路24、40の途中にニードル弁192、194を介設することにより、各逆止弁28、44が開状態になった場合でも主ガス通路14内の圧力は、急激に低下することなく緩やかに減少するので、すなわち各流量制御器FC3、FC5の上流側の圧力変動を抑制することができ、この結果、各流量制御器FC3、FC5の流量制御の精度を高く維持することができる。このニードル弁192、194の構成は、第1〜第10の全ての実施形態に適用することができる。
また、この主ガス通路14の最下流側には、不活性ガスによるパージライン210が接続されている。このパージライン210の途中には、開閉弁212及びマスフローコントローラのような流量制御器214がそれぞれ介設されており、必要に応じてパージガスを流すことにより処理装置4の残留ガスの排出を促進させるようになっている。このパージガスとしてはN ガス等の不活性ガスやAr等の希ガスを用いることができる。
<第12実施例>
次に本発明に係る処理ガス供給システムの第12実施例について説明する。図13は本発明に係る処理ガス供給システムの第12実施例を示す部分構成図である。尚、図3及び図12に示した構成部分と同一構成部分については同一参照符号を付して、その説明を省略する。この第12実施例は、各流量制御器の上流側の圧力変動を更に抑制するようにしたものである。
すなわち、ここでは上記各余剰ガス排出通路24、40の途中に、図12で示した逆止弁28、44やニードル弁192、194を設けないで、これらの替わりに圧力調整弁196、198を設けており、主ガス通路14内の圧力を所定の値に制御できるようになっている。この場合、各流量制御器FC5、FC3の直ぐ上流側の主ガス通路14には、それぞれ圧力計200、202が設けられると共に、これらの圧力計200、202の測定値は、それぞれ弁制御部204、206へ入力され、これらの弁制御部204、206がそれぞれ個別に圧力調整弁196、198を制御するようになっている。
この場合には、上記圧力調整弁196、198の動作により、各流量制御器FC5、FC3の上流側の圧力は常に一定に維持されることになるので、各流量制御器FC5、FC3の動作を、第11実施例の場合と比較して更に安定化させることができるので、これらの流量制御の精度を一層向上させることができる。この圧力調整弁196、198の構成は、第1〜第10実施例においても適用することができる。
ところで、処理ガスの酸素濃度の切り替えを迅速に行ってスループットを向上させるには主ガス通路14の全体の長さをできるだけ短くし、通路内部の容積を可能な限り少なくすることが望ましく、またそのように設定されている。
更に、この実施例では、酸素濃度の切り替えを更に迅速化するために、上記主ガス通路14において、上記余剰ガス排出通路40の接続点P1と、この接続点P1よりも下流側における上記希釈ガス通路36の接続点P2との間の主ガス通路部分は、他の主ガス通路部分よりもその内径が細くなされている。
具体的には、ここでは主ガス通路14において余剰ガス排出通路40の接続点P1と、この接続点P1よりも下流側における上記希釈ガス通路36の接続点P2(具体的には混合器38)との間の主ガス通路部分L1は、他の主ガス通路部分よりもその内径が細くなされている。例えば、主ガス通路14の大部分は、例えば配管径が1/4インチの配管が用いられているが、この主ガス通路部分L1では配管径が1/8インチの配管が用いられている。これによれば、例えば処理ガスのO 濃度を切り替える場合には、上記主ガス通路部分L1の容積は非常に少なく設定されているので、この部分の処理ガスの入れ替えを迅速に行うことができる。
また、同様に、流量制御器FC1とその直ぐ下流側の希釈ガス通路16の接続点P3(混合器18)との間の主ガス通路部分L2も、この部分には100%濃度のO が残留しているので、上述のように配管径が1/8インチの配管を用いており、上述のように処理ガスの入れ替えを迅速に行うようにしている。この配管径を他の部分より小さくして容積を小さくする構成は、第1〜第10の実施例においても適用することができる。
<ガス使用系である処理装置>
次に、上記第1〜第12実施例の処理ガス供給システム2を用いたガス使用系である処理装置4の一例について説明する。図14はガス使用系である処理装置の一例を示す概略構成図である。まず図14(A)は、一度に複数枚の被処理体を処理するバッチ式の処理装置の一例を示し、図14(B)は1枚ずつ被処理体を処理する枚葉式の処理装置の一例を示す。
図14(A)に示す場合の処理装置4は、例えばアニール処理を行う処理装置であり、この処理装置4は、例えば天井部を有して下端が開放された円筒体状の石英製処理容器120を有している。この処理容器120内へは、その下方より例えばウエハボートのような保持手段122が上昇、或いは下降されて挿脱可能に設けられ、処理容器120の下端は蓋部124により密閉される。そして、上記保持手段122には、被処理体として複数枚の半導体ウエハWが多段に支持されている。
また処理容器120の下部には、圧力調整弁126及び真空ポンプ8が介設された真空排気系128が接続されており、上記処理容器120内を真空引きして所定の減圧雰囲気に維持できるようになっている。そして、上記処理容器120の外周には、これを囲むようにして円筒体状の加熱手段130が設けられており、上記ウエハWを加熱するようになっている。また、この処理容器120には、これにガスを導入するためのガス導入手段6が設けられる。このガス導入手段6は、例えば処理容器120内の上下方向に沿って延びるガスノズルよりなる。
そして、このガス導入手段6に、前記第1〜第5実施例及び第11、第12実施例の内のいずれか1つの処理ガス供給システム2が接続されており、前述したようにO 濃度が極く微量にコントロールされたガスを処理ガスとして供給するようになっている。なお、特にガス流量が多い場合には処理容器内の温度雰囲気を冷却することがないよう、予めガスを加熱しておくための熱交換器(ガス加熱器)を流量制御器FC5から濃度測定器30を経由してガス導入手段6に至る主ガス通路14に設置することが望ましい。
この処理装置を用いれば、Mn膜やCuMn膜が形成されている半導体ウエハWに対してO 濃度が極めて薄く濃度調整された雰囲気下にてアニール処理を施すことができる。尚、必要な場合には、他の処理ガスも処理容器120内へ供給できるのは勿論である。また上記アニール処理を図14(B)に示すような枚葉式の処理装置で行うようにしてもよい。
図14(B)に示す場合の処理装置4は、薄膜、例えばMn含有膜の成膜処理を行う処理装置であり、この処理装置4は、例えばアルミニウム合金等よりなる筒体状の処理容器134を有している。この処理容器134内には、被処理体である半導体ウエハWを保持する保持手段136が設けられる。具体的には、この保持手段136は、容器底部より支柱138により起立された円板状の載置台140よりなり、この載置台140上にウエハWが載置される。そして、この載置台140内には、例えばタングステンワイヤ等よりなる加熱手段142が設けられており、上記ウエハWを加熱するようになっている。
この処理容器134の底部には、排気口144が設けられ、この排気口144には圧力調整弁146及び真空ポンプ8が順次介設された真空排気系148が接続されており、上記処理容器134内を真空引きして所定の減圧雰囲気に維持できるようになっており、この圧力調整弁146を動作させるため、処理容器134内には図示しない圧力計が設置されている。また真空排気系148は、処理ガスが不要な工程のときには、処理容器134内から処理ガスを速やかに排気させる必要があることから、必要に応じてターボ分子ポンプ(TMP)やイオンポンプ、スパッタイオンポンプ、ノーブルポンプ、チタンサブリメーションポンプ、クライオポンプ等の高真空排気系を設置することもできる。
そして、この処理容器134の天井部には、例えばシャワーヘッドよりなるガス導入手段6が設けられており、処理容器134内へ必要なガスを供給するようになっている。そして、このガス導入手段6にMn原料を供給するMn原料供給系152と、第6〜第10実施例の内のいずれか1つの処理ガス供給システム2が接続されて、前述したようにH O濃度が極めて微量にコントロールされたガスを処理ガスとして供給するようになっている。
また上記Mn原料供給系152では、Mn原料として例えばMn有機金属材料が用いられ、このガスを流量制御しつつ供給するようになっている。尚、この場合、H OとMn原料とはシャワーヘッド(ガス導入手段)6内は別々で流れ、処理容器134内で初めて混合される、いわゆるポストミックスの供給方式となる。
この処理装置を用いれば、半導体ウエハW上に品質が良好なMn含有膜を膜厚制御性良く形成することができる。尚、必要な場合には、他の処理ガスも処理容器134内へ供給できるのは勿論である。また、上記成膜処理を図14(A)に示すようなバッチ式の処理装置内で行うようにしてもよい。
以上の各実施例では、希釈ガスとしてArガスを用いた場合を例にとって説明したが、これに限定されず、希釈ガスは、N 及び希ガス(Ar、Ne、He、Xe等)よりなる群から選択される1以上のガスを用いることができる。
また、ここで説明した各ガスの流量やガス濃度は単に一例を示したに過ぎず、これらの数値例に限定されないのは勿論である。
また、アニール処理される薄膜としては、Mn含有膜やCuMn膜の他に、Cu膜、Co膜、W膜、Al膜や、さらに高誘電率膜(high−k膜)等にも適用することができる。また上記各実施例では、処理ガスに、最大2段階に亘って希釈ガスを混合させて希釈するようにしたが、これに限定されるものではなく、3段階以上に亘って希釈ガスを混合させて処理ガスを希釈するようにしてもよい。この場合には、希釈段階数に見合った希釈ガス通路、余剰ガス排出通路、混合器等を設けてもよいのは勿論である。
また、上記実施例ではO ガス又は水分(水蒸気)を希釈して供給する場合を例にとって説明したが、これらのガスに限定されず、例えば複数の処理ガスを混合した後にこれを希釈して低濃度な希釈処理ガスを生成する場合にも適用可能である。さらに本発明は全てのガスの供給に際して適用できるのは勿論であり、反応促進剤、反応抑制剤、酸化剤、H 等の還元剤などのガスを微量添加する場合に特に有効である。
更には、図14(B)に示す成膜処理では熱CVDによって、成膜する場合を例にとって説明したが、これに限定されず本発明はALD(Atomic Layer Deposition)法、スパッタ法、蒸着法等による成膜方法にも適用することができる。また更には、本発明は、成膜処理やアニール処理に限定されず、他の全ての処理、例えば酸化拡散処理、アッシング処理、改質処理等にも適用することができる。
また、ここでは被処理体として半導体ウエハを例にとって説明したが、これに限定されず、ガラス基板、LCD基板、セラミック基板等にも本発明を適用することができる。
処理装置に接続された本発明に係る処理ガス供給システムの第1実施例を示す構成図である。 主ガス通路と希釈ガス通路の接続部を示す図である。 処理装置に接続された本発明に係る処理ガス供給システムの第2実施例を示す構成図である。 処理装置に接続された本発明に係る処理ガス供給システムの第3実施例を示す構成図である。 処理装置に接続された本発明に係る処理ガス供給システムの第4実施例を示す構成図である。 処理装置に接続された本発明に係る処理ガス供給システムの第5実施例を示す構成図である。 処理装置に接続された本発明に係る処理ガス供給システムの第6実施例を示す構成図である。 処理装置に接続された本発明に係る処理ガス供給システムの第7実施例を示す構成図である。 処理装置に接続された本発明に係る処理ガス供給システムの第8実施例を示す構成図である。 処理装置に接続された本発明に係る処理ガス供給システムの第9実施例を示す構成図である。 処理装置に接続された本発明に係る処理ガス供給システムの第10実施例を示す構成図である。 本発明に係る処理ガス供給システムの第11実施例を示す部分構成図である。 本発明に係る処理ガス供給システムの第12実施例を示す部分構成図である。 ガス使用系である処理装置の一例を示す概略構成図である。
符号の説明
2 処理ガス供給システム
4 処理装置(ガス使用系)
6 ガス導入手段
8 真空ポンプ
10,34 処理ガスタンク
12 希釈ガスタンク
14 主ガス通路
16,36 希釈ガス通路
18,38 混合器
24,40,54 余剰ガス排出通路
30 濃度測定器
32 フィードバック制御部
46 再利用ガス通路
66 液体原料タンク
72 液体原料
78 バブリング機構
92 圧力調整弁機構
100 処理ガス形成部
102 原料ガス供給系
104 反応部
106A,106B 原料ガスタンク
FC1〜FC5 流量制御器
W 半導体ウエハ(被処理体)

Claims (37)

  1. ガス使用系に対して希釈ガスにより希釈された処理ガスを供給する処理ガス供給システムにおいて、
    前記処理ガスを貯留する処理ガスタンクと、
    前記希釈ガスを貯留する希釈ガスタンクと、
    前記処理ガスタンクと前記ガス使用系とを接続する主ガス通路と、
    前記主ガス通路に介設した複数の流量制御器と、
    前記希釈ガスタンクから延びると共に、前記複数の流量制御器の内の最下流側の流量制御器以外の各流量制御器の直ぐ下流側の主ガス通路に接続された希釈ガス通路と、
    前記希釈ガス通路に介設される流量制御器と、
    前記複数の流量制御器の内の最上流側の流量制御器以外の各流量制御器の直ぐ上流側の主ガス通路に接続されて余剰な希釈された処理ガスを排出する余剰ガス排出通路と、
    を備えたことを特徴とする処理ガス供給システム。
  2. 前記処理ガスタンク内には、純粋な処理ガス、或いは希釈ガスにより所定の濃度に希釈された処理ガスが収容されていることを特徴とする請求項1記載の処理ガス供給システム。
  3. 前記余剰ガス排出通路は、該余剰ガス排出通路から排出された余剰ガスの全部、或いは一部を前記希釈ガスとして再利用するために再利用ガス通路を介して前記希釈ガス通路側へ接続されていることを特徴とする請求項1又は2記載の処理ガス供給システム。
  4. 前記余剰ガス排出通路は、該余剰ガス排出通路から排出される余剰ガスの全部、或いは一部を前記ガス使用系の真空ポンプに対するパージガスとして再利用するために再利用ガス通路を介して前記ガス使用系の真空ポンプ側へ接続されていることを特徴とする請求項1又は2記載の処理ガス供給システム。
  5. 前記再利用ガス通路には、該再利用ガス通路に流れる希釈された処理ガス中から処理ガスを吸収して除去し、希釈ガスを排出する処理ガス除去フィルタが介設されていることを特徴とする請求項3又は4記載の処理ガス供給システム。
  6. 前記ガス使用系の直前の前記主ガス通路、或いは前記ガス使用系には、前記処理ガスの濃度を測定する濃度測定器が設けられると共に、該濃度測定器の検出値に基づいて前記流量制御器をフィードバック制御するフィードバック制御部が設けられることを特徴とする請求項1乃至5のいずれか一項に記載の処理ガス供給システム。
  7. 前記フィードバック制御が行われる流量制御器は、前記主ガス通路に介設された前記流量制御器であることを特徴とする請求項6記載の処理ガス供給システム。
  8. 前記フィードバック制御が行われる流量制御器は、前記希釈ガス通路に介設された前記流量制御器であることを特徴とする請求項6記載の処理ガス供給システム。
  9. 前記希釈ガス通路の前記主ガス通路に対する各々の接続部には、混合器が設けられていることを特徴とする請求項1乃至8のいずれか一項に記載の処理ガス供給システム。
  10. 前記処理ガスは、O ガスであることを特徴とする請求項1乃至9のいずれか一項に記載の処理ガス供給システム。
  11. 前記希釈ガスは、N ガス及び希ガスよりなる群より選択される1以上のガスよりなることを特徴とする請求項1乃至10のいずれか一項に記載の処理ガス供給システム。
  12. ガス使用系に対して希釈ガスにより希釈された処理ガスを供給する処理ガス供給システムにおいて、
    前記処理ガスの液体原料を貯留する液体原料タンクと、
    前記希釈ガスを貯留する希釈ガスタンクと、
    前記液体原料タンクと前記ガス使用系とを接続する主ガス通路と、
    前記主ガス通路に介設した流量制御器と、
    前記希釈ガスタンクから延びると共に、前記流量制御器の下流側の主ガス通路に接続された希釈ガス通路と、
    を備えたことを特徴とする処理ガス供給システム。
  13. 前記液体原料タンクからは、貯留されている前記液体原料の蒸気圧によって発生した処理ガスが流されて行くことを特徴とする請求項12記載の処理ガス供給システム。
  14. ガス使用系に対して希釈ガスにより希釈された処理ガスを供給する処理ガス供給システムにおいて、
    前記処理ガスの液体原料を貯留する液体原料タンクと、
    前記希釈ガスを貯留する希釈ガスタンクと、
    前記液体原料タンク内へ流量制御器により流量制御された希釈ガスを供給してバブリングにより前記液体原料を気化させて前記処理ガスを形成するバブリング機構と、
    前記液体原料タンクと前記ガス使用系とを接続する主ガス通路と、
    前記希釈ガスタンクから延びて前記主ガス通路に接続された希釈ガス通路と、
    前記希釈ガス通路に介設された流量制御器と、
    を備えたことを特徴とする処理ガス供給システム。
  15. 前記主ガス通路に介設された流量制御器と、
    該流量制御器の直ぐ上流側の主ガス通路に接続されて余剰な希釈された処理ガスを排出する余剰ガス排出通路と、
    を有することを特徴とする請求項14記載の処理ガス供給システム。
  16. 前記主ガス通路に介設された複数の流量制御器と、
    前記複数の流量制御器の内の最下流側の流量制御器以外の各流量制御器の下流側の主ガス通路に接続された希釈ガス通路と、
    前記希釈ガス通路に介設された流量制御器と、
    前記複数の流量制御器の内の最上流側の流量制御器以外の各流量制御器の直ぐ上流側の主ガス通路に接続されて余剰な希釈された処理ガスを排出する余剰ガス排出通路と、
    を有することを特徴とする請求項14記載の処理ガス供給システム。
  17. 前記液体原料タンクの直ぐ下流側の主ガス通路には圧力調整弁機構が設けられていることを特徴とする請求項12乃至16のいずれか一項に記載の処理ガス供給システム。
  18. 前記処理ガスは、水蒸気(H O)であることを特徴とする請求項12乃至17のいずれか一項に記載の処理ガス供給システム。
  19. ガス使用系に対して希釈ガスにより希釈された処理ガスを供給する処理ガス供給システムにおいて、
    処理ガスを形成する処理ガス形成部と、
    希釈ガスを貯留する希釈ガスタンクと、
    前記処理ガス形成部と前記ガス使用系とを連通する主ガス通路と、
    前記主ガス通路に介設される1又は複数の流量制御器と、
    前記希釈ガスタンクから延びると共に前記1又は複数の流量制御器の上流側の主ガス通路に接続された希釈ガス通路と、
    前記希釈ガス通路に介設された流量制御器と、
    前記1又は複数の流量制御器の直ぐ上流側の主ガス通路に接続されて余剰な希釈された処理ガスを排出する余剰ガス排出通路と、
    を備えたことを特徴とする処理ガス供給システム。
  20. 前記処理ガス形成部は、
    前記処理ガスを形成するための複数の原料ガスを個別に流量制御しつつ供給する原料ガス供給系と、
    前記原料ガス供給系からの前記複数の原料ガスを反応させて前記処理ガスを形成する反応部と、
    を有することを特徴とする請求項19記載の処理ガス供給システム。
  21. 前記複数の原料ガスはH ガスとO ガスであり、前記処理ガスは水蒸気(H O)であることを特徴とする請求項20記載の処理ガス供給システム。
  22. 前記ガス使用系の直前の前記主ガス通路、或いは前記ガス使用系には、前記処理ガスの濃度を測定する濃度測定器が設けられると共に、該濃度測定器の検出値に基づいて前記流量制御器をフィードバック制御するフィードバック制御部が設けられることを特徴とする請求項12乃至21のいずれか一項に記載の処理ガス供給システム。
  23. 前記フィードバック制御が行われる流量制御器は、前記主ガス通路に介設された前記流量制御器、或いは前記処理ガス形成部に設けられた流量制御器であることを特徴とする請求項22記載の処理ガス供給システム。
  24. 前記フィードバック制御が行われる流量制御器は、前記希釈ガス通路に介設された前記流量制御器であることを特徴とする請求項22記載の処理ガス供給システム。
  25. 前記希釈ガス通路の前記主ガス通路に対する各々の接続部には、混合器が設けられていることを特徴とする請求項12乃至24のいずれか一項に記載の処理ガス供給システム。
  26. 前記希釈ガスは、N ガス及び希ガスよりなる群より選択される1以上のガスよりなることを特徴とする請求項12乃至25のいずれか一項に記載の処理ガス供給システム。
  27. 前記ガス使用系は、被処理体の表面に薄膜の成膜処理を行う成膜チャンバ、或いは薄膜が形成された被処理体に対してアニール処理を行うアニールチャンバであることを特徴とする請求項1乃至26のいずれか一項に記載の処理ガス供給システム。
  28. 前記薄膜はCuMn膜、高誘電率膜、Mn膜、及びMn含有膜の内のいずれか1つであることを特徴とする請求項27記載の処理ガス供給システム。
  29. 最下流側に位置する前記流量制御器の下流側の主ガス通路には、前記ガス使用系をバイパスして前記処理ガスを流して廃棄させる廃棄ガス排出通路が接続されていることを特徴とする請求項1乃至28のいずれか一項に記載の処理ガス供給システム。
  30. 前記余剰ガス排出通路には、前記処理ガスの圧力が所定の圧力以上になると開動作する逆止弁が介在されていることを特徴とする請求項1乃至29のいずれか一項に記載の処理ガス供給システム。
  31. 前記余剰ガス排出通路には、前記逆止弁よりも上流側にニードル弁が介在されていることを特徴とする請求項30記載の処理ガス供給システム。
  32. 前記主ガス通路のガス圧力を測定する圧力計と、
    前記余剰ガス排出通路の途中に介設された圧力調整弁と、
    前記圧力計の測定値に基づいて前記圧力調整弁の弁開度を制御する弁制御部と、
    を備えたことを特徴とする請求項1乃至29のいずれか一項に記載の処理ガス供給システム。
  33. 前記主ガス通路において、前記余剰ガス排出通路の接続点と、該接続点よりも下流側における前記希釈ガス通路の接続点との間の主ガス通路部分は、他の主ガス通路部分よりもその内径が細くなされていることを特徴とする請求項1乃至32のいずれか一項に記載の処理ガス供給システム。
  34. 前記主ガス通路には、処理ガス中の酸素濃度を測定するジルコニア式の濃度測定器を設け、該ジルコニア式の濃度測定器の検出値に基づいて前記流量制御器を制御するフィードバック制御部を設けるように構成したことを特徴とする請求項1乃至33のいずれか一項に記載の処理ガス供給システム。
  35. 前記主ガス通路には、前記ジルコニア式の濃度測定器をバイパスさせるために開閉弁が介設された測定器バイパス管が設けられていることを特徴とする請求項1乃至34のいずれか一項に記載の処理ガス供給システム。
  36. 被処理体に対して所定の処理を施す処理装置において、
    前記被処理体を1枚、或いは複数枚収容することが可能な処理容器と、
    前記処理容器内で前記被処理体を保持する保持手段と、
    前記処理容器内へガスを導入するためのガス導入手段と、
    前記処理容器内を真空引きする真空排気系と、
    前記被処理体を加熱する加熱手段と、
    前記処理容器内へ希釈ガスにより希釈された処理ガスを供給するために前記ガス導入手段に接続された請求項1乃至35のいずれか一項に記載された処理ガス供給システムと、
    を備えたことを特徴とする処理装置。
  37. 前記真空排気系には、途中に開閉弁と真空ポンプが介設された主排気通路と、前記真空ポンプを迂回するように前記主排気通路に接続されると共に途中に開閉弁が介設された大気圧処理用のバイパス排気通路とを有することを特徴とする請求項36記載の処理装置。
JP2008208782A 2007-08-30 2008-08-13 処理ガス供給システム及び処理装置 Ceased JP2009076881A (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2008208782A JP2009076881A (ja) 2007-08-30 2008-08-13 処理ガス供給システム及び処理装置
KR1020107001252A KR101140476B1 (ko) 2007-08-30 2008-08-28 처리 가스 공급 시스템 및 처리 장치
CN2008801011449A CN101765680B (zh) 2007-08-30 2008-08-28 处理气体供给系统及处理装置
US12/675,222 US20110139272A1 (en) 2007-08-30 2008-08-28 Process-gas supply and processing system
PCT/JP2008/065430 WO2009028619A1 (ja) 2007-08-30 2008-08-28 処理ガス供給システム及び処理装置

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2007224759 2007-08-30
JP2008208782A JP2009076881A (ja) 2007-08-30 2008-08-13 処理ガス供給システム及び処理装置

Publications (1)

Publication Number Publication Date
JP2009076881A true JP2009076881A (ja) 2009-04-09

Family

ID=40611518

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008208782A Ceased JP2009076881A (ja) 2007-08-30 2008-08-13 処理ガス供給システム及び処理装置

Country Status (4)

Country Link
US (1) US20110139272A1 (ja)
JP (1) JP2009076881A (ja)
KR (1) KR101140476B1 (ja)
CN (1) CN101765680B (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011026685A (ja) * 2009-07-29 2011-02-10 Choshu Industry Co Ltd プラズマcvd装置
US8531033B2 (en) 2009-09-07 2013-09-10 Advanced Interconnect Materials, Llc Contact plug structure, semiconductor device, and method for forming contact plug
JP2019129298A (ja) * 2018-01-26 2019-08-01 株式会社豊田中央研究所 成膜装置および半導体装置の製造方法

Families Citing this family (302)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5530118B2 (ja) * 2009-04-08 2014-06-25 東京エレクトロン株式会社 酸化マンガン膜の形成方法、半導体装置の製造方法および半導体装置
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI590335B (zh) * 2010-08-18 2017-07-01 半導體能源研究所股份有限公司 膜形成設備及膜形成方法
CN102747338A (zh) * 2011-04-18 2012-10-24 北大方正集团有限公司 一种气体传输管路和二氧化硅沉积装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
NZ715286A (en) * 2013-06-11 2020-03-27 Univ Houston Fixed and portable coating apparatuses and methods
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016182648A1 (en) * 2015-05-08 2016-11-17 Applied Materials, Inc. Method for controlling a processing system
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
DE102016105548A1 (de) * 2016-03-24 2017-09-28 Khs Plasmax Gmbh Verfahren und Vorrichtung zur Plasmabehandlung von Behältern
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10281263B2 (en) * 2016-05-02 2019-05-07 Kla-Tencor Corporation Critical dimension measurements with gaseous adsorption
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
CN107817139A (zh) * 2016-09-12 2018-03-20 东北林业大学 一种柴油车尾气稀释装置
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6948803B2 (ja) * 2017-03-02 2021-10-13 東京エレクトロン株式会社 ガス供給装置、ガス供給方法及び成膜方法
JP6998664B2 (ja) * 2017-03-23 2022-01-18 東京エレクトロン株式会社 ガスクラスター処理装置およびガスクラスター処理方法
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10927459B2 (en) * 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7096004B2 (ja) 2018-02-07 2022-07-05 株式会社Screenホールディングス 基板処理方法および基板処理装置
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
JP7182988B2 (ja) 2018-10-12 2022-12-05 東京エレクトロン株式会社 原料ガス供給装置、成膜装置及び原料ガス供給方法
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210063564A (ko) * 2019-11-25 2021-06-02 삼성전자주식회사 기판 처리 장치
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114959649A (zh) * 2022-05-19 2022-08-30 江苏微导纳米科技股份有限公司 一种基片处理设备和方法

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63184339A (ja) * 1987-01-27 1988-07-29 Tokyo Electron Ltd 酸化装置
JPH063318A (ja) * 1992-06-17 1994-01-11 Yokogawa Electric Corp ジルコニアガス分析計
JPH06295870A (ja) * 1993-04-08 1994-10-21 Nippon Steel Corp 化学的気相成長装置
JPH07283210A (ja) * 1994-04-01 1995-10-27 Sony Corp 絶縁膜形成装置及び絶縁膜形成方法
JPH09269100A (ja) * 1996-03-31 1997-10-14 Furontetsuku:Kk 混合ガス供給配管系
JPH10122178A (ja) * 1996-10-16 1998-05-12 Ebara Corp 真空ポンプ及びそのパージ方法
JP2004179499A (ja) * 2002-11-28 2004-06-24 Komatsu Electronic Metals Co Ltd 半導体エピタキシャルウェーハの製造装置
JP2005026455A (ja) * 2003-07-02 2005-01-27 Tokyo Electron Ltd 処理方法及び処理装置

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5240024A (en) * 1992-03-31 1993-08-31 Moore Epitaxial, Inc. Automated process gas supply system for evacuating a process line
FR2698288B1 (fr) * 1992-11-20 1994-12-23 Lair Liquide Procédé d'alimentation gazeuse notamment en diborane et silane.
US5777300A (en) * 1993-11-19 1998-07-07 Tokyo Electron Kabushiki Kaisha Processing furnace for oxidizing objects
KR100342720B1 (ko) * 1996-09-25 2002-11-29 슈즈리후렛샤 가이하쓰교도구미아이 고밀도액화가스를사용하는세정수단
US5865205A (en) * 1997-04-17 1999-02-02 Applied Materials, Inc. Dynamic gas flow controller
US6799603B1 (en) * 1999-09-20 2004-10-05 Moore Epitaxial, Inc. Gas flow controller system
KR100332313B1 (ko) * 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
US6938638B2 (en) * 2000-12-28 2005-09-06 Kabushiki Kaisha Toshiba Gas circulating-processing apparatus
JP4335469B2 (ja) * 2001-03-22 2009-09-30 株式会社荏原製作所 真空排気装置のガス循環量調整方法及び装置
JP2002339071A (ja) * 2001-05-18 2002-11-27 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Alcvdシステムにおける処理ガス供給機構
JP3495033B1 (ja) * 2002-09-19 2004-02-09 東京エレクトロン株式会社 無電解メッキ装置、および無電解メッキ方法
US6868869B2 (en) * 2003-02-19 2005-03-22 Advanced Technology Materials, Inc. Sub-atmospheric pressure delivery of liquids, solids and low vapor pressure gases
JP4478038B2 (ja) * 2004-02-27 2010-06-09 株式会社半導体理工学研究センター 半導体装置及びその製造方法

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63184339A (ja) * 1987-01-27 1988-07-29 Tokyo Electron Ltd 酸化装置
JPH063318A (ja) * 1992-06-17 1994-01-11 Yokogawa Electric Corp ジルコニアガス分析計
JPH06295870A (ja) * 1993-04-08 1994-10-21 Nippon Steel Corp 化学的気相成長装置
JPH07283210A (ja) * 1994-04-01 1995-10-27 Sony Corp 絶縁膜形成装置及び絶縁膜形成方法
JPH09269100A (ja) * 1996-03-31 1997-10-14 Furontetsuku:Kk 混合ガス供給配管系
JPH10122178A (ja) * 1996-10-16 1998-05-12 Ebara Corp 真空ポンプ及びそのパージ方法
JP2004179499A (ja) * 2002-11-28 2004-06-24 Komatsu Electronic Metals Co Ltd 半導体エピタキシャルウェーハの製造装置
JP2005026455A (ja) * 2003-07-02 2005-01-27 Tokyo Electron Ltd 処理方法及び処理装置

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011026685A (ja) * 2009-07-29 2011-02-10 Choshu Industry Co Ltd プラズマcvd装置
US8531033B2 (en) 2009-09-07 2013-09-10 Advanced Interconnect Materials, Llc Contact plug structure, semiconductor device, and method for forming contact plug
JP2019129298A (ja) * 2018-01-26 2019-08-01 株式会社豊田中央研究所 成膜装置および半導体装置の製造方法
JP7017084B2 (ja) 2018-01-26 2022-02-08 株式会社豊田中央研究所 成膜装置および半導体装置の製造方法

Also Published As

Publication number Publication date
CN101765680A (zh) 2010-06-30
US20110139272A1 (en) 2011-06-16
KR20100039850A (ko) 2010-04-16
KR101140476B1 (ko) 2012-04-30
CN101765680B (zh) 2013-04-03

Similar Documents

Publication Publication Date Title
JP2009076881A (ja) 処理ガス供給システム及び処理装置
JP4590402B2 (ja) 基板の処理装置
US8235001B2 (en) Substrate processing apparatus and method for manufacturing semiconductor device
US7883581B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
US20050136657A1 (en) Film-formation method for semiconductor process
JP4365785B2 (ja) 成膜装置
JP2008277762A (ja) 基板処理装置および半導体装置の製造方法
JP4235076B2 (ja) 半導体製造装置および半導体製造方法
KR100327282B1 (ko) 박막형성처리장치내의부착금속막의클리닝방법
US20070237895A1 (en) Method and system for initiating a deposition process utilizing a metal carbonyl precursor
US20240093361A1 (en) Vaporizer, processing apparatus and method of manufacturing semiconductor device
TW200909607A (en) Valve switching operation checking method, gas processing apparatus, and storage medium
JP4150356B2 (ja) 成膜装置及び成膜方法
JP4356943B2 (ja) 基板処理装置及び半導体装置の製造方法
US7858522B2 (en) Method for reducing carbon monoxide poisoning in a thin film deposition system
JP2004206662A (ja) 処理装置及び処理方法
JP2010219145A (ja) 成膜装置
JP2009146939A (ja) 化合物薄膜半導体製造装置並びにアンモニアガスの供給装置及び方法
US20060231026A1 (en) Vapor deposition systems having separate portions configured for purging using different materials
WO2021193480A1 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
JP5060375B2 (ja) 基板処理装置および半導体装置の製造方法
US20240060179A1 (en) Atomic layer deposition device and atomic layer deposition method
WO2007117803A2 (en) Method for introducing a precursor gas to a vapor deposition system
CN117769453A (zh) 具有缓冲罐的升华气体供应系统和升华气体供应方法
KR20090109058A (ko) 기판 처리 장치 및 반도체 장치의 제조 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110225

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130305

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130416

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130514

A045 Written measure of dismissal of application [lapsed due to lack of payment]

Free format text: JAPANESE INTERMEDIATE CODE: A045

Effective date: 20131001