CN107546106B - 半导体器件制造中的氧化锡薄膜间隔物 - Google Patents

半导体器件制造中的氧化锡薄膜间隔物 Download PDF

Info

Publication number
CN107546106B
CN107546106B CN201710498301.5A CN201710498301A CN107546106B CN 107546106 B CN107546106 B CN 107546106B CN 201710498301 A CN201710498301 A CN 201710498301A CN 107546106 B CN107546106 B CN 107546106B
Authority
CN
China
Prior art keywords
sno
layer
etch
semiconductor substrate
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201710498301.5A
Other languages
English (en)
Other versions
CN107546106A (zh
Inventor
大卫·查尔斯·史密斯
理查德·怀斯
阿潘·马霍罗瓦拉
帕特里克·A·范克利蒙布特
巴特·J·范施拉芬迪克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to CN202010517239.1A priority Critical patent/CN111769038A/zh
Priority to CN202011403430.XA priority patent/CN112701029A/zh
Publication of CN107546106A publication Critical patent/CN107546106A/zh
Application granted granted Critical
Publication of CN107546106B publication Critical patent/CN107546106B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/407Oxides of zinc, germanium, cadmium, indium, tin, thallium or bismuth
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02535Group 14 semiconducting materials including tin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • H01L21/28132Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects conducting part of electrode is difined by a sidewall spacer or a similar technique, e.g. oxidation under mask, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3342Resist stripping

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Public Health (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Hall/Mr Elements (AREA)
  • Thin Film Transistor (AREA)

Abstract

本发明涉及半导体器件制造中的氧化锡薄膜间隔物。在半导体器件制造中使用薄氧化锡膜作为间隔物。在一个实现方式中,薄氧化锡膜被共形沉积到具有第一材料(例如,氧化硅或氮化硅)的暴露层和包括第二材料(例如硅或碳)的多个突出特征的半导体衬底上。例如,可以使用原子层沉积来沉积10‑100nm厚的氧化锡层。然后,氧化锡膜被从水平表面去除,而不被从突出特征的侧壁完全去除。接下来,突出特征的材料被蚀刻掉,从而在衬底上留下氧化锡间隔物。之后,蚀刻第一材料的未保护部分,而不去除间隔物。接下来,蚀刻下层,并且去除间隔物。含锡颗粒可以通过将其转化成挥发性氢化锡而从处理室中去除。

Description

半导体器件制造中的氧化锡薄膜间隔物
技术领域
本发明涉及半导体器件制造中的图案化方法。具体地,本发明涉及在半导体加工中使用薄氧化锡膜作为间隔物的方法。
背景技术
在集成电路(IC)制造中,使用沉积和蚀刻技术来形成材料的图案,例如形成嵌入电介质层中的金属线。一些图案化方案涉及使用能够精确图案化和形成小尺度特征的间隔物。间隔物形成在衬底上,使得它们被分开限定的距离(通常由先前的图案化确定),并且被用作用于对下面的层进行图案化的掩模。间隔物和周围层的材料被选择为具有合适的蚀刻选择性,这使得能够形成间隔物并且图案化下面的层。在图案化完成之后,通过蚀刻去除间隔物,并且间隔物不是最终制造的半导体器件的一部分。
间隔物在各种应用中用于图案化,包括用于形成动态随机存取存储器(DRAM)、图案化鳍式场效应晶体管(finFET)中的鳍、以及线后端(BEOL)处理。
发明内容
已经发现,在图案化期间,许多间隔物材料(例如,氧化硅或氧化钛)引起间距变动(pitch walking)和/或颗粒污染问题。例如,氧化硅的特征在于相对于通常用于半导体处理中的许多材料的相对低的蚀刻选择性,从而需要使用较厚的间隔物。这又导致对过的(across the way)横向间隔物侧壁消耗不一致,并且最终可能导致间距变动(间隔物之间的距离不一致)。当使用氧化钛作为间隔物材料时,蚀刻选择性会是足够的,但是含钛颗粒可能污染处理室。例如,在氟碳等离子体蚀刻之后,氟化钛颗粒可能污染蚀刻室。这导致需要频繁地清洁蚀刻室并降低生产率。
这些问题在此通过使用氧化锡作为间隔物材料来解决。氧化锡具有高模量,高模量与减少间距变动和边缘粗糙度所需的良好蚀刻选择性相关。此外,与钛不同,锡形成可以容易地从处理室中除去的高挥发性的氢化物。因此,在一些实施方式中,提供的处理方法包括将任何含锡材料(例如氟化锡)(例如通过在含氢工艺气体中进行等离子体处理)转化为氢化锡,以及通过吹扫和/或抽排从处理室中除去形成的挥发性氢化锡。可以在蚀刻或沉积室中进行从室内部去除含锡颗粒的清洁过程,通常在不存在衬底的情况下进行。
在本发明的一个方面,提供一种处理半导体衬底的方法。所述方法包括:提供具有包括第一材料的暴露层和包括与所述第一材料不同的第二材料的至少一个突出特征的半导体衬底;以及在所述第一材料和所述第二材料两者上沉积SnO层,包括在所述至少一个突出特征的侧壁上沉积SnO层。所述第一材料和所述第二材料被选择为使得对于第一蚀刻化学过程所述第一材料的蚀刻速率与SnO的蚀刻速率的比率大于1,并且对于第二蚀刻化学过程所述第二材料的蚀刻速率与SnO的蚀刻速率的比率大于1。例如,在一些实施方式中,所述第一材料是氧化硅和/或氮化硅,并且所述第一蚀刻化学过程是氟碳等离子体蚀刻。在一些实施方式中,所述第二材料包括非晶硅和/或碳,所述第二蚀刻化学过程是氧化性的含氧化学过程(例如在包含HBr和O2的工艺气体中的等离子体处理)。
在一些实现方式中,所述衬底包括多个突出特征,并且在SnO沉积之前最靠近的突出特征之间的距离在约10-100nm之间。在一些实现方式中,最靠近的突出特征之间的距离在约40-100nm之间。在其它实现方式中,最靠近的突出特征之间的距离在约10-30nm之间。在一些实施方式中,例如通过原子层沉积(ALD)共形地沉积SnO层至约5-30nm之间的厚度,例如至约10-20nm之间的厚度。
在沉积所述SnO层之后,由所述SnO层形成间隔物。在一些实施方式中,间隔物的形成包括:在沉积SnO层之后,从所述半导体衬底的水平表面完全去除所述SnO层,而不完全去除覆盖所述至少一个突出特征的所述侧壁的所述SnO层。之后,使用所述第二蚀刻化学过程完全去除所述至少一个突出特征,而不完全去除覆盖所述至少一个突出特征的所述侧壁的所述SnO层,从而形成SnO间隔物。
在形成SnO间隔物之后,该处理可以通过以下步骤继续:使用所述第一蚀刻化学过程(例如使用等离子碳氟化合物体蚀刻)去除所述第一材料的暴露部分,而不完全除去所述SnO间隔物,从而暴露所述第一材料层下面的硬掩模层的部分。该处理之后可以除去所述SnO层和所述硬掩模层的暴露部分,而不完全去除位于所述SnO层下方的所述第一材料的层。
在一些实施方式中,本文提供的半导体处理方法涉及在本文提供的任何沉积和蚀刻操作之后,将处理室中剩余的含锡颗粒转化为氢化锡。通过将处理室暴露于在包含含氢气体的工艺气体中形成的等离子体来进行该转化。在一些实施方式中,所述含氢气体是H2和/或NH3。在一些实施方式中,通过将所述含锡颗粒(例如,氟化锡)转化为氢化锡以及通过从所述蚀刻室中除去挥发性氢化锡来在氟碳等离子体蚀刻之后清洁所述蚀刻室。
在一些实施方式中,本文提供的方法与光刻处理结合使用,并且包括:将光致抗蚀剂施加到所述半导体衬底;将所述光致抗蚀剂曝光;图案化所述光致抗蚀剂并将该图案转移到所述半导体衬底;以及从所述半导体衬底选择性地去除所述光致抗蚀剂。例如,在将SnO层沉积在所述衬底上之前,可以使用光刻来形成突出特征的图案。
在另一方面,提供了部分制备的半导体器件,其中所述器件包括第一材料(例如氧化硅或氮化硅)的暴露层以及位于所述第一材料的所述层上的多个SnO间隔物。在一些实施方式中,所述间隔物之间的距离在约5-90nm之间。
根据另一方面,提供了一种用于沉积SnO层的装置。所述装置包括:具有构造成用于在沉积期间将衬底保持在适当位置的衬底保持器的处理室,以及用于引入反应物的入口。所述装置还包括控制器,所述控制器包括根据本文提供的方法沉积SnO层的程序指令。
根据另一方面,提供了一种使用SnO间隔物处理半导体衬底的系统。所述系统包括一个或多个沉积处理室和一个或多个蚀刻处理室,以及包括根据本文提供的方法处理半导体衬底的程序指令的控制器。
根据另一方面,本文提供一种系统,其包括本文提供的任何装置或系统,以及步进机(stepper)。
根据另一方面,提供了一种非暂时性计算机机器可读介质,其包括用于控制本文提供的任何装置或系统的程序指令。所述指令包括用于本文提供的处理方法的代码。
具体而言,本发明的一些方面可以阐述如下:
1.一种处理半导体衬底的方法,所述方法包括:
(a)提供半导体衬底,所述半导体衬底具有包括第一材料的暴露层和包括与所述第一材料不同的第二材料的至少一个突出特征;以及
(b)在所述第一材料和所述第二材料两者上沉积SnO层,包括在所述至少一个突出特征的侧壁上沉积所述SnO层,其中所述第一材料和所述第二材料被选择为使得对于第一蚀刻化学过程所述第一材料的蚀刻速率与SnO的蚀刻速率的比率大于1,并且对于第二蚀刻化学过程所述第二材料的蚀刻速率与SnO的蚀刻速率的比率大于1。
2.根据条款1所述的方法,其中所述SnO层被共形地沉积。
3.根据条款1所述的方法,其中所述SnO层通过原子层沉积(ALD)沉积。
4.根据条款1所述的方法,其中所述SnO层被沉积到介于约5-30nm之间的厚度。
5.根据条款1所述的方法,其中所述SnO层被沉积到介于约10-20nm之间的厚度。
6.根据条款1所述的方法,其中所述第一材料包括选自氧化硅和氮化硅的材料。
7.根据条款1所述的方法,其中所述第二材料包括选自非晶硅和碳的材料。
8.根据条款1所述的方法,其中所述第一材料包括氧化硅,并且所述第一蚀刻化学过程是等离子体碳氟化合物蚀刻。
9.根据条款1所述的方法,其中所述第二材料包括非晶硅,并且所述第二蚀刻化学过程包括含氧的氧化化学过程。
10.根据条款9所述的方法,其中所述第二蚀刻化学过程是等离子体蚀刻,其中所述等离子体在包括HBr和O2的工艺气体中形成。
11.根据条款1所述的方法,其还包括:
(c)在沉积所述SnO层之后,从所述半导体衬底的水平表面完全去除所述SnO层,而不完全去除覆盖所述至少一个突出特征的所述侧壁的所述SnO层。
12.根据条款11所述的方法,其还包括:
(d)在从所述半导体衬底的水平表面去除所述SnO层之后,使用所述第二蚀刻化学过程完全去除所述至少一个突出特征,而不完全去除覆盖所述至少一个突出特征的所述侧壁的所述SnO层,从而形成SnO间隔物。
13.根据条款12所述的方法,其还包括:
(e)在形成所述SnO间隔物之后,使用所述第一蚀刻化学过程去除所述第一材料的暴露部分,而不完全除去所述SnO间隔物,从而暴露所述第一材料层下面的硬掩模层的部分。
14.根据条款13所述的方法,其还包括:
(f)在(e)之后,除去所述SnO层和所述硬掩模层的暴露部分,而不完全除去位于所述SnO层下方的所述第一材料层。
15.根据条款13所述的方法,其中(e)包括将所述衬底暴露于等离子体碳氟化合物蚀刻。
16.根据条款15所述的方法,其还包括:通过在(e)之后在包含含氢气体的工艺气体中形成等离子体来清洁蚀刻室,以形成挥发性氢化锡。
17.根据条款16所述的方法,其中所述含氢气体是H2和/或NH3
18.根据条款1所述的方法,其中所述半导体衬底包括包含所述第二材料的多个突出特征,其中最靠近的突出特征之间的距离在约10-100nm之间。
19.根据条款1所述的方法,其中所述半导体衬底包括包含所述第二材料的多个突出特征,其中最靠近的突出特征之间的距离在约40-100nm之间。
20.根据条款1所述的方法,其中所述半导体衬底包括包含所述第二材料的多个突出特征,其中最靠近的突出特征之间的距离在约10-30nm之间。
21.根据条款1所述的方法,其还包括:
将光致抗蚀剂施加到所述半导体衬底;
将所述光致抗蚀剂曝光;
图案化所述光致抗蚀剂并将该图案转移到所述半导体衬底;以及
选择性地从所述半导体衬底去除所述光致抗蚀剂。
22.根据条款1所述的方法,其中所述第一材料包括选自氮化硅和氧化硅的材料,并且其中所述第二材料包括选自非晶硅和碳的材料。
23.一种部分制备的半导体器件,其中所述器件包括第一材料的暴露层和位于所述第一材料的所述层上的多个SnO间隔物。
24.一种用于在半导体衬底上沉积SnO层的装置,所述装置包括:
处理室,其具有被配置为在SnO沉积期间保持所述半导体衬底的衬底保持器;
用于引入反应物的入口;和
控制器,其包括程序指令以用于:
在具有第一材料的暴露层和由第二材料制成的多个突出的半导体衬底上共形地沉积SnO层,其中所述第一材料选自氮化硅和氧化硅,并且所述第二材料选自非晶硅和碳。
25.一种用于处理半导体衬底的系统,所述系统包括:
一个或多个沉积处理室,
一个或多个蚀刻处理室,和
工艺控制器,其包括用于以下操作的程序指令:
(a)提供具有包括第一材料的暴露层和包括与所述第一材料不同的第二材料的至少一个突出特征的半导体衬底;以及
(b)在所述第一材料和所述第二材料两者上沉积SnO层,包括在所述至少一个突出特征的侧壁上沉积所述SnO层,其中所述第一材料和所述第二材料被选择为使得对于第一蚀刻化学过程所述第一材料的蚀刻速率与SnO的蚀刻速率的比率大于1,并且对于第二蚀刻化学过程所述第二材料的蚀刻速率与SnO的蚀刻速率的比率大于1。
26.根据条款25所述的系统,其还包括步进机。
27.一种非暂时性计算机可读介质,其包括用于以下操作的代码:
(a)提供具有包括第一材料的暴露层和包括与所述第一材料不同的第二材料的至少一个突出特征的半导体衬底;以及
(b)在所述第一材料和所述第二材料两者上沉积SnO层,包括在所述至少一个突出特征的侧壁上沉积所述SnO层,其中所述第一材料和所述第二材料被选择为使得对于第一蚀刻化学过程所述第一材料的蚀刻速率与SnO的蚀刻速率的比率大于1,并且对于第二蚀刻化学过程所述第二材料的蚀刻速率与SnO的蚀刻速率的比率大于1。
本说明书中描述的主题的实现方式的这些和其他方面在附图和下面的描述中阐述。
附图说明
图1-6示出了根据本文所述的实施方式进行处理的半导体衬底的示意性截面图。
图7是根据本文提供的实施方式的处理方法的工艺流程图。
图8是根据本文提供的实施方式的处理方法的工艺流程图。
图9是根据本文提供的实施方式的适于沉积SnO层的等离子体增强原子层沉积(PEALD)处理站的示意图。
图10示出了根据本文提供的实施方式的多站式处理工具的示意图。
图11是根据本文提供的实施方式的被配置成用于沉积和后处理薄膜的处理工具的框图。
具体实施方式
在下面的详细描述中,阐述了许多具体的实现方式,以便提供对所公开的实现方式的透彻理解。然而,如本领域普通技术人员将显而易见的是,所公开的实现方式可以在没有这些具体细节的情况下或通过使用供替换的元件或工艺来实现。在其他情况下,没有详细描述公知的处理、过程和组件,以免不必要地模糊所公开的实现方式的方面。
在本申请中,术语“半导体衬底”、“晶片”、“衬底”、“晶片衬底”和“部分制备的集成电路”可互换使用。本领域普通技术人员将理解,术语“部分制备的集成电路”可以指在其上的集成电路制造的许多阶段中的任何阶段期间的硅晶片。此外,术语“半导体衬底”是指在衬底内的任何地方含有半导体材料并且可以包括其它材料层的衬底。下面的详细描述假设所公开的实现方式在晶片上实现。然而,所公开的实现方式并不限于此。工件可以具有各种形状、尺寸和材料。除了半导体晶片之外,可以利用所公开的实现方式的其它工件包括诸如印刷电路板之类的各种制品。
本文提供了使用氧化锡(SnO)间隔物处理半导体衬底的方法。本文所用的氧化锡(此处也称为SnO)是指包括锡(Sn)和氧(O)并且可任选地包括氢的材料。如本文所用,氧化锡(SnO)还可以包括少量的其它元素,例如碳和氮,其中其它元素的总量为10原子%或更少(其中氢不包括在含量的计算内)。例如,ALD沉积的SnO可以含有约0.5-5原子%的碳。本文所用的术语“SnO”不表示氧化物的化学计量,该氧化物的化学计量可能变化。在一些具体实施方式中,SnO的化学计量比为每两个氧原子约1个锡原子。
应当理解,本文讨论的其它材料,例如硅(Si)、碳(C)、氧化硅(SiO2)和氮化硅(SiN)可以任选地包括氢。其他元素可以少量存在于这些材料中,例如其它元素(不包括氢)以10原子%或更少的组合含量存在。例如,术语“氧化硅”包括碳掺杂氧化硅和其它掺杂形式的氧化硅。
参考图1-6示出了使用氧化锡间隔物,图1-6提供了在处理的不同阶段的半导体衬底的示意性横截面图。图7和图8提供了半导体衬底处理方法的工艺流程图。
参考图7,该方法在701通过提供具有第一材料的暴露层和包括第二材料的至少一个突出特征的衬底开始。第一材料的层被称为蚀刻停止层(ESL),并且突出特征被称为心轴(mandrel)。在图1中示出了示例性衬底,其示出了位于ESL 103上的两个心轴101。在一些实施方式中,相邻心轴之间的距离d1在约10-100nm之间。在一些实施方式中,使用约40-100nm的相对较大的距离。在其他应用中,最靠近的心轴之间的距离介于约10-30nm之间。在一些实施方式中,最靠近的心轴的中心之间的距离d2(也称为间距)在约30-130nm之间。在一些实施方式中,间距在约80-130nm之间。在其它实施方式中,间距在约30~40nm之间。心轴的高度d3通常在约20-200nm之间,例如在约50-100nm之间。
选择心轴的材料和ESL的材料,以便使得能够随后在暴露的氧化锡存在的情况下对心轴材料进行选择性蚀刻,并且能够在暴露的氧化锡存在的情况下选择性蚀刻ESL材料。因此,对于第一蚀刻化学过程,ESL材料的蚀刻速率与氧化锡的蚀刻速率的比率大于1,更优选大于约1.5,例如大于约2。类似地,对于第二蚀刻化学过程,心轴材料的蚀刻速率与氧化锡的蚀刻速率的比率大于1,更优选大于约1.5,例如大于约2。
在一些实施方式中,ESL材料选自氧化硅基材料、氮化硅及其组合,而心轴材料为非晶硅(掺杂或未掺杂的)或碳(掺杂或未掺杂的)。用于硅和碳的掺杂剂的示例包括但不限于N、S、B和W。ESL层和心轴可以通过物理气相沉积(PVD)、化学气相沉积(CVD)、ALD(无等离子体或通过PEALD)或等离子体增强化学气相沉积(PECVD)形成,并且可以使用光刻技术来限定心轴的图案。
再次参考图1所示的衬底,ESL层103位于靶层(target layer)105上并与靶层105接触。靶层105是需要被图案化的层。靶层105可以是半导体、电介质或其他层,并且可以由例如硅(Si)、二氧化硅(SiO2)、氮化硅(SiN)或氮化钛(TiN)制成。在一些实施方式中,靶层被称为硬掩模层,并且包括金属氮化物,例如氮化钛。靶层105可以通过ALD(无等离子体或通过PEALD)、CVD或其它合适的沉积技术沉积。
靶层105位于层107上并与层107接触,在一些实施方式中,层107为包含嵌入到电介质材料层中的多个金属线的BEOL层。
再次参考图7,该工艺在703通过在第一和第二材料两者上沉积SnO层继续。参考图2所示的结构,SnO层109沉积在ESL 103上方,并且沉积在心轴101上方,包括沉积在心轴的侧壁上。通过诸如CVD(包括PECVD)、ALD(包括PEALD)、溅射等等之类的任何合适的方法沉积SnO层。在一些实施方式中,优选共形地沉积SnO膜,使得其遵循(follow)层103的表面和心轴101,如图2所示。在一些实施方式中,SnO层共形地沉积到介于约5-30nm之间的厚度,例如介于约10-20nm之间的厚度。共形SnO膜的合适沉积方法之一是ALD。可以使用热ALD或等离子体增强ALD。在典型的热ALD方法中,将衬底提供给ALD处理室,并且依次暴露于含锡前体和含氧反应物,其中含锡前体和含氧反应物能够在衬底的表面上反应以形成SnO。在将衬底暴露于含锡前体之后并且在含氧反应物进入处理室之前,ALD处理室通常用惰性气体吹扫,以防止在处理室的大部分(bulk)中的反应。此外,在用含氧反应物处理衬底之后,通常用惰性气体吹扫ALD处理室。顺序曝光重复几个循环,例如,可执行约10-100个循环,直到沉积具有所需厚度的SnO层。合适的含锡前体的示例包括卤化含锡前体(例如SnCl4和SnBr4)和非卤化的含锡前体(例如包括烷基取代的锡酰胺等的有机锡化合物)。适用于ALD的烷基取代的锡酰胺的具体示例是四(二甲基氨基)锡,四(乙基甲基氨基)锡,N2,N3-二叔丁基-丁烷-2,3-二氨基锡(II)(N2,N3-di-tert-butyl-butane-2,3-diamino-tin(II))和(1,3-双(1,1-二甲基乙基)-4,5-二甲基-(4R,5R)-1,3,2-二氮杂锡烷-2-亚基((1,3-bis(1,1-dimethylethyl)-4,5-dimethyl-(4R,5R)-1,3,2-diazastannolidin-2-ylidine)。含氧反应物包括但不限于氧、臭氧、水、过氧化氢和NO。也可以使用含氧反应物的混合物。沉积条件将根据ALD反应物的选择而变化,其中反应性较强的前体通常比反应性较弱的前体在更低的温度下反应。该工艺通常将在大约20-500℃之间的温度和低于大气压的压强下进行。选择温度和压强使得反应物在处理室中保持气态以避免冷凝。每种反应物被以气态形式单独提供给处理室或与载气(例如氩气、氦气或氮气)混合后提供给处理室。这些混合物的流速将取决于处理室的尺寸,并且在一些实施方式中流速为约10-10,000sccm。
Li等人的标题为“Tin Oxide with Controlled Morphology and Crystallinityby Atomic Layer Deposition onto Graphene Nanosheets for Enhanced LithiumStorage”(Advanced Functional Materials,2012,22,8,1647-1654)的文章描述了适用于沉积本文提供的共形SnO层的热ALD工艺条件的具体示例,该文章全部内容通过引用并入本文。该工艺包括在200-400℃的温度下将ALD真空室中的衬底顺序地且交替地暴露于SnCl4(含锡前体)和去离子水(含氧反应物)。在ALD循环的具体示例中,将SnCl4蒸气与N2载气的混合物引入ALD处理室中持续0.5秒,然后将其暴露于衬底3秒钟。接下来,用N2吹扫ALD处理室10秒以从处理室的大部分中去除SnCl4,并且使H2O蒸气与N2载气的混合物流入处理室持续1秒钟,并将其暴露于衬底3秒。接下来,用N2吹扫ALD处理室,并重复循环。ALD工艺在低于大气压的压强(例如0.4托)和200-400℃的温度下进行。
Du等人的标题为“In situ Examination of Tin Oxide Atomic LayerDeposition using Quartz Crystal Microbalance and Fourier Transform InfraredTechniques”(J.Vac.Sci.Technol.A 23,581(2005))的文章给出了适用于在本文提供的方法中沉积SnO膜的热ALD工艺条件的另一个示例,该文章全部内容通过引用并入本文。在该工艺中,衬底在约150-430℃之间的温度下在ALD处理室中依次暴露于SnCl4和H2O2
虽然在许多实施方式中使用ALD中的卤化锡前体是合适的,但在一些实施方式中,更优选使用非卤化有机锡前体,以避免在使用卤化前体(如SnCl4)的情况下可能发生的腐蚀问题。合适的非卤化有机锡前体的示例包括烷基氨基锡(烷基化的锡酰胺)前体,例如四(二甲基氨基)锡(tretrakis(dimethylamino)tin)。在Elam等人的题为“Atomic LayerDeposition of Tin Oxide Films using Tetrakis(dimethylamino)tin”(J.Vac.Sci.Technol.A 26,244(2008))的文章中提供了使用该前体的合适的热ALD沉积方法的示例,其全部内容通过引用并入本文。在该方法中,在约50-300℃之间的温度下,将衬底在ALD室中依次暴露于四(二甲基氨基)锡和H2O2。有利地,使用该前体允许在100℃或更低的低温下沉积SnO膜。例如,SnO膜可以在50℃下沉积而不使用等离子体来提高反应速率。在Elam等人的题目为“Atomic Layer Deposition of Indium Tin Oxide Thin Films UsingNonhalogenated Precursors”(J.Phys.Chem.C 2008,112,1938-1945)的文章中提供了使用四(二甲基氨基)锡和H2O2的SnO的热ALD的另一个示例,该文章通过引用并入本文。
在Heo等人的题为“Low temperature Atomic Layer Deposition of Tin Oxide”(Chem.Mater.,2010,22(7)4964-4973)的文章中提供了使用反应性有机锡前体的低温热ALD方法的另一个示例,该文章全部内容通过引用并入本文。在该沉积工艺(其适用于沉积本文提供的SnO膜)中,将衬底在ALD真空处理室中依次暴露于N2,N3-二叔丁基-丁烷-2,3-二氨基锡(II)(N3-di-tert-butyl-butane-2,3-diamino-tin(II))和50%H2O2。这些反应物被蒸发,并且每种反应物被提供到处理室,与N2载气混合。每次将衬底暴露于反应物之后,用N2吹扫室。沉积可以在约50-150℃之间的温度下进行。
虽然过氧化氢通常在ALD工艺中作为形成SnO的含氧反应物时很好地工作,但有时可能由于H2O2分解而导致对SnO膜生长的控制不足。在一些实施方式中,使用较稳定的含氧前体,例如NO。在Heo等人的题目为“Atomic Layer Deposition of Tin Oxide withNitric Oxide as an Oxidant Gas”(J.Mater.Chem.,2012,22,4599)的文章中提供了使用NO作为含氧反应物的合适工艺条件的示例,该文章通过引用并入本文。沉积包括在约130-250℃的温度下将衬底顺序地暴露于环Sn(II)酰胺(1,3-双(1,1-二甲基乙基)-4,5-二甲基-(4R,5R)-1,3,2-二氮杂锡烷-2-亚基(cyclic Sn(II)amide(1,3-bis(1,1-dimethylethyl)-4,5-dimethyl-(4R,5R)-1,3,2-diazastannolidin-2-ylidine)和NO。
在一些实施方式中,SnO膜由PEALD沉积。可以使用与上述的用于热ALD的含锡前体和含氧反应物的类型相同的含锡前体和含氧反应物。在PEALD中,ALD装置配备有用于在处理室中产生等离子体并且用等离子体处理衬底的系统。在典型的PEALD工艺步骤中,将衬底提供给PEALD处理室,并将其暴露于吸附在衬底表面上的含锡前体。用惰性气体(例如氩气或氦气)吹扫处理室,以从处理室中除去前体,并将衬底暴露于引入处理室的含氧反应物。在含氧反应物的引入的同时或者延迟之后,在处理室中形成等离子体。等离子体促进了在衬底表面上含锡前体与含氧反应物之间的导致形成SnO的反应。接下来,用惰性气体吹扫处理室,并且根据需要,多次重复包含锡前体配量(dosing)、吹扫、含氧反应物配量、等离子体处理和第二次吹扫的循环以形成所需厚度的SnO膜。
在Seop等人的题为“The Fabrication of Tin Oxide Films by Atomic LayerDeposition using Tetrakis(ethylmethylamino)tin Precursor”(Transactions onElectrical and Electronic Materials,2009,10,5,173-176)的文章中提供了适用于SnO膜的PEALD形成的工艺条件的示例,该文章通过引用并入本文。将衬底提供到PEALD处理室中,并在没有等离子体的情况下将衬底暴露于四(乙基甲基氨基)锡(tetrakis(ethylmethylamino)tin)4秒。接下来,通过使氩气流过处理室持续20秒,从处理室中吹扫含锡前体。然后,在射频(RF)功率为100W的情况下,注入O2额外2秒。然后进行氩气吹扫,这就完成了一个PEALD循环。在该示例中,该工艺在50-200℃的温度范围内且在0.8托的压强下进行。
虽然ALD(热ALD和等离子体增强ALD两者)是沉积SnO膜的优选方法之一,但是应当理解,也可以使用其它SnO沉积方法,例如CVD、PECVD和溅射。
参考图7的工艺图,在沉积SnO层之后,在705中通过在衬底上形成SnO间隔物来继续该工艺。SnO间隔物的形成由图3和图4示出。首先,SnO层109从层103上的水平表面和心轴101上的水平表面蚀刻,而不会从粘附到心轴101的侧壁的位置完全蚀刻。该蚀刻暴露层103的任何位置,除了靠近心轴101的侧壁的位置之外。另外,该蚀刻暴露心轴的顶部。所得到的结构如图3所示。该蚀刻的化学性质将取决于用于层101和103的材料的类型。在该步骤中用于SnO层去除的蚀刻被选择为使得SnO蚀刻速率与心轴材料蚀刻速率的比率大于1,并且使得SnO蚀刻速率与层103材料蚀刻速率的比率大于1。可以使用多种湿蚀刻和干蚀刻技术来蚀刻SnO。在湿蚀刻中,衬底与可以例如喷涂到衬底上的湿蚀刻剂接触。替代地,可以将衬底浸入湿(水性)蚀刻剂中。在干蚀刻中,将衬底放置在干蚀刻室中,其中在使用或不使用等离子体的情况下,衬底与气体蚀刻剂接触。本文所用的“湿蚀刻”是指用液体蚀刻剂进行蚀刻,而“干蚀刻”是指用气体(包括蒸发的)蚀刻剂进行蚀刻,与是否使用水无关。适用于蚀刻SnO的湿蚀刻的一个示例是酸蚀刻,其中衬底与酸(如HCl)的水溶液接触。
在HCl蚀刻的一个实现方式中,将衬底与由HCl的水溶液和铬金属制备的水溶液接触。该蚀刻化学过程在Wu等人的题为“Texture Etched SnO2 Glasses Applied toSilicon Thin-film Solar Cells”(Journal of Nanomaterials,vol.2014,1-9)的文章中描述,该文章通过引用全部并入本文。在该实施方式中,SnO层被含有HCl和Cr(II)离子的预制混合物蚀刻,这将Sn(IV)还原成Sn(II)并有助于溶解氧化物。在一实现方式中,通过在90℃下将铬金属(20g)溶于50%HCl水溶液(5L)中来制备HCl:Cr蚀刻溶液。铬浓度可以在0.05至1重量%之间变化。在一些实施方式中,在20-100℃的温度范围内进行蚀刻。
在湿法蚀刻工艺的另一个例子中,SnO层在锌粉的存在的情况下用HX(其中X是Cl、Br或I)水溶液进行处理。在这种方法中,氧化物直接由在锌与HX的反应中形成的氢气还原。在另一湿法蚀刻实施方式中,SnO被例如以H3PO4:H2O比为1:3提供的磷酸水溶液蚀刻。此外,SnO膜可以在约60℃的温度下被HNO3水溶液和HCl水溶液的混合物蚀刻或被HI水溶液蚀刻。
用于SnO去除的干蚀刻化学过程的一个示例包括用等离子体中的HBr处理。Kwon等人在题目为“Etch Mechanism of In2O3and SnO2 thin films in HBr-based inductivelycoupled plasmas”(J.Vac.Sci.Technol.A 28,226(2010))中的文章中描述了这种处理,该文章通过引用整体并入本文。用含有HBr和氩气的工艺气体中形成的电感耦合等离子体处理衬底。
在另一个实施方式中,含HBr的工艺气体还包括含氧化合物,例如O2。在一些实施方式中,通过将衬底暴露于在包含HBr、O2和N2的工艺气体中形成的等离子体来进行蚀刻。这种类型的蚀刻可以相对于诸如硅和氧化硅之类的材料选择性地去除SnO材料。应当注意,硅心轴的表面通常被氧化硅层覆盖,这保护硅心轴不被该蚀刻化学物质蚀刻。在一些实施方式中,该蚀刻步骤的工艺条件包括向衬底保持器施加相对较高的射频(RF)偏置,例如以增加等离子体中的离子能量并增大SnO材料的蚀刻速率。适用于SnO去除的其他干蚀刻化学物质包括在Cl2和烃的混合物中进行等离子体处理,以及在包括氯代烃(如CH2Cl2或CHCl3)的工艺气体中的等离子体中进行处理。在一些实施方式中,含有暴露的SnO层的衬底与在包含CH4和Cl2的工艺气体中形成的等离子体接触。
用于去除SnO膜的另一种合适的干蚀刻化学物质是基于氢的等离子体。在一些实施方式中,通过将衬底暴露于包含H2的工艺气体中形成的等离子体来蚀刻SnO。在一些实施方式中,在H2和烃(例如CH4)的混合物中形成的工艺气体中形成等离子体。
在一些实施方式中,从衬底的水平部分去除SnO层包括使用具有两种不同化学过程的两个步骤。在被称为主蚀刻的第一步骤中,SnO层的大部分从水平表面去除,而不完全暴露下面的心轴和ESL材料层。因此,蚀刻的蚀刻化学过程不需要是选择性的。在一些实施方式中,通过用在包含Cl2和烃(例如Cl2和CH4)的工艺气体中形成的等离子体处理衬底来进行主蚀刻。在主蚀刻蚀刻穿过SnO膜之后或在主蚀刻快要蚀刻穿过SnO膜时,蚀刻化学过程被切换到过蚀刻化学过程。可以通过使用光学探针来检测主蚀刻的终点,当心轴材料或ESL材料暴露时,该探针将发出信号。过蚀刻化学物质用于去除残留的SnO膜,而基本上不会蚀刻心轴和ESL的材料。对于过蚀刻化学过程,SnO蚀刻速率与心轴材料的蚀刻速率的比率优选大于1。对于过蚀刻化学过程,SnO的蚀刻速率与ESL材料的蚀刻速率的比率也是优选地大于1。在一些实施方式中(例如,当使用硅心轴和氧化硅ESL时),过蚀刻包括将具有剩余SnO膜的衬底、暴露的心轴和暴露的ESL暴露于在包含HBr、N2和O2的工艺气体中形成的等离子体。
该步骤中的SnO蚀刻从水平表面去除SnO,但是在心轴的侧壁处的SnO层的竖直部分保留在衬底上。接下来,如图4所示,将心轴101从衬底上移除,留下暴露的SnO间隔物101和暴露的ESL 103。通过将衬底暴露于选择性蚀刻心轴材料的蚀刻化学物质来进行心轴的去除。因此,在该步骤中,心轴材料的蚀刻速率与SnO的蚀刻速率之比大于1,更优选大于1.5。此外,该步骤中使用的蚀刻化学物质应相对于ESL材料选择性地蚀刻心轴材料。可以使用各种蚀刻方法,并且化学物质的具体选择取决于心轴的材料和ESL层的材料。当心轴由非晶硅制成并且ESL材料是氧化硅时,通过使用氧化性的含氧等离子体可以去除心轴。例如,可以通过将衬底暴露于在由HBr和O2组成的工艺气体中形成的等离子体来选择性地蚀刻硅心轴。该化学物质将在SnO和氧化硅的存在的情况下选择性地蚀刻硅材料。在一些实施方式中,在蚀刻开始之前,从硅心轴的表面去除薄的氧化硅保护层。这可以通过将衬底短暂暴露于在包括氟碳化合物的工艺气体中形成的等离子体来完成。在从心轴去除保护性氧化硅层之后,选择性地蚀刻硅。在一些实施方式中,在该步骤中优选使用相对较小的RF偏置,或者对衬底完全不使用外部偏置。如果没有使用外部偏置,则衬底的自偏置(10-20V)就足够了。在无偏置或低偏置条件下,HBr/O2等离子体将在SnO和氧化硅存在的情况下选择性地蚀刻硅。在图4中示出了在去除心轴之后显示SnO间隔物的所得结构。
接下来,暴露的ESL膜103被蚀刻以在未被SnO间隔物109保护的所有位置处暴露下面的靶层105。所得到的结构如图5所示。在该步骤中使用的蚀刻化学物质在SnO存在的情况下选择性地蚀刻ESL材料。换句话说,ESL材料的蚀刻速率与SnO的蚀刻速率之比大于1,更优选大于1.5。该步骤中使用的具体化学物质的类型将取决于ESL材料的类型。当使用氧化硅和氧化硅基材料时,可以通过将衬底暴露于在包括氟碳化合物的工艺气体中形成的等离子体来实现选择性蚀刻。例如,可以通过在包括CF4、C2F6和C3F8中的一种或多种的工艺气体中形成的等离子体来蚀刻ESL膜。
在下一步骤中,在未被ESL膜103保护的所有位置处蚀刻靶层105,以露出下层107。在该蚀刻步骤中还去除SnO间隔物109,提供图6所示的图案化结构。在一些实施方式中,选择在该步骤中使用的蚀刻化学物质以去除靶材料和SnO间隔物材料两者。在其他实施方式中,可以使用具有不同化学物质的两个不同的蚀刻步骤来图案化靶层105并且相应地去除SnO间隔物109。根据靶层的化学性质,可以使用多种蚀刻化学物质。在一个实施方式中,靶层105是金属氮化物层(例如,TiN)层。在该实施方式中,金属层被蚀刻,并且可以使用单一蚀刻化学物质通过将衬底暴露于在包含Cl2和烃(例如CH4)的工艺气体中形成的等离子体而去除SnO间隔物。通常,可以使用上述SnO蚀刻方法中的任何一种去除SnO间隔物。
在所描述的工艺步骤期间的任何时间,可以通过将含锡颗粒转化成可以通过吹扫和/或抽排容易地除去的挥发性氢化锡来清洁蚀刻室和/或沉积室。在一些实施方式中,该转化通过使衬底与在含氢气体(如H2、NH3或其混合物)中形成的等离子体接触来进行。
在图8的工艺流程图中提供了使用SnO间隔物的半导体衬底图案化的具体示例。参考图1-6所示的器件结构。该工艺在801通过提供具有包括氧化硅层和多个突出的硅特征的暴露层的衬底开始。在该示例中,参考图1,衬底包括暴露的氧化硅层103和由非晶硅制成的多个突出特征(心轴)101。硬掩模层105位于氧化硅层103的下方。在该示例中,硬掩模层由氮化钛制成。硬掩模层105覆盖在BEOL层107上。
接下来在操作803中,将SnO层共形地沉积在氧化硅层和硅突出特征两者上。在一些实施方式中,如先前所描述的,通过ALD(热ALD或等离子体辅助ALD)进行共形沉积。图2示出了覆盖硅心轴表面和氧化硅层的共形SnO层109。在操作805中,去除位于水平表面上的SnO,而不去除位于硅突出特征的侧壁上的SnO层。在该示例中,通过两步蚀刻进行该去除。在第一步骤中,通过将图2所示的衬底暴露于在包含Cl2和CH4的工艺气体中形成的等离子体来进行主蚀刻。接下来,在大部分SnO膜从水平表面去除后,通过将衬底暴露于过蚀刻化学物质(包括在由HBr、O2和N2组成的工艺气体中形成的等离子体)来从水平表面除去剩余的SnO膜。通过对衬底基座施加相对高的偏置来执行该步骤。在该步骤期间,硅心轴被氧化硅保护层覆盖,氧化硅保护层不被该化学物质明显蚀刻。所得到的结构如图3所示,其中氧化硅层103和硅心轴101被暴露。
接下来,在操作807中,去除硅突出特征并由此形成SnO间隔物,如图4所示。在该示例中,通过将衬底暴露于在包含HBr和O2的工艺气体中形成的等离子体而不给衬底施加偏置或者通过使用比在SnO层的HBr/O2/N2蚀刻中使用的偏置更低的偏置来选择性地蚀刻硅心轴。在一些实施方式中,在去除硅心轴之前,例如通过将衬底短暂暴露于在包括氟碳化合物的工艺气体中形成的等离子体来从硅的表面蚀刻保护性氧化硅层。
在随后的步骤809中,去除暴露的氧化硅层并暴露下面的硬掩模层。通过将衬底暴露于在包含一种或多种碳氟化合物的工艺气体中形成的等离子体来选择性地蚀刻氧化硅。
在该步骤之后,可以清洁进行氟碳化合物蚀刻的蚀刻处理室以除去任何含锡颗粒。例如,氟化锡可能无意中沉积在室的表面上。在从处理室中取出衬底之后,使含氢气体(例如H2、NH3或这些气体的混合物)流入处理室,以将含锡颗粒转化为挥发性氢化锡。在一个示例中通过在该工艺气体中形成等离子体进行清洁。在其它实施方式中,在不存在等离子体的情况下将该室暴露于H2。在去除氧化硅层之后获得的衬底在图5中示出,其示出了暴露的硬掩模层105。接下来在操作811中,去除暴露的硬掩模层和SnO间隔物。在一个示例中,通过将图5所示的衬底暴露于在由Cl2和CH4组成的工艺气体中形成的等离子体而形成TiN硬掩模和SnO层。
氧化锡与其它间隔物材料(例如TiO2和SiO2)相比是有利的,因为其特征在于与期望的蚀刻选择性性质相关的相对高的模量。体锡(II)氧化物的模量为360GPa,大于氧化钛的模量(210GPa)和氧化硅的模量(70GPa)。因此,通过使用SnO间隔物来解决与低蚀刻选择性有关的问题,例如间距变动。此外,氢化锡的熔点为-52℃,而氢化钛的熔点大于350℃。当使用氧化钛作为间隔物时,不可能通过将含钛颗粒(例如氯化钛或氟化钛)转化为氢化钛来清洁处理室,因为氢化钛不挥发。相比之下,当使用氧化锡作为间隔物材料时,可以通过将含锡颗粒转化成可从处理室中吹扫的挥发性氢化锡来容易地清洁处理室。
装置
本文公开的实现方式的另一方面是被配置为完成本文描述的方法的装置和系统。合适的装置包括用于完成处理操作的硬件和具有根据所公开的实现方式来控制处理操作的指令的系统控制器。在一些实施方式中,提供了用于沉积SnO层的沉积装置。在一些实施方式中,它是ALD装置(例如,PEALD装置)。在其它实施方式中,它可以是CVD装置或包括氧化锡靶的溅射装置。该装置包括处理室、用于在沉积期间将衬底保持在适当位置的支撑件、用于使工艺气体流入处理室的入口,并且还可以包括用于在处理室中形成等离子体的系统。此外,根据本文提供的方法,该装置包括具有用于沉积SnO层的程序指令的控制器。
本文提供的干蚀刻操作可以在配备有配置成用于输送气体试剂的输送管线和控制机构的各种装置中进行。合适的处理室的示例包括等离子体蚀刻室、RIE室、各向同性蚀刻室以及抗蚀剂剥离室(resist strip chamber)。在一些实施方式中,干蚀刻装置包括容纳用于保持衬底的支撑件的处理室和用于将一种或多种工艺气体输送到处理室的输送管线。在一些实施方式中,装置还包括用于在工艺气体中产生等离子体的系统。处理室还可以包括控制器,控制器包括用于执行蚀刻的程序指令。该指令可以包括用于输送工艺气体的指令、用于设定处理室中的温度和压力的指令、以及关于等离子体参数的指令。
本文提供的湿式蚀刻操作可以在配置成用于将湿蚀刻剂输送到衬底上的各种装置中进行。这些可以被配置成用于将衬底浸入液体蚀刻剂中,使蚀刻剂喷射或流动到衬底上或用于其它接触方法。在一些实施方式中,该装置包括用于在蚀刻剂输送期间将衬底保持在适当位置的可以被配置为旋转衬底的支撑件、以及配置成使液体蚀刻剂喷射或流动到衬底的一个或多个输送端口(例如,喷嘴)。该装置还可以包括具有用于湿蚀刻工艺的程序指令的控制器。
在另一方面,提供了一种系统,其中该系统包括被配置成用于沉积SnO层的沉积室和被配置成用于蚀刻衬底上的一种或多种材料的一个或多个蚀刻室(例如RIE室、湿蚀刻室)。该系统还包括具有根据本文公开的方法沉积SnO层和用于形成SnO间隔物的程序指令的控制器。
现在将根据本文提供的方法将PEALD装置描述为适合于沉积SnO层的装置的示例。
在一些实施方式中,SnO层的共形沉积在PEALD反应器中进行,该PEALD反应器是可从加利福尼亚州弗里蒙特的Lam Research Corp.获得的Vector Excel沉积模块的一部分。合适的处理室包括用于在沉积期间保持晶片衬底的支撑件(晶片基座)、用于在处理室中形成等离子体的发生器、以及用于输送工艺气体的组分(含锡前体、含氧反应物、载气等)到处理室的管道。该装置还被配置成用于吹扫和/或排空处理室并且用于在沉积期间保持处理室中所需的压力和温度。
在美国专利No.6,416,822、美国专利No.6,428,859和美国专利No.8,747,964中描述了PEALD处理室的示例,其全部内容通过引用并入本文。
图9示意性地示出了可用于沉积所提供的SnO膜的PEALD处理站900的实施方式。为了简单起见,处理站900被描绘为具有用于维持低压环境的处理室主体902的独立处理站。然而,应当理解,多个处理站900可以被包括在公共处理工具环境中。此外,应当理解,在一些实施方式中,可以通过一个或多个计算机控制器以编程方式来调节处理站900的一个或多个硬件参数,包括下面详细讨论的那些参数。
处理站900与反应物输送系统901流体连通,以用于将工艺气体输送到分配喷头906。反应物输送系统901包括混合容器904,以用于混合和/或调节用于输送到喷头906的工艺气体。一个或多个混合容器入口阀920可以控制将工艺气体引入混合容器904。类似地,喷头入口阀905可以控制将工艺气体引入喷头906。
一些反应物可以在蒸发并且之后输送到处理站前以液体形式储存。例如,图9的实施方式包括用于蒸发要供应到混合容器904的液体反应物的蒸发点903。在一些实施方式中,蒸发点903可以是加热的蒸发器。由这种蒸发器产生的反应物蒸气可以在下游输送管道中冷凝。不相容的气体暴露于凝聚的反应物会产生小颗粒。这些小颗粒可能阻塞管道、阻碍阀操作、污染衬底等。解决这些问题的一些方法包括扫除和/或排空输送管道以除去残留的反应物。但是,扫除输送管道可能会增加处理站循环时间,降低处理站的吞吐量。因此,在一些实施方式中,蒸发点903下游的输送管道可以被热追踪。在一些示例中,混合容器904也可以被热追踪。在一个非限制性示例中,蒸发点903下游的管道在混合容器904处具有从约100℃延伸至约150℃的升高的温度分布。
在一些实施方式中,反应物液体可以在液体注射器处蒸发。例如,液体注射器可以将液体反应物的脉冲注入到混合容器上游的载气流中。在一种情况下,液体注射器可以通过将液体从较高压力闪蒸至较低压力来使反应物汽化。在另一种情况下,液体注射器可以将液体雾化成分散的微滴,微滴随后在加热的输送管道中蒸发。应当理解,较小的液滴可以比较大的液滴更快地汽化,从而减少液体注射和完全汽化之间的延迟。较快的蒸发可以减少蒸发点903下游的管道的长度。在一种情况下,液体注射器可以直接安装到混合容器904。在另一种情况下,液体注射器可以直接安装到喷头906。
在一些实施方式中,可以提供蒸发点903上游的液体流量控制器,以用于控制用于汽化和输送到处理站900的液体的质量流量。例如,液体流量控制器(LFC)可以包括位于LFC下游的热质量流量计(MFM)。可以响应于由与MFM电连通的比例积分微分(PID)控制器提供的反馈控制信号来调节LFC的柱塞阀。然而,使用反馈控制可能需要一秒或更长的时间来稳定液体流量。这可能延长了液体反应物配量的时间。因此,在一些实施方式中,LFC可以在反馈控制模式和直接控制模式之间动态切换。在一些实施方式中,通过禁用LFC和PID控制器的感测管,LFC可以从反馈控制模式动态切换到直接控制模式。
喷头906将工艺气体分配到衬底912。在图9所示的实施方式中,衬底912位于喷头906下方,并且被示出为放置在基座908上。应当理解,喷头906可以具有任何合适的形状,并且可以具有任何适当数量和布置的用于将工艺气体分配到衬底912的端口。
在一些实施方式中,微体积907位于喷头906下方。在处理站的微体积而不是整个体积中执行ALD工艺可以减少反应物暴露和扫除(sweep)时间,可以减少改变工艺条件(例如压力、温度等)的时间,可以限制处理站机械手对工艺气体的暴露等。示例微体积尺寸包括但不限于介于0.1升和2升之间的体积。这种微体积也会影响生产率。当每个循环的沉积速率下降时,循环时间也同时减少。在某些情况下,后者的影响足够大,以对于给定目标厚度的膜提高模块的总体生产量。
在一些实施方式中,基座908可以被升高或降低以将衬底912暴露于微体积907和/或改变微体积907的体积。例如,在衬底输送阶段中,基座908可以被降低以允许衬底912被加载在基座908上。在沉积工艺阶段期间,基座908可以升高以将衬底912放置在微体积907内。在一些实施方式中,微体积907可以完全地包围衬底912以及基座908的一部分以在沉积工艺期间产生高流阻抗的区域。
任选地,基座908可以在沉积工艺的部分期间被降低和/或升高,以调节微体积907内的处理压力、反应物浓度等。在沉积工艺中处理室主体902保持在基础压力下的一种情况下,降低基座908可以使得能够将微体积907抽空。微体积与处理室体积的示例性比率包括但不限于介于1:900与1:10之间的体积比。应当理解,在一些实施方式中,基座高度可以由适当的计算机控制器以编程方式调节。
在另一种情况下,调节基座908的高度可以使得等离子体密度在包括在沉积工艺中的等离子体激活和/或处理循环期间能够变化。在沉积工艺阶段结束时,基座308可以在另一衬底输送阶段期间降低以使得能够从基座908移除衬底912。
虽然本文所述的示例性微体积变化涉及高度可调基座,但是应当理解,在一些实施方式中,可以相对于基座908调节喷头906的位置,以改变微体积907的体积。此外,应理解基座908和/或喷头906的竖直位置可以通过本公开范围内的任何合适的机构来改变。在一些实施方式中,基座908可以包括用于旋转衬底912的取向的旋转轴线。应当理解,在一些实施方式中,这些示例性调节中的一个或多个可以由一个或多个合适的计算机控制器以编程方式执行。
回到图9所示的实施方式,喷头906和基座908电连通RF电源914和匹配网络916以对等离子体供电。在一些实施方式中,可以通过控制处理站压力、气体浓度、RF源功率、RF源频率和等离子体功率脉冲定时中的一个或多个来控制等离子体能量。例如,RF电源914和匹配网络916可以以任何合适的功率操作,以形成具有所需组成的自由基物质的等离子体。上面列出了合适功率的例子。类似地,RF电源914可以提供任何合适频率的RF功率。在一些实施方式中,RF电源914可以被配置为控制彼此独立的高频和低频RF电源。示例性的低频RF频率可以包括但不限于在50kHz和900kHz之间的频率。示例性的高频RF频率可以包括但不限于在1.8MHz和2.45GHz之间的频率。应当理解,任何合适的参数可以被离散地或连续地调制以提供用于表面反应的等离子体能量。在一个非限制性示例中,等离子体功率可以间歇地脉冲以相对于被连续供电的等离子体减少对衬底表面的离子轰击。
在一些实施方式中,等离子体可以通过一个或多个等离子体监测器原位监测。在一种情况下,等离子体功率可以由一个或多个电压、电流传感器(例如,VI探针)监测。在另一种情况下,可以通过一个或多个光发射光谱传感器(OES)测量等离子体密度和/或工艺气体浓度。在一些实施方式中,可以基于来自这种原位等离子体监测器的测量来编程地调节一个或多个等离子体参数。例如,可以在反馈回路中使用OES传感器来提供对等离子体功率的编程控制。应当理解,在一些实施方式中,可以使用其它监测器来监测等离子体和其它工艺特性。这种监测器可以包括但不限于红外(IR)监测器、声音监测器和压力传感器。
在一些实施方式中,等离子体可以通过输入/输出控制(IOC)测序指令进行控制。在一个示例中,用于设置等离子体处理阶段的等离子体条件的指令可以包括在沉积工艺配方的相应等离子体激活配方阶段中。在一些情况下,可以顺序地布置工艺配方阶段,使得用于沉积处理阶段的所有指令与该处理阶段同时执行。在一些实施方式中,用于设置一个或多个等离子体参数的指令可以包括在等离子体处理阶段之前的配方阶段中。例如,第一配方阶段可以包括用于设定工艺气体或其各个组分的流速和/指令、用于将等离子体发生器设置到功率设定点的指令以及用于第一配方阶段的时间延迟指令。第二后续配方阶段可以包括用于启用等离子体发生器的指令和用于第二配方阶段的时间延迟指令。第三配方阶段可以包括用于禁用等离子体发生器的指令和用于第三配方阶段的时间延迟指令。应当理解,在本公开的范围内,这些配方阶段可以以任何合适的方式进一步细分和/或迭代。
在一些实施方式中,基座908可以经由加热器910进行温度控制。此外,在一些实施方式中,沉积处理站900的压力控制可以由蝶阀918提供。如图9的实施方式所示,蝶阀918节流由下游真空泵(未示出)提供的真空。然而,在一些实施方式中,处理站900的压力控制也可以通过改变引入到处理站900的一种或多种气体的流量来调节。
在一些实施方式中,本文提供的衬底在多站工具中进行处理。图10示出了具有入站装载锁1002和出站装载锁1004的多站处理工具1000的实施方式的示意图,入站装载锁1002和出站装载锁1004中一个或两个可以包括远程等离子体源。机械手1006在大气压力下被配置为将晶片从通过容器1008装载的盒经由大气端口1010移动到入口装载锁1002中。晶片由机械手1006放置在入站装载锁1002中的基座1012上,大气端口1010被关闭,并且装载锁被抽空(pump down)。在入站装载锁1002包括远程等离子体源的情况下,晶片在引入到处理室1014之前,晶片可以在装载锁中暴露于远程等离子体处理。此外,晶片也可以在入站装载锁1002中被加热以例如除去水分和吸附的气体。接下来,打开处理室1014的室输送端口1016,另一个机械手(未示出)将晶片放置在反应器中显示在反应器中的第一站的基座上,以进行处理。
所描绘的处理室1014包括在图10所示的实施方式中从1到4编号的四个处理站。每个站具有加热的基座(用于站1的基座示出为1018)和气体管线入口。应当理解,在一些实施方式中,每个处理站可以具有不同或多个目的。虽然所描绘的处理室1014包括四个站,但是应当理解,根据本公开的处理室可以具有任何合适数量的站。例如,在一些实施方式中,处理室可具有五个或更多个站,而在其它实施方式中,处理室可具有三个或更少个站。
图10还描绘了用于在处理室1014内传送晶片的晶片搬运系统1090的实施方式。在一些实施方式中,晶片搬运系统1090可以在各个处理站之间和/或处理站和装载锁之间传送晶片。应当理解,可以采用任何合适的晶片搬运系统。非限制性示例包括晶片转盘和晶片搬运机械手。图10还描绘了用于控制处理工具1000的工艺条件和硬件状态的系统控制器1050的实施方式。系统控制器1050可以包括一个或多个存储器设备1056、一个或多个大容量存储设备1054和一个或多个处理器1052。处理器1052可以包括CPU或计算机、模拟和/或数字输入/输出连接、步进电动机控制器板等。
在一些实施方式中,系统控制器1050控制处理工具1000的所有活动。系统控制器1050执行存储在大容量存储设备1054中、被加载到存储器设备1056中并在处理器1052上执行的系统控制软件1058。系统控制软件1058可以包括用于控制定时、气体混合物、室和/或站压力、室和/或站温度、吹扫条件和定时、晶片温度、RF功率电平、RF频率、衬底、基座、卡盘和/或感受器位置的指令、和由处理工具1000执行的特定处理的其他参数的指令。系统控制软件1058可以以任何合适的方式配置。例如,可以写入各种处理工具组件子程序或控制对象以控制根据所公开的方法执行各种处理工具处理所必需的处理工具组件的操作。系统控制软件1058可以以任何合适的计算机可读编程语言进行编码。
在一些实施方式中,系统控制软件1058可以包括用于控制上述各种参数的输入/输出控制(IOC)排序指令。例如,PEALD工艺的每个阶段可以包括用于由系统控制器1050执行的一个或多个指令。
在一些实施方式中可以采用存储在与系统控制器1050相关联的大容量存储设备1054和/或存储器设备1056上的其他计算机软件和/或程序。用于此目的的程序或程序段的示例包括衬底定位程序、工艺气体控制程序、压力控制程序、加热器控制程序和等离子体控制程序。
衬底定位程序可以包括用于处理工具部件的程序代码,该处理工具部件用于将衬底加载到基座1018上并且控制衬底和处理工具1000的其它部件之间的间隔。
工艺气体控制程序可以包括用于控制气体组成和流速以及可选地用于在沉积之前使气体流入一个或多个处理站以便稳定处理站中的压力的代码。工艺气体控制程序可以包括用于将气体成分和流速控制在公开范围中的任何范围内的代码。压力控制程序可以包括通过调节例如处理站的排气系统中的节流阀、进入处理站的气体流等来控制处理站中的压力的代码。压力控制程序可以包括用于将处理站中的压力保持在任何公开的压力范围内的代码。
加热器控制程序可以包括用于控制流到用于加热衬底的加热单元的电流的代码。或者,加热器控制程序可以控制向衬底传送传热气体(例如氦)。加热器控制程序可以包括将衬底的温度保持在所公开的范围内的指令。
等离子体控制程序可以包括用于设置在一个或多个处理站中施加到处理电极的RF功率电平和频率的代码,例如使用本文公开的任何RF功率电平。等离子体控制程序还可以包括用于控制每个等离子体暴露的持续时间的代码。
在一些实施方式中,可以存在与系统控制器1050相关联的用户界面。用户界面可以包括显示屏、设备和/或处理条件的图形软件显示、以及诸如定点设备、键盘、触摸屏、麦克风之类的用户输入设备。
在一些实施方式中,由系统控制器1050调节的参数可以涉及工艺条件。非限制性示例包括工艺气体组成和流速、温度、压力、等离子体条件(例如RF功率电平、频率和暴露时间)等。这些参数可以以配方的形式提供给用户,配方可以使用用户界面输入。
用于监测处理的信号可以通过系统控制器1050的模拟和/或数字输入连接从各种处理工具传感器提供。可以通过处理工具1000的模拟和数字输出连接来输出用于控制处理的信号。可以被监测的处理工具传感器的非限制性示例包括质量流量控制器、压力传感器(例如压力计)、热电偶等。可以将适当编程的反馈和控制算法与来自这些传感器的数据一起使用以维持工艺条件。
可以使用任何合适的室来实现所公开的实施方式。示例性沉积装置包括但不限于来自均可从加利福尼亚州弗里蒙特市的Lam Research Corp.获得的
Figure BDA0001333054010000261
产品系列、
Figure BDA0001333054010000262
产品系列和/或
Figure BDA0001333054010000263
产品系列的装置,或者来自任何各种其他商业上可获得的系统的装置。两个或多个站可以执行相同的功能。类似地,两个或更多个站可以执行不同的功能。每个站可以被设计/配置成根据需要执行特定的功能/方法。
图11是根据某些实施方式的适于进行薄膜沉积工艺的处理系统的框图。系统1100包括传送模块1103。传送模块1103提供清洁的加压环境,以最小化被处理的衬底在各种反应器模块之间移动时被污染的风险。安装在传送模块1103上的是两个多站反应器1109和1110,每个多站反应器能够根据某些实施方式执行原子层沉积(ALD)和/或化学气相沉积(CVD)。在其他实施方式中,一个反应器可以包含被配置为执行ALD的站,而另一个反应器可以包括被配置为执行蚀刻的站。反应器1109和1110可以包括根据所公开的实施方式可以顺序地或非顺序地执行操作的多个站1111、1113、1115和1117。这些站可以包括加热的基座或衬底支撑件、一个或多个气体入口或喷头或分散板。
还安装在传送模块1103上的可以是能够执行等离子体或化学(非等离子体)预清洁的一个或多个单站或多站模块1107,或所描述的与所公开的方法相关的任何其它处理。在一些情况下,模块1107可以用于各种处理,以便例如制备用于沉积工艺的衬底。模块1107还可以被设计/配置为执行诸如蚀刻或抛光之类的各种其它处理。系统1100还包括一个或多个晶片源模块1101,其中晶片在处理之前和之后被存储。大气传送室1119中的大气机械手(未示出)可以首先将晶片从源模块1101移到装载锁1121。传送模块1103中的晶片传送装置(通常是机械手臂单元)将晶片从装载锁1121移动到安装在传送模块1103上的模块并在安装在传送模块1103上的模块之间移动晶片。
在各种实施方式中,使用系统控制器1129来控制沉积期间的工艺条件。控制器1129通常将包括一个或多个存储器设备和一个或多个处理器。处理器可以包括CPU或计算机、模拟和/或数字输入/输出连接、步进电机控制器板等。
控制器1129可以控制沉积装置的所有活动。系统控制器1129执行系统控制软件,系统控制软件包括用于控制定时、气体混合物、室压力、室温度、晶片温度、射频(RF)功率电平、晶片卡盘或基座位置以及具体处理的其他参数的指令集。在一些实施方式中可以采用存储在与控制器1129相关联的存储器设备上的其他计算机程序。
通常,将存在与控制器1129相关联的用户界面。用户界面可以包括显示屏、设备和/或处理条件的图形软件显示、以及用户输入设备(例如定点设备、键盘、触摸屏、麦克风,等等)。
系统控制逻辑可以以任何合适的方式配置。通常,逻辑可以在硬件和/或软件中设计或配置。用于控制驱动电路的指令可以被硬编码或作为软件提供。指令可以由“编程”提供。这种编程被理解为包括任何形式的逻辑,该逻辑包括数字信号处理器、专用集成电路和具有实现为硬件的特定算法的其他设备中的硬编码逻辑。编程也被理解为包括可以在通用处理器上执行的软件或固件指令。系统控制软件可以用任何合适的计算机可读编程语言进行编码。
用于控制含锗还原剂脉冲、氢气流和含钨前体脉冲以及处理序列中的其它处理的计算机程序代码可以用任何常规的计算机可读编程语言(例如,汇编语言、C、C++、Pascal、Fortran等)编写。编译的对象代码或脚本由处理器执行以执行程序中识别的任务。同样如所示的,程序代码也可以是硬编码的。
控制器参数涉及工艺条件,例如工艺气体组成和流速、温度、压力、冷却气体压力、衬底温度和室壁温度。这些参数以配方的形式提供给用户,并且可以使用用户界面来输入。用于监测处理的信号可以由系统控制器1129的模拟和/或数字输入连接提供。用于控制处理的信号在沉积装置1100的模拟和数字输出连接上输出。
系统软件可以以许多不同的方式设计或配置。例如,可以写入各种室部件子程序或控制对象以控制执行根据所公开的实施方式的沉积工艺(和在某些情况下的其它工艺)所必需的室部件的操作。用于此目的的程序或程序段的示例包括衬底定位代码、工艺气体控制代码、压力控制代码和加热器控制代码。
在一些实现方式中,控制器1129是系统的一部分,该系统可以是上述示例的一部分。该系统可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制该系统的各种部件或子部件。根据处理要求和/或系统类型,控制器1129可以被编程以控制本文公开的任何工艺,包括控制工艺气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置和操作设置、进出工具和其他输送工具和/或连接到特定系统或与特定系统接口的装载锁的晶片输送。
概括地说,控制器可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用终点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式输送到控制器的指令,单独设置(或程序文件)定义用于在半导体晶片上或针对半导体晶片或系统执行特定工艺的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、氧化硅、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。
在一些实现方式中,控制器可以是与系统集成、耦合到系统、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或在晶片厂(fab)主机系统的全部或一部分中,其可以允许对晶片处理的远程访问。计算机可以实现对系统的远程访问以监测制造操作的当前进展、检查过去制造操作的历史、从多个制造操作研究趋势或性能度量,以改变当前处理的参数、设置要跟随当前处理的处理步骤、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供工艺配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户界面,然后将该参数和/或设置从远程计算机输送到系统。在一些示例中,控制器接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的工艺的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如上所述,控制器可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的工艺和控制)工作的一个或多个离散控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)定位的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的工艺。
示例系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理系统。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具中的一个或多个通信。
进一步的实施方式
本文所述的装置和工艺可以与光刻图案化工具或工艺结合使用,例如以用于制备或制造半导体器件、显示器、LED、光伏面板等。通常,虽然不是必须的,但是这样的装置和工艺将在共同的制造设施中一起使用或一起进行。膜的光刻图案化通常包括以下步骤中的一些或全部,每个步骤都可以使用许多可能的工具实现:(1)使用旋涂或喷涂工具在工件(即,衬底)上施加光致抗蚀剂;(2)使用热板或炉或UV固化工具固化光致抗蚀剂;(3)用诸如晶片步进机之类的工具将光致抗蚀剂暴露于可见光或UV或X射线光;(4)使抗蚀剂显影以选择性地除去抗蚀剂,从而使用诸如湿式工作台之类的工具对其进行图案化;(5)通过使用干蚀刻工具或等离子体辅助蚀刻工具将抗蚀剂图案转移到下面的膜或工件中;和(6)使用诸如RF或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。

Claims (24)

1.一种处理半导体衬底的方法,所述方法包括:
(a)提供半导体衬底,所述半导体衬底具有包括第一材料的暴露层和包括与所述第一材料不同的第二材料的至少一个突出特征;
(b)在所述第一材料和所述第二材料两者上沉积SnO2层,包括在所述至少一个突出特征的侧壁上沉积所述SnO2层,其中所述第一材料和所述第二材料被选择为使得对于第一蚀刻化学过程所述第一材料的蚀刻速率与SnO2的蚀刻速率的比率大于1,并且对于第二蚀刻化学过程所述第二材料的蚀刻速率与SnO2的蚀刻速率的比率大于1;
(c)在沉积所述SnO2层之后,从所述半导体衬底的水平表面完全去除所述SnO2层,而不完全去除覆盖所述至少一个突出特征的所述侧壁的所述SnO2层;
(d)在从所述半导体衬底的水平表面去除所述SnO2层之后,使用所述第二蚀刻化学过程完全去除所述至少一个突出特征,而不完全去除覆盖所述至少一个突出特征的所述侧壁的所述SnO2层,从而形成SnO2间隔物。
2.根据权利要求1所述的方法,其中所述SnO2层被共形地沉积。
3.根据权利要求1所述的方法,其中所述SnO2层通过原子层沉积(ALD)沉积。
4.根据权利要求1所述的方法,其中所述SnO2层被沉积到介于5-30nm之间的厚度。
5.根据权利要求1所述的方法,其中所述SnO2层被沉积到介于10-20nm之间的厚度。
6.根据权利要求1所述的方法,其中所述第一材料包括选自氧化硅和氮化硅的材料。
7.根据权利要求1所述的方法,其中所述第二材料包括选自非晶硅和碳的材料。
8.根据权利要求1所述的方法,其中所述第一材料包括氧化硅,并且所述第一蚀刻化学过程是等离子体碳氟化合物蚀刻。
9.根据权利要求1所述的方法,其中所述第二材料包括非晶硅,并且所述第二蚀刻化学过程包括含氧的氧化化学过程。
10.根据权利要求9所述的方法,其中所述第二蚀刻化学过程是等离子体蚀刻,其中所述等离子体在包括HBr和O2的工艺气体中形成。
11.根据权利要求1所述的方法,其还包括:
(e)在形成所述SnO2间隔物之后,使用所述第一蚀刻化学过程去除所述第一材料的暴露部分,而不完全除去所述SnO2间隔物,从而暴露所述第一材料层下面的硬掩模层的部分。
12.根据权利要求11所述的方法,其还包括:
(f)在步骤(e)之后,除去所述SnO2层和所述硬掩模层的暴露部分,而不完全除去位于所述SnO2层下方的所述第一材料层。
13.根据权利要求11所述的方法,其中步骤(e)包括将所述衬底暴露于等离子体碳氟化合物蚀刻。
14.根据权利要求13所述的方法,其还包括:通过在步骤(e)之后在包含含氢气体的工艺气体中形成等离子体来清洁蚀刻室,以形成挥发性氢化锡。
15.根据权利要求14所述的方法,其中所述含氢气体是H2和/或NH3
16.根据权利要求1所述的方法,其中所述半导体衬底包括包含所述第二材料的多个突出特征,其中最靠近的突出特征之间的距离在10-100nm之间。
17.根据权利要求16所述的方法,其中所述半导体衬底包括包含所述第二材料的多个突出特征,其中最靠近的突出特征之间的距离在40-100nm之间。
18.根据权利要求16所述的方法,其中所述半导体衬底包括包含所述第二材料的多个突出特征,其中最靠近的突出特征之间的距离在10-30nm之间。
19.根据权利要求1所述的方法,其还包括:
将光致抗蚀剂施加到所述半导体衬底;
将所述光致抗蚀剂曝光;
图案化所述光致抗蚀剂并将图案转移到所述半导体衬底;以及
选择性地从所述半导体衬底去除所述光致抗蚀剂。
20.根据权利要求1所述的方法,其中所述第一材料包括选自氮化硅和氧化硅的材料,并且其中所述第二材料包括选自非晶硅和碳的材料。
21.一种用于在半导体衬底上沉积SnO2层的装置,所述装置包括:
处理室,其具有被配置为在SnO2沉积期间保持所述半导体衬底的衬底保持器;
用于引入反应物的入口;和
控制器,其包括程序指令以用于:
在具有第一材料的暴露层和由第二材料制成的至少一个突出特征的半导体衬底上共形地沉积SnO2层,其中所述第一材料选自氮化硅和氧化硅,并且所述第二材料选自非晶硅和碳;
在沉积所述SnO2层之后,从所述半导体衬底的水平表面完全去除所述SnO2层,而不完全去除覆盖所述至少一个突出特征的侧壁的所述SnO2层;
在从所述半导体衬底的水平表面去除所述SnO2层之后,使用第二蚀刻化学过程完全去除所述至少一个突出特征,而不完全去除覆盖所述至少一个突出特征的所述侧壁的所述SnO2层,从而形成SnO2间隔物。
22.一种用于处理半导体衬底的系统,所述系统包括:
一个或多个沉积处理室,
一个或多个蚀刻处理室,和
工艺控制器,其包括用于以下操作的程序指令:
(a)提供具有包括第一材料的暴露层和包括与所述第一材料不同的第二材料的至少一个突出特征的半导体衬底;以及
(b)在所述第一材料和所述第二材料两者上沉积SnO2层,包括在所述至少一个突出特征的侧壁上沉积所述SnO2层,其中所述第一材料和所述第二材料被选择为使得对于第一蚀刻化学过程所述第一材料的蚀刻速率与SnO2的蚀刻速率的比率大于1,并且对于第二蚀刻化学过程所述第二材料的蚀刻速率与SnO2的蚀刻速率的比率大于1;
(c)在沉积所述SnO2层之后,从所述半导体衬底的水平表面完全去除所述SnO2层,而不完全去除覆盖所述至少一个突出特征的所述侧壁的所述SnO2层;
(d)在从所述半导体衬底的水平表面去除所述SnO2层之后,使用所述第二蚀刻化学过程完全去除所述至少一个突出特征,而不完全去除覆盖所述至少一个突出特征的所述侧壁的所述SnO2层,从而形成SnO2间隔物。
23.根据权利要求22所述的系统,其还包括步进机。
24.一种非暂时性计算机可读介质,其包括用于以下操作的代码:
(a)提供具有包括第一材料的暴露层和包括与所述第一材料不同的第二材料的至少一个突出特征的半导体衬底;以及
(b)在所述第一材料和所述第二材料两者上沉积SnO2层,包括在所述至少一个突出特征的侧壁上沉积所述SnO2层,其中所述第一材料和所述第二材料被选择为使得对于第一蚀刻化学过程所述第一材料的蚀刻速率与SnO2的蚀刻速率的比率大于1,并且对于第二蚀刻化学过程所述第二材料的蚀刻速率与SnO2的蚀刻速率的比率大于1;
(c)在沉积所述SnO2层之后,从所述半导体衬底的水平表面完全去除所述SnO2层,而不完全去除覆盖所述至少一个突出特征的所述侧壁的所述SnO2层;
(d)在从所述半导体衬底的水平表面去除所述SnO2层之后,使用所述第二蚀刻化学过程完全去除所述至少一个突出特征,而不完全去除覆盖所述至少一个突出特征的所述侧壁的所述SnO2层,从而形成SnO2间隔物。
CN201710498301.5A 2016-06-28 2017-06-27 半导体器件制造中的氧化锡薄膜间隔物 Active CN107546106B (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN202010517239.1A CN111769038A (zh) 2016-06-28 2017-06-27 半导体器件制造中的氧化锡薄膜间隔物
CN202011403430.XA CN112701029A (zh) 2016-06-28 2017-06-27 半导体器件制造中的氧化锡薄膜间隔物

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/195,348 2016-06-28
US15/195,348 US9824893B1 (en) 2016-06-28 2016-06-28 Tin oxide thin film spacers in semiconductor device manufacturing

Related Child Applications (2)

Application Number Title Priority Date Filing Date
CN202010517239.1A Division CN111769038A (zh) 2016-06-28 2017-06-27 半导体器件制造中的氧化锡薄膜间隔物
CN202011403430.XA Division CN112701029A (zh) 2016-06-28 2017-06-27 半导体器件制造中的氧化锡薄膜间隔物

Publications (2)

Publication Number Publication Date
CN107546106A CN107546106A (zh) 2018-01-05
CN107546106B true CN107546106B (zh) 2020-12-25

Family

ID=60320531

Family Applications (3)

Application Number Title Priority Date Filing Date
CN202010517239.1A Pending CN111769038A (zh) 2016-06-28 2017-06-27 半导体器件制造中的氧化锡薄膜间隔物
CN201710498301.5A Active CN107546106B (zh) 2016-06-28 2017-06-27 半导体器件制造中的氧化锡薄膜间隔物
CN202011403430.XA Pending CN112701029A (zh) 2016-06-28 2017-06-27 半导体器件制造中的氧化锡薄膜间隔物

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN202010517239.1A Pending CN111769038A (zh) 2016-06-28 2017-06-27 半导体器件制造中的氧化锡薄膜间隔物

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202011403430.XA Pending CN112701029A (zh) 2016-06-28 2017-06-27 半导体器件制造中的氧化锡薄膜间隔物

Country Status (6)

Country Link
US (4) US9824893B1 (zh)
JP (3) JP7096537B2 (zh)
KR (4) KR102416350B1 (zh)
CN (3) CN111769038A (zh)
SG (2) SG10201704783YA (zh)
TW (2) TWI824577B (zh)

Families Citing this family (293)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100069614A1 (en) 2008-06-27 2010-03-18 Merus B.V. Antibody producing non-human mammals
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR102306612B1 (ko) 2014-01-31 2021-09-29 램 리써치 코포레이션 진공-통합된 하드마스크 프로세스 및 장치
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9824893B1 (en) 2016-06-28 2017-11-21 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP2018046185A (ja) * 2016-09-15 2018-03-22 東京エレクトロン株式会社 酸化シリコン及び窒化シリコンを互いに選択的にエッチングする方法
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
KR20180093798A (ko) 2017-02-13 2018-08-22 램 리써치 코포레이션 에어 갭들을 생성하는 방법
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10546748B2 (en) 2017-02-17 2020-01-28 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20190035036A (ko) * 2017-09-25 2019-04-03 삼성전자주식회사 박막 형성 장치 및 이를 이용한 비정질 실리콘 막 형성방법
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11487205B2 (en) 2017-11-17 2022-11-01 Mitsui Chemicals, Inc. Semiconductor element intermediate, composition for forming metal-containing film, method of producing semiconductor element intermediate, and method of producing semiconductor element
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
KR102630349B1 (ko) * 2018-01-30 2024-01-29 램 리써치 코포레이션 패터닝에서 주석 옥사이드 맨드렐들 (mandrels)
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102626483B1 (ko) * 2018-03-01 2024-01-17 램 리써치 코포레이션 반도체 프로세싱을 위한 실리콘-기반 증착
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11987876B2 (en) 2018-03-19 2024-05-21 Lam Research Corporation Chamfer-less via integration scheme
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
CN112385018A (zh) * 2018-05-01 2021-02-19 应用材料公司 在选择性蚀刻工艺中提高选择性的方法
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
US10770305B2 (en) * 2018-05-11 2020-09-08 Tokyo Electron Limited Method of atomic layer etching of oxide
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US20190390341A1 (en) * 2018-06-26 2019-12-26 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10867804B2 (en) 2018-06-29 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning method for semiconductor device and structures resulting therefrom
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10840082B2 (en) 2018-08-09 2020-11-17 Lam Research Corporation Method to clean SnO2 film from chamber
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP7507146B2 (ja) * 2018-08-24 2024-06-27 ラム リサーチ コーポレーション 高アスペクト比エッチングのための金属含有パシベーション
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US20210308726A1 (en) * 2018-09-21 2021-10-07 Lam Research Corporation Etching metal-oxide and protecting chamber components
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
WO2020131793A1 (en) * 2018-12-20 2020-06-25 Mattson Technology, Inc. Silicon mandrel etch after native oxide punch-through
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
WO2020263757A1 (en) 2019-06-27 2020-12-30 Lam Research Corporation Alternating etch and passivation process
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
CN110504482A (zh) * 2019-08-08 2019-11-26 南开大学 固态锂电池石榴石型固态电解质材料的制备及表面处理方法与应用
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
EP3908882A4 (en) 2020-01-15 2022-03-16 Lam Research Corporation UNDERCOAT FOR PHOTOCOAT ADHESION AND DOSE REDUCTION
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202129832A (zh) * 2020-01-21 2021-08-01 荷蘭商Asm Ip 控股公司 用於均勻沉積之具有側壁隆起的基座及處理結晶基材之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
WO2022020507A1 (en) * 2020-07-23 2022-01-27 Lam Research Corporation Advanced self aligned multiple patterning using tin oxide
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2022163526A (ja) 2021-04-14 2022-10-26 東京エレクトロン株式会社 基板処理方法
KR20230170872A (ko) * 2021-04-21 2023-12-19 램 리써치 코포레이션 주석 옥사이드 챔버 세정 시간 최소화
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
CN113481485B (zh) * 2021-07-13 2023-09-05 南方科技大学 锡氧化物薄膜及其制备方法、太阳能电池及其制备方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230143629A1 (en) * 2021-11-09 2023-05-11 Tokyo Electron Limited EUV Active Films for EUV Lithography
US20240006188A1 (en) 2022-06-29 2024-01-04 Tokyo Electron Limited Plasma processing method and plasma processing system

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62179774A (ja) * 1986-02-04 1987-08-06 Fujitsu Ltd イメ−ジセンサの製造方法
JP2007208076A (ja) * 2006-02-02 2007-08-16 Fuji Electric Holdings Co Ltd 炭化珪素半導体基板のドライエッチング方法

Family Cites Families (116)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4778562A (en) 1984-08-13 1988-10-18 General Motors Corporation Reactive ion etching of tin oxide films using neutral reactant gas containing hydrogen
US4544444A (en) 1984-08-15 1985-10-01 General Motors Corporation Reactive ion etching of tin oxide films using silicon tetrachloride reactant gas
JPS62136579A (ja) 1985-12-09 1987-06-19 Victor Co Of Japan Ltd エツチング方法
US4708766A (en) * 1986-11-07 1987-11-24 Texas Instruments Incorporated Hydrogen iodide etch of tin oxide
JP2644758B2 (ja) 1987-07-22 1997-08-25 株式会社日立製作所 レジスト除去方法及び装置
JP3001891B2 (ja) 1987-10-01 2000-01-24 グンゼ株式会社 透明導電膜のエッチング方法及びその装置
FR2640809B1 (fr) 1988-12-19 1993-10-22 Chouan Yannick Procede de gravure d'une couche d'oxyde metallique et depot simultane d'un film de polymere, application de ce procede a la fabrication d'un transistor
US4878993A (en) 1988-12-22 1989-11-07 North American Philips Corporation Method of etching thin indium tin oxide films
JP2521815B2 (ja) 1989-08-17 1996-08-07 沖電気工業株式会社 透明導電膜のエッチング方法
US5032221A (en) 1990-05-07 1991-07-16 Eastman Kodak Company Etching indium tin oxide
US5171401A (en) 1990-06-04 1992-12-15 Eastman Kodak Company Plasma etching indium tin oxide
US5318664A (en) 1990-06-25 1994-06-07 General Electric Company Patterning of indium-tin oxide via selective reactive ion etching
JPH05267701A (ja) 1992-03-18 1993-10-15 Taiyo Yuden Co Ltd 酸化錫透明導電膜のパターニング方法
US5286337A (en) 1993-01-25 1994-02-15 North American Philips Corporation Reactive ion etching or indium tin oxide
KR0135165B1 (ko) 1993-10-15 1998-04-22 윤정환 다층레지스트를 이용한 패턴형성방법
US5723366A (en) 1994-09-28 1998-03-03 Sanyo Electric Co. Ltd. Dry etching method, method of fabricating semiconductor device, and method of fabricating liquid crystal display device
US5607602A (en) 1995-06-07 1997-03-04 Applied Komatsu Technology, Inc. High-rate dry-etch of indium and tin oxides by hydrogen and halogen radicals such as derived from HCl gas
JP4127869B2 (ja) * 1995-09-28 2008-07-30 三井化学株式会社 乾式エッチング方法
US5667631A (en) 1996-06-28 1997-09-16 Lam Research Corporation Dry etching of transparent electrodes in a low pressure plasma reactor
US6036876A (en) 1997-06-25 2000-03-14 Applied Komatsu Technology, Inc. Dry-etching of indium and tin oxides
US20010008227A1 (en) 1997-08-08 2001-07-19 Mitsuru Sadamoto Dry etching method of metal oxide/photoresist film laminate
GB9726511D0 (en) 1997-12-13 1998-02-11 Philips Electronics Nv Thin film transistors and electronic devices comprising such
US6368978B1 (en) 1999-03-04 2002-04-09 Applied Materials, Inc. Hydrogen-free method of plasma etching indium tin oxide
JP4554011B2 (ja) 1999-08-10 2010-09-29 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
KR100447263B1 (ko) 1999-12-30 2004-09-07 주식회사 하이닉스반도체 식각 폴리머를 이용한 반도체 소자의 제조방법
US6789910B2 (en) 2000-04-12 2004-09-14 Semiconductor Energy Laboratory, Co., Ltd. Illumination apparatus
AU2001259119A1 (en) * 2000-04-25 2001-11-07 Tokyo Electron Limited Method and apparatus for plasma cleaning of workpieces
US6580475B2 (en) 2000-04-27 2003-06-17 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating the same
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6750394B2 (en) 2001-01-12 2004-06-15 Sharp Kabushiki Kaisha Thin-film solar cell and its manufacturing method
US6623653B2 (en) 2001-06-12 2003-09-23 Sharp Laboratories Of America, Inc. System and method for etching adjoining layers of silicon and indium tin oxide
US7547635B2 (en) 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
WO2004040649A1 (ja) * 2002-11-01 2004-05-13 Semiconductor Energy Laboratory Co., Ltd. 半導体装置および半導体装置の作製方法
KR100574952B1 (ko) 2003-11-04 2006-05-02 삼성전자주식회사 스플릿 게이트형 비휘발성 반도체 메모리 소자 제조방법
US7435610B2 (en) * 2003-12-31 2008-10-14 Chung Yuan Christian University Fabrication of array pH sensitive EGFET and its readout circuit
CN1914695B (zh) 2004-02-09 2010-05-05 旭硝子株式会社 透明电极的制造方法
US7355672B2 (en) 2004-10-04 2008-04-08 Asml Netherlands B.V. Method for the removal of deposition on an optical element, method for the protection of an optical element, device manufacturing method, apparatus including an optical element, and lithographic apparatus
US7338907B2 (en) * 2004-10-04 2008-03-04 Sharp Laboratories Of America, Inc. Selective etching processes of silicon nitride and indium oxide thin films for FeRAM device applications
US7868304B2 (en) 2005-02-07 2011-01-11 Asml Netherlands B.V. Method for removal of deposition on an optical element, lithographic apparatus, device manufacturing method, and device manufactured thereby
EP1707952A1 (de) 2005-03-31 2006-10-04 Micronas GmbH Gassensitiver Feldeffekttransistor mit Luftspalt und Verfahren zu dessen Herstellung
DE102005031469A1 (de) * 2005-07-04 2007-01-11 Merck Patent Gmbh Medium zur Ätzung von oxidischen, transparenten, leitfähigen Schichten
US7561247B2 (en) 2005-08-22 2009-07-14 Asml Netherlands B.V. Method for the removal of deposition on an optical element, method for the protection of an optical element, device manufacturing method, apparatus including an optical element, and lithographic apparatus
US7393736B2 (en) 2005-08-29 2008-07-01 Micron Technology, Inc. Atomic layer deposition of Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics
US8317929B2 (en) * 2005-09-16 2012-11-27 Asml Netherlands B.V. Lithographic apparatus comprising an electrical discharge generator and method for cleaning an element of a lithographic apparatus
US7372058B2 (en) 2005-09-27 2008-05-13 Asml Netherlands B.V. Ex-situ removal of deposition on an optical element
KR20070076721A (ko) * 2006-01-19 2007-07-25 삼성전자주식회사 웨이퍼의 박막 형성 공정 개선 방법
US20080061030A1 (en) 2006-09-13 2008-03-13 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for patterning indium tin oxide films
US7833893B2 (en) * 2007-07-10 2010-11-16 International Business Machines Corporation Method for forming conductive structures
KR100955265B1 (ko) * 2007-08-31 2010-04-30 주식회사 하이닉스반도체 반도체 소자의 미세패턴 형성방법
CN101952485A (zh) 2007-11-22 2011-01-19 出光兴产株式会社 蚀刻液组合物
US8349196B2 (en) 2007-12-06 2013-01-08 Intevac, Inc. System and method for commercial fabrication of patterned media
US8247315B2 (en) 2008-03-17 2012-08-21 Semiconductor Energy Laboratory Co., Ltd. Plasma processing apparatus and method for manufacturing semiconductor device
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
FR2936651B1 (fr) * 2008-09-30 2011-04-08 Commissariat Energie Atomique Dispositif optoelectronique organique et son procede d'encapsulation.
JP5446648B2 (ja) 2008-10-07 2014-03-19 信越化学工業株式会社 パターン形成方法
KR20100044029A (ko) 2008-10-21 2010-04-29 주식회사 하이닉스반도체 반도체 소자의 제조 방법
KR20100052598A (ko) 2008-11-11 2010-05-20 삼성전자주식회사 미세 패턴의 형성방법
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
CN103456794B (zh) 2008-12-19 2016-08-10 株式会社半导体能源研究所 晶体管的制造方法
WO2010134176A1 (ja) 2009-05-20 2010-11-25 株式会社 東芝 凹凸パターン形成方法
US8163094B1 (en) 2009-07-23 2012-04-24 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Method to improve indium bump bonding via indium oxide removal using a multi-step plasma process
US9390909B2 (en) * 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8435901B2 (en) 2010-06-11 2013-05-07 Tokyo Electron Limited Method of selectively etching an insulation stack for a metal interconnect
JP2012099517A (ja) 2010-10-29 2012-05-24 Sony Corp 半導体装置及び半導体装置の製造方法
WO2012060940A1 (en) 2010-11-04 2012-05-10 Novellus Systems, Inc. Ion-induced atomic layer deposition of tantalum
US9111775B2 (en) 2011-01-28 2015-08-18 Semiconductor Energy Laboratory Co., Ltd. Silicon structure and manufacturing methods thereof and of capacitor including silicon structure
KR20120125102A (ko) * 2011-05-06 2012-11-14 한국화학연구원 원자층 증착법을 이용한 주석산화물 박막의 제조방법
US9190316B2 (en) 2011-10-26 2015-11-17 Globalfoundries U.S. 2 Llc Low energy etch process for nitrogen-containing dielectric layer
TWI479663B (zh) 2011-12-22 2015-04-01 Au Optronics Corp 陣列基板及其製作方法
JP6015893B2 (ja) 2012-02-28 2016-10-26 国立研究開発法人産業技術総合研究所 薄膜トランジスタの製造方法
DE112013001641T5 (de) 2012-03-23 2014-12-31 Sanyo Electric Co., Ltd. Solarzelle und Verfahren zum Herstellen einer Solarzelle
US10861978B2 (en) 2012-04-02 2020-12-08 Samsung Display Co., Ltd. Display device
US8987047B2 (en) 2012-04-02 2015-03-24 Samsung Display Co., Ltd. Thin film transistor, thin film transistor array panel including the same, and method of manufacturing the same
JPWO2014010310A1 (ja) 2012-07-10 2016-06-20 シャープ株式会社 半導体素子の製造方法
US20140060574A1 (en) * 2012-09-04 2014-03-06 Matheson Tri-Gas In-situ tco chamber clean
JP2014086500A (ja) 2012-10-22 2014-05-12 Tokyo Electron Ltd 銅層をエッチングする方法、及びマスク
JP6538300B2 (ja) * 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
TWI539626B (zh) 2012-12-21 2016-06-21 鴻海精密工業股份有限公司 發光二極體及其製造方法
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9437443B2 (en) 2013-06-12 2016-09-06 Globalfoundries Inc. Low-temperature sidewall image transfer process using ALD metals, metal oxides and metal nitrides
KR20150012540A (ko) 2013-07-25 2015-02-04 삼성디스플레이 주식회사 유기발광표시장치의 제조방법.
US9614053B2 (en) 2013-12-05 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Spacers with rectangular profile and methods of forming the same
US9171703B2 (en) * 2013-12-20 2015-10-27 Seagate Technology Llc Apparatus with sidewall protection for features
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US20150247238A1 (en) 2014-03-03 2015-09-03 Lam Research Corporation Rf cycle purging to reduce surface roughness in metal oxide and metal nitride films
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9209038B2 (en) 2014-05-02 2015-12-08 GlobalFoundries, Inc. Methods for fabricating integrated circuits using self-aligned quadruple patterning
US9285673B2 (en) 2014-07-10 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Assist feature for a photolithographic process
US9515156B2 (en) 2014-10-17 2016-12-06 Lam Research Corporation Air gap spacer integration for improved fin device performance
US11114742B2 (en) 2014-11-25 2021-09-07 View, Inc. Window antennas
TWI633596B (zh) 2015-01-14 2018-08-21 聯華電子股份有限公司 形成溝渠的方法
US9478433B1 (en) 2015-03-30 2016-10-25 Applied Materials, Inc. Cyclic spacer etching process with improved profile control
US9607834B2 (en) 2015-04-02 2017-03-28 Tokyo Electron Limited Trench and hole patterning with EUV resists using dual frequency capacitively coupled plasma (CCP)
US9941389B2 (en) 2015-04-20 2018-04-10 Board Of Regents, The University Of Texas System Fabricating large area multi-tier nanostructures
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US10049892B2 (en) 2015-05-07 2018-08-14 Tokyo Electron Limited Method for processing photoresist materials and structures
TWI610361B (zh) 2015-06-26 2018-01-01 東京威力科創股份有限公司 具有可控制的含矽抗反射塗層或矽氮氧化物相對於不同薄膜或遮罩之蝕刻選擇性的氣相蝕刻
US9523148B1 (en) 2015-08-25 2016-12-20 Asm Ip Holdings B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication
TWI661466B (zh) 2016-04-14 2019-06-01 日商東京威力科創股份有限公司 使用具有多種材料之一層的基板圖案化方法
US20220005694A1 (en) 2016-06-28 2022-01-06 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
US9824893B1 (en) 2016-06-28 2017-11-21 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
US9859153B1 (en) 2016-11-14 2018-01-02 Lam Research Corporation Deposition of aluminum oxide etch stop layers
KR20180093798A (ko) 2017-02-13 2018-08-22 램 리써치 코포레이션 에어 갭들을 생성하는 방법
US10546748B2 (en) 2017-02-17 2020-01-28 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
JP2018160556A (ja) 2017-03-23 2018-10-11 三菱電機株式会社 薄膜トランジスタ基板、薄膜トランジスタ基板の製造方法、液晶表示装置、および薄膜トランジスタ
US11075079B2 (en) 2017-11-21 2021-07-27 Taiwan Semiconductor Manufacturing Co., Ltd. Directional deposition for semiconductor fabrication
KR102630349B1 (ko) 2018-01-30 2024-01-29 램 리써치 코포레이션 패터닝에서 주석 옥사이드 맨드렐들 (mandrels)
US11987876B2 (en) 2018-03-19 2024-05-21 Lam Research Corporation Chamfer-less via integration scheme
US20210249273A1 (en) 2018-05-08 2021-08-12 Sony Semiconductor Solutions Corporation Etching method of oxide semiconductor film, oxide semiconductor workpiece, and electronic device
US20190390341A1 (en) 2018-06-26 2019-12-26 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials
US10867804B2 (en) 2018-06-29 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning method for semiconductor device and structures resulting therefrom
US10840082B2 (en) 2018-08-09 2020-11-17 Lam Research Corporation Method to clean SnO2 film from chamber
WO2020263757A1 (en) 2019-06-27 2020-12-30 Lam Research Corporation Alternating etch and passivation process

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62179774A (ja) * 1986-02-04 1987-08-06 Fujitsu Ltd イメ−ジセンサの製造方法
JP2007208076A (ja) * 2006-02-02 2007-08-16 Fuji Electric Holdings Co Ltd 炭化珪素半導体基板のドライエッチング方法

Also Published As

Publication number Publication date
CN111769038A (zh) 2020-10-13
JP2024029147A (ja) 2024-03-05
KR102416350B1 (ko) 2022-07-01
US9824893B1 (en) 2017-11-21
JP2022120077A (ja) 2022-08-17
US20200219725A1 (en) 2020-07-09
SG10202012976TA (en) 2021-01-28
KR20230074079A (ko) 2023-05-26
US20210242019A1 (en) 2021-08-05
KR20180002026A (ko) 2018-01-05
KR20200034699A (ko) 2020-03-31
US11183383B2 (en) 2021-11-23
TW202240656A (zh) 2022-10-16
KR102533971B1 (ko) 2023-05-18
US20180012759A1 (en) 2018-01-11
SG10201704783YA (en) 2018-01-30
TW201812834A (zh) 2018-04-01
TWI770033B (zh) 2022-07-11
US11031245B2 (en) 2021-06-08
CN112701029A (zh) 2021-04-23
TWI824577B (zh) 2023-12-01
KR20220099524A (ko) 2022-07-13
KR102439391B1 (ko) 2022-09-01
JP7096537B2 (ja) 2022-07-06
CN107546106A (zh) 2018-01-05
US11784047B2 (en) 2023-10-10
JP7414891B2 (ja) 2024-01-16
JP2018006742A (ja) 2018-01-11

Similar Documents

Publication Publication Date Title
CN107546106B (zh) 半导体器件制造中的氧化锡薄膜间隔物
US10832909B2 (en) Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10998187B2 (en) Selective deposition with atomic layer etch reset
US10490413B2 (en) Selective growth of silicon nitride
KR102453443B1 (ko) 하부 기판의 손상 없이 SiN 막의 습식 에칭 레이트를 감소시키는 방법
US9997371B1 (en) Atomic layer etch methods and hardware for patterning applications
US20240030031A1 (en) Tin oxide thin film spacers in semiconductor device manufacturing
CN111886689A (zh) 无倒角通孔集成方案
US20230227970A1 (en) Removal of tin oxide in chamber cleaning
CN112005339A (zh) 碳膜的原子层沉积
TW202416348A (zh) 在半導體裝置製造中之錫氧化物薄膜間隔件

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant