CN115702474A - 高选择性掺杂硬掩模膜 - Google Patents

高选择性掺杂硬掩模膜 Download PDF

Info

Publication number
CN115702474A
CN115702474A CN202280005128.XA CN202280005128A CN115702474A CN 115702474 A CN115702474 A CN 115702474A CN 202280005128 A CN202280005128 A CN 202280005128A CN 115702474 A CN115702474 A CN 115702474A
Authority
CN
China
Prior art keywords
layer
molybdenum
substrate
containing layer
group
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202280005128.XA
Other languages
English (en)
Inventor
索纳尔·巴达乌里亚
拉格什·普顿科维拉卡姆
卡普·斯里什·雷迪
塞沙萨耶·瓦拉达拉简
施卢蒂·维维克·托姆贝尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN115702474A publication Critical patent/CN115702474A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

本公开涉及高选择性掺杂的硬掩模膜,以及提供和使用此类膜的方法。在具体示例中,高选择性掺杂硬掩模膜可用作硬掩模、中间层或覆盖层。

Description

高选择性掺杂硬掩模膜
通过引用并入
PCT申请表作为本申请的一部分与本说明书同时提交。在同时提交的PCT申请表中所标识的本申请要求享有其权益或优先权的每个申请均通过引用全文并入本文且用于所有目的。
技术领域
本公开涉及高选择性掺杂的硬掩模膜,以及提供和使用此类膜的方法。在具体示例中,高选择性掺杂硬掩模膜可用作硬掩模、中间层或覆盖层。
背景技术
这里提供的背景描述是为了总体呈现本技术的背景的目的。当前指定的发明人的工作在其在此背景技术部分以及在提交申请时不能确定为现有技术的说明书的各方面中描述的范围内既不明确也不暗示地承认是针对本技术的现有技术。
某些技术节点需要先进的半导体处理来实现期望的特征。特别是,膜可以用作硬掩模,以用于在衬底处理过程中蚀刻高深宽比特征。在三维(3D)存储器应用中,硬掩模膜应具有高蚀刻选择性。
发明内容
本公开涉及含钼(Mo)层(例如Mo掺杂层),以及提供和使用此类层的方法。在某些非限制性实施方案中,含Mo层通过等离子体增强化学气相沉积(PECVD)工艺提供。在使用中,此类含Mo层可用作堆叠内的硬掩模、中间层(例如,蚀刻停止层)或覆盖层(例如,保形层或台阶覆盖层)中的至少一者。在特定实施方案中,含Mo层允许改善堆叠件内的蚀刻选择性,这可进一步扩展技术规模。
在第一方面,本公开包括提供含金属层(例如,含Mo层)的方法。在一些实施方案中,该方法包括:在处理室中的衬底保持器上提供衬底;将衬底的顶表面部分暴露于含金属前体(例如,含Mo前体)和一种或多种任选的沉积前体;以及在处理室内存在或不存在等离子体的情况下,在衬底的顶表面部分上沉积含金属层(例如,含Mo层)。在一些实施方案中,所述沉积包括使用等离子体。如本文所用,术语“含Mo层”、“含钼层”和“含金属层”可互换使用。
在一些实施方案中,处理室包括等离子体增强化学气相沉积(PECVD)室、化学气相沉积(CVD)室、等离子体增强原子层沉积(PEALD)室或原子层沉积(ALD)室。
在特定实施方案中,所述暴露包括顺序地或同时地输送含Mo前体和一种或多种沉积前体。在其他实施方案中,所述暴露还包括将反应气体、还原剂或载气输送到处理室。
在进一步的实施方案中,该方法包括(例如,在所述沉积之前):在衬底的顶表面部分上提供界面层。在一些实施方案中,所述提供从而在所述沉积之后将界面层设置在衬底和含Mo层之间。在其他实施方案中,界面层包括粘附层、起始层或生长层。在其他实施方案中,界面层包括硼(B)、碳(C)、钛(Ti)、钨(W)、钽(Ta)、镍(Ni)、钼(Mo)、它们的氮化物、它们的硅化物、它们的氧氮化物、它们的碳化物或它们的碳氮化物。
在一些实施方案中,该方法还包括(例如,在所述沉积之前):预处理衬底的顶表面部分。在特定实施方案中,所述预处理由此提供在所述沉积之后设置在衬底和含Mo层之间的预处理表面。
在其他实施方案中,衬底还包括设置在衬底的顶表面部分上的材料层,其中所述沉积包括在材料层的顶表面部分上沉积含Mo层。在一些实施方案中,材料层包括氧化物或氮化物中的至少一种(例如,本文所述的任何一种)。
在一些实施方案中,所述沉积包括应用低频(LF)射频分量或高频(HF)射频分量中的至少一种。
在第二方面,本公开包括提供含Mo层的方法。在一些实施方案中,该方法包括:在处理室中提供衬底;以及通过等离子体增强化学气相沉积(PECVD)工艺在衬底的表面部分沉积含Mo层。
在第三方面,本公开包括一种用于处理衬底的方法。在一些实施方案中,该方法包括:在衬底的顶表面部分上沉积含Mo层;在含Mo层内形成限定的图案;以及将限定的图案转移到设置在含Mo层下方的材料层上。
在一些实施方案中,所述沉积包括:将衬底的顶表面部分暴露于含Mo前体和一种或多种任选的沉积前体,从而形成含Mo层。在其他实施方案中,所述暴露包括依次输送含Mo前体和一种或多种沉积前体。任选地,该方法可以包括在以下至少一种情况下进行清扫:在递送含Mo前体之后或在递送一种或多种沉积前体之后。在又一些实施方案中,所述暴露包括同时输送含Mo前体和一种或多种沉积前体。在一些实施方案中,所述沉积包括化学气相沉积、原子层沉积或其等离子体增强形式。
在一些实施方案中,所述暴露还包括输送反应气体、还原剂或惰性气体。
在一些实施方案中,所述形成限定的图案包括:在含Mo层的顶表面部分沉积抗蚀剂层以形成具有图案的抗蚀剂掩模;以及将抗蚀剂掩模的图案转移到含Mo层的顶表面部分。在特定实施方案中,所述转移从而形成具有一个或多个开口以提供限定图案的硬掩模。在其他实施方案中,所述转移限定的图案包括:穿过硬掩模的一个或多个开口蚀刻材料层。在又一些实施方案中,所述转移限定的图案包括:穿过含Mo层内的限定的图案蚀刻材料层。
在进一步的实施方案中,该方法包括(例如,在所述沉积含Mo层之前):在衬底的顶表面部分上提供界面层,从而将界面层设置在衬底和Mo-含层之间。
在本文的任何实施方案中,界面层存在于衬底的顶表面部分上。在特定实施方案中,含Mo层的沉积提供其中界面层设置在衬底与含Mo层之间的堆叠层。在一些实施方案中,界面层包括粘附层、起始层或生长层。在其他实施方案中,界面层包括硼(B)、碳(C)、钛(Ti)、钨(W)、钽(Ta)、镍(Ni)、钼(Mo)、它们的氮化物、它们的硅化物、它们的氧氮化物、它们的碳化物或它们的碳氮化物。
在一些实施方案中,该方法还包括(例如,在所述将限定的图案转移到材料层之前):穿过含Mo层内的限定的图案蚀刻界面层。
在其他实施方案中,该方法还包括(例如,在所述沉积含Mo层之前):预处理衬底的顶表面部分,从而提供布置在衬底和含Mo层之间的预处理表面。
在其他实施方案中,该方法还包括(例如,在所述将限定的图案转移到材料层之前):穿过含Mo层内的限定的图案蚀刻预处理表面。
在第四方面,本公开包括一种用于处理衬底的方法。在一些实施方案中,该方法包括:提供衬底,该衬底具有布置在衬底的顶表面部分上的材料层;在材料层的顶表面部分上沉积含Mo层,其中所述沉积包括递送含Mo前体和一种或多种任选的沉积前体;在含Mo层上方形成图案化掩模;将图案化掩模的图案转移至含Mo层,从而在含Mo层内形成限定的图案;将限定的图案转移到材料层。
在第五方面,本公开包括一种用于处理衬底的装置。在一些实施方案中,该装置包括:处理室,其包括衬底保持器;与处理室和相关流量控制硬件连接的工艺气体源;和处理室连接的衬底搬运硬件。
在一些实施方案中,该装置还包括具有处理器和存储器的控制器,其中处理器和存储器彼此通信连接。在特定实施方案中,处理器至少可操作地与流量控制硬件和衬底搬运硬件连接。在其他实施方案中,存储器存储计算机可执行指令以用于执行本文描述的任何方法中的操作。
在还有的其他实施方案中,计算机可执行指令包括被配置成执行以下操作的指令:使衬底的顶表面部分暴露于处理室内的含Mo前体和一种或多种沉积前体;并且导致在衬底的顶表面部分上沉积含Mo层。
在进一步的实施方案中,该装置包括:与处理室连接的等离子体源。
在其他实施方案中,计算机可执行指令包括被配置成执行以下操作的指令:使衬底的顶表面部分暴露于处理室内的含Mo前体和一种或多种沉积前体;导致向处理室供应等离子体;并且导致在衬底的顶表面部分上沉积含Mo层。
在本文的任何实施方案中,含Mo前体包括有机钼化合物、卤化钼化合物、卤氧化钼化合物、无机钼化合物或包括具有式(I)-(V)中的一者的结构的化合物或其盐。
在本文的任何实施方案中,一种或多种沉积前体选自由含碳前体、含硅前体和含硼前体组成的群组。
在本文的任何实施方案中,含碳前体包括烃、甲烷(CH4)、乙炔(C2H2)、乙烯(C2H4)、丙烯(C3H6)、丙炔(C3H4)、丙二烯(C3H4)、环丙烯(C3H4)、丁烷(C4H10)、环己烷(C6H12)、苯(C6H6)或甲苯(C7H8)中的至少一种。
在本文的任何实施方案中,含硅前体包括硅烷化合物、有机硅烷化合物、烷基硅烷化合物、烷氧基硅烷化合物、硅烷醇化合物、硅氧烷化合物、氨基硅烷化合物、环状氮杂硅烷化合物、卤代硅烷化合物,或无机硅烷化合物。
在本文的任何实施方案中,含硼前体包括有机硼烷化合物、卤化硼化合物、硼酸盐化合物或无机硼化合物。
在本文的任何实施方案中,含Mo层包括MoxCy、MoxSiy、MoxBy、MoxCyOz、MoxSiyBz、MoxCyNz、MoxSiyCz、MoxByCz、MoxNy、或MoxOyNz,以及它们的组合。
在本文的任何实施方案中,含Mo层是硬掩模、蚀刻停止层、保形层或台阶覆盖层。
在本文的任何实施方案中,含Mo层具有低氟(F)含量。非限制性氟含量可包括约0-2at.%或小于约2at.%。
在本文的任何实施方案中,含Mo层具有低氢(H)含量。非限制性氢含量可包括约0-5at.%或小于约5at.%。
在本文的任何实施方案中,含Mo层具有高钼(Mo)含量。非限制性钼含量可包括约5-65at.%,约3-60at.%,或大于约3at.%。
在本文的任何实施方案中,含Mo层具有低应力。非限制性应力可包括+/-100MPa、+/-500MPa或其间的范围,例如,通过测量含Mo层沉积前后的晶片弯曲度来确定。
在本文的任何实施方案中,含Mo层具有高蚀刻选择性。非限制性的高蚀刻选择性可以通过评估覆盖蚀刻速率来确定,覆盖蚀刻速率可以通过测量固定时间蚀刻后的蚀刻量来收集。本文描述了额外的实施方案。
定义
如本文所用,术语“约”是指与任何列举值相差+/-10%。如本文所用,该术语修饰任何列举的值、值的范围或一个或多个范围的端点。
如本文所用,术语“顶部”、“底部”、“上部”、“下部”、“上方”和“下方”用于提供结构之间的相对关系。这些术语的使用并不表示或要求特定结构必须位于装置中的特定位置。
如本文所用,术语“表面部分”可包括整个表面或表面的一部分。
如本文可互换使用的术语“酰基(acyl)”或“烷酰基(alkanoyl)”表示通过如本文所定义的羰基连接至母分子基团的直链、支链、环状构型、饱和、不饱和及芳族及其组合的1、2、3、4、5、6、7、8个或更多碳原子的基团或氢。该基团例如有甲酰基、乙酰基、丙酰基、异丁酰基、丁酰基及其类似物。在一些实施方案中,酰基或烷酰基为-C(O)-R,其中R为如本文所定义的氢、脂族基团或芳族基团。
“酰卤(acyl halide)”意指-C(O)X,其中X为卤素,例如Br、F、I或Cl。
“醛(aldehyde)”意指-C(O)H基团或包含这种基团的化合物。醛的示例可以包含RC(O)H,其中R选自如本文所定义的脂族、卤代脂族、卤代杂脂族、杂脂族、芳族、脂族-芳族、杂脂族-芳族、或其任何组合。
“醛烷基(aldehydealkyl)”意指通过如本文所定义的烷基连接至母分子基团的如本文所定义的醛基。在一些实施方案中,醛烷基为-L-C(O)H,其中L为如本文所定义的烷基。
“脂族(aliphatic)”意指具有至少一个碳原子至50个碳原子(C1-50)的烃基,例如1至25个碳原子(C1-25)、或1至10个碳原子(C1-10),且其包含烷烃(或烷基)、烯烃(或烯基)、炔烃(或炔基),包含其环状形式,并且进一步包含直链和支链排列,以及所有立体和位置异构体。
“脂族-芳基(aliphatic-aryl)”意指偶联至或可偶联至本文所公开的化合物的芳基,其中芳基通过如本文所定义的脂族基偶联或变为偶联。在一些实施方案中,脂族-芳基为-L-R,其中L为如本文所定义的脂族基,而R为如本文所定义的芳基。
“脂族-杂芳基(aliphatic-heteroaryl)”意指偶联至或可偶联至本文所公开的化合物的杂芳基,其中杂芳基通过如本文所定义的脂族基偶联或变为偶联。在一些实施方案中,脂族-杂芳基为L-R,其中L为如本文所定义的脂族基,而R为如本文所定义的杂芳基。
“烷基-芳基(alkyl-aryl)”、“烯基-芳基(alkenyl-aryl)”及“炔基-芳基(alkynyl-aryl)”意指通过如本文所定义的烷基、烯基或炔基偶联或可偶联(或连接)至母分子基团的如本文所定义的芳基。烷基-芳基、烯基-芳基或炔基-芳基可经取代或未经取代。例如,烷基-芳基、烯基-芳基或炔基-芳基可经一个或更多取代基取代,如本文对烷基、烯基、炔基或芳基所述。示例性的未经取代的烷基-芳基有7至16个碳(C7-16烷基-芳基),以及具有带1至6个碳的烷基及带4至18个碳的芳基的那些(即,C1-6烷基-C4-18芳基)。示例性未经取代的烯基-芳基有7至16个碳(C7-16烯基-芳基),以及具有带2至6个碳的烯基及带4至18个碳的芳基的那些(即,C2-6烯基-C4-18芳基)。示例性的未经取代的炔基-芳基有7至16个碳(C7-16炔基-芳基),以及具有带2至6个碳的炔基及带4至18个碳的芳基的那些(即,C2-6炔基-C4-18芳基)。在一些实施方案中,烷基-芳基为-L-R,其中L为如本文所定义的烷基,而R为如本文所定义的芳基。在一些实施方案中,烯基-芳基为-L-R,其中L为如本文所定义的烯基,而R为如本文所定义的芳基。在一些实施方案中,炔基-芳基为-L-R,其中L为如本文所定义的炔基,而R为如本文所定义的芳基。
“烷基-环烷基(alkyl-cycloalkyl)”意指通过如本文所定义的烷基连接至母分子基团的如本文所定义的环烷基。烷基-环烷基可经取代或未经取代。例如,烷基-环烷基可经一个或更多取代基取代,如本文对烷基所述的。在一些实施方案中,烷基-环烷基为-L-R,其中L为如本文所定义的烷基,而R为如本文所定义的环烷基。
“烯基(alkenyl)”意指具有至少两个碳原子至50个碳原子(C2-50)(例如两个至25个碳原子(C2-25)、或两个至十个碳原子(C2-10))以及至少一个碳-碳双键的不饱和单价烃,其中不饱和单价烃可衍生自从母体烯烃的一个碳原子上除去一个氢原子。烯基可以是支链、直链、环状(例如环烯基)、顺式或反式(例如E或Z)。示例性的烯基包含具有一个或更多双键的任选地经取代的C2-24烷基。烯基可以是单价或多价(例如二价),其通过去除一个或更多氢以形成与母分子基团适当连接或母分子基团与另一取代基之间的适当连接。烯基也可以是经取代或未经取代的。例如,烯基可经一个或更多取代基取代,如本文对烷基所述的。
“烷基-杂芳基(alkyl-heteroaryl)”意指通过如本文所定义的烷基与母分子基团连接的如本文所定义的杂芳基。在一些实施方案中,烷基-杂芳基为-L-R,其中L为如本文所定义的烷基,而R为如本文所定义的杂芳基。
“烷基-杂环基(alkyl-heterocyclyl)”、“烯基-杂环基(alkenyl-heterocyclyl)”和“炔基-杂环基(alkynyl-heterocyclyl)”意指分别通过如本文所定义的烷基、烯基或炔基偶联或可偶联(或连接)至母分子上的如本文所定义的杂环基。烷基-杂环基、烯基-杂环基或炔基-杂环基可以是经取代或未经取代的。例如,烷基-杂环基、烯基-杂环基或炔基-杂环基可经一个或更多取代基取代,如本文中对烷基、烯基、炔基或杂环基所述的。示例性的未经取代的烷基-杂环基具有2至16个碳(C2-16烷基-杂环基),以及具有带1至6个碳的烷基和带1至18个碳的杂环基的那些(即,C1-6烷基-C1-18杂环基)。示例性的未经取代的烯基-杂环基具有3至16个碳(C3-16烯基-杂环基),以及具有带2至6个碳的烯基及带1至18个碳的杂环基的那些(即,C2-6烯基-C1-18杂环基)。示例性的未经取代的炔基-杂环基具有3至16个碳(C3-16炔基-杂环基),以及具有带2至6个碳的炔基及带1至18个碳的杂环基的那些(即,C2-6炔基-C1-18杂环基)。在一些实施方案中,烷基-杂环基为-L-R,其中L为如本文所定义的烷基,而R为如本文所定义的杂环基。在一些实施方案中,烯基-杂环基为-L-R,其中L为如本文定义的烯基,而R为如本文定义的杂环基。在一些实施方案中,炔基-杂环基为-L-R,其中L为如本文定义的炔基,而R为如本文定义的杂环基。
“烷氧基(alkoxy)”意指-OR,其中R为任选地经取代的脂族基,如本文所述。示例性的烷氧基包含,但不限于,甲氧基、乙氧基、正丙氧基、异丙氧基、正丁氧基、叔丁氧基、仲丁氧基、正戊氧基、三卤代烷氧基,例如三氟甲氧基等。烷氧基可经取代或未经取代。例如,烷氧基可经一个或更多取代基取代,如本文对烷基所述的。示例性的未经取代烷氧基包含C1-3、C1-6、C1-12、C1-16、C1-18、C1-20、或C1-24烷氧基。
“烷氧基烷基(alkoxyalkyl)”意指经如本文所定义的烷氧基取代的如本文所定义的烷基。示例性的未经取代的烷氧基烷基包含2至12个碳(C2-12烷氧基烷基),以及具有带1至6个碳的烷基以及带1至6个碳的烷氧基的那些(即,C1-6烷氧基-C1-6烷基)。在一些实施方案中,烷氧基烷基为-L-O-R,其中L和R各自独立地为如本文所定义的烷基。
“烷基(alkyl)”意指具有至少一个碳原子至50个碳原子(C1-50)(例如1至25个碳原子(C1-25)、或1至10个碳原子(C1-10)的饱和单价烃,其中饱和单价烃可衍生自从母化合物(例如烷烃)的一个碳原子上去除一个氢原子。烷基可以是支链、直链或环状(例如,环烷基)。示例性的烷基包含具有1至24个碳原子的支链或非支链饱和烃基,例如甲基、乙基、正丙基、异丙基、正丁基、异丁基、仲丁基、叔丁基、正戊基、异戊基、仲戊基、新戊基、己基、庚基、辛基、壬基、癸基、十二烷基、十四烷基、十六烷基、二十烷基、二十四烷基及类似物。烷基也可以是经取代或未经取代的。烷基可以是单价或多价(例如,二价),其通过去除一个或更多氢以形成与母分子基团的适当连接或母分子基团与另一取代基之间的适当连接。例如,烷基可经一个、两个、三个或(在具有两个或更多碳的烷基的情况下)四个取代基取代,取代基独立地选自由以下项组成的群组:(1)C1-6烷氧基(例如-O-R,其中R为C1-6烷基);(2)C1-6烷基亚磺酰基(例如-S(O)-R,其中R为C1-6烷基);(3)C1-6烷基磺酰基(例如-SO2-R,其中R为C1-6烷基);(4)胺(例如,-C(O)NR1R2或-NHCOR1,其中R1与R2中的每一者独立地选自如本文所定义的氢、脂族、杂脂族、卤代脂族、卤代杂脂族、芳族、或其任何组合,或R1与R2连同各自所连接的氮原子可形成如本文所定义的杂环基);(5)芳基;(6)芳基烷氧基(例如-O-L-R,其中L为烷基而R为芳基);(7)芳酰基(例如,-C(O)-R,其中R为芳基);(8)叠氮基(例如-N3);(9)氰基(例如-CN);(10)醛基(例如,-C(O)H);(11)C3-8环烷基;(12)卤素;(13)杂环基(例如,如本文所定义的,例如含有一个、两个、三个或四个非碳杂原子的5-、6-或7-元环);(14)杂环氧基(例如-O-R,其中R为如本文所定义的杂环基);(15)杂环酰基(例如-C(O)-R,其中R为如本文所定义的杂环基);(16)羟基(例如-OH);(17)N-保护氨基;(18)硝基(例如-NO2);(19)氧代基(例如,=O);(20)C1-6硫代烷氧基(例如-S-R,其中R为烷基);(21)硫醇基(例如-SH);(22)-CO2R1,其中R1选自由以下项组成的群组:(a)氢、(b)C1-6烷基、(c)C4-18芳基以及(d)C1-6烷基-C4-18芳基(例如,-L-R,其中L为C1-6烷基而R为C4-18芳基);(23)-C(O)NR1R2,其中R1与R2中的每一者独立地选自由以下项组成的群组:(a)氢、(b)C1-6烷基、(c)C4-18芳基以及(d)C1-6烷基-C4-18芳基(例如,-L-R,其中L为C1-6烷基而R为C4-18芳基);(24)-SO2R1,其中R1选自由以下项组成的群组:(a)C1-6烷基、(b)C4-18芳基以及(c)C1-6烷基-C4-18芳基(例如,-L-R,其中L为C1-6烷基而R为C4-18芳基);(25)-SO2NR1R2,其中R1与R2中的每一者独立地选自由以下项组成的群组:(a)氢、(b)C1-6烷基、(c)C4-18芳基以及(d)C1-6烷基-C4-18芳基(例如,-L-R,其中L为C1-6烷基而R为C4-18芳基);以及(26)-NR1R2,其中R1与R2中的每一者独立地选自由以下项组成的群组:(a)氢、(b)N-保护基、(c)C1-6烷基、(d)C2-6烯基、(e)C2-6炔基、(f)C4-18芳基、(g)C1-6烷基-C4-18芳基(例如,-L-R,其中L为C1-6烷基,R为C4-18芳基)、(h)C3-8环烷基、以及(i)C1-6烷基-C3-8环烷基(例如,-L-R,其中L为C1-6烷基而R为C3-8环烷基),其中在一实施方案中,没有两个基团通过羰基或磺酰基与氮原子结合。烷基可以是经一个或更多取代基(例如,一个或更多卤素或烷氧基)取代的伯烷基、仲烷基或叔烷基。在一些实施方案中,未经取代的烷基为C1-3、C1-6、C1-12、C1-16、C1-18、C1-20或C1-24烷基。
如本文所用,术语“烷基氨基”是指-NR1R2基团,其中R1是任选取代的烷基,并且R2是H或任选取代的烷基。烷基氨基取代基的示例包括二甲基氨基和二乙基氨基取代基。
如本文所述,“亚烷基”是指烷基、烯基或炔基的多价(例如,二价形式)。示例性亚烷基包括亚甲基、亚乙基、亚乙烯基、亚乙炔基、亚丙基、亚丙烯基、亚丙炔基、亚丁基、亚丁烯基、亚丁炔基等。在一些实施方案中,亚烷基为C1-3、C1-6、C1-12、C1-16、C1-18、C1-20、C1-24、C2-3、C2-6、C2-12、C2-16、C2-18、C2-20、或C2-24。亚烷基可以是支链或非支链。亚烷基也可以是经取代或未经取代的。例如,亚烷基可经一个或更多取代基取代,如本文对烷基所述的。
如本文所用,术语“烷基甲硅烷基”是指-SiR1R2R3基团,其中R1是任选取代的烷基,并且其中R2和R3中的每一个独立地选自H和任选取代的烷基。烷基甲硅烷基包括单、双和三烷基甲硅烷基。烷基甲硅烷基的示例包括三甲基甲硅烷基、二甲基甲硅烷基、甲基甲硅烷基、三乙基甲硅烷基、二乙基甲硅烷基、乙基甲硅烷基等。
“烷基亚磺酰基(alkylsulfinyl)”意指通过-S(O)-基团连接至母分子基团的如本文所定义的烷基。在一些实施方案中,未经取代的烷基亚磺酰基为C1-6或C1-12烷基亚磺酰基。在其他实施方案中,烷基亚磺酰基为-S(O)-R,其中R为如本文所定义的烷基。
“烷基亚磺酰基烷基(alkylsulfinylalkyl)”意指经烷基亚磺酰基取代的如本文所定义的烷基。在一些实施方案中,未经取代的烷基亚磺酰基烷基为C2-12或C2-24烷基亚磺酰基烷基(例如,C1-6烷基亚磺酰基-C1-6烷基或C1-12烷基亚磺酰基-C1-12烷基)。在其他实施方案中,烷基亚磺酰基烷基为-L-S(O)-R,其中L和R各自独立地为如本文定义的烷基。
“烷基磺酰基(alkylsulfonyl)”意指通过-SO2-基团连接至母分子基团的如本文所定义的烷基。在一些实施方案中,未经取代的烷基磺酰基为C1-6或C1-12烷基磺酰基。在其他实施方案中,烷基磺酰基为-SO2-R,其中R为任选地经取代的烷基(例如,如本文所述,包含任选地经取代的C1-12烷基、卤代烷基或全氟烷基)。
“烷基磺酰基烷基(alkylsulfonylalkyl)”意指经烷基磺酰基取代的本文所定义的烷基。在一些实施方案中,未经取代的烷基磺酰基烷基为C2-12或C2-24烷基磺酰基烷基(例如,C1-6烷基磺酰基-C1-6烷基或C1-12烷基磺酰基-C1-12烷基)。在其他实施方案中,烷基磺酰基烷基为-L-SO2-R,其中L和R各自独立地为如本文所定义的烷基。
“炔基(alkynyl)”意指具有至少两个碳原子至50个碳原子(C2-50)(例如两个至25个碳原子(C2-25)、或两个至十个碳原子(C2-10))以及至少一个碳-碳三键的不饱和单价烃,其中不饱和单价烃可衍生自从母体炔烃的一个碳原子上除去一个氢原子。炔基可以是支链、直链或环状(例如,环炔基)。示例性的炔基包含具有一个或更多三键的任选地经取代的C2-24烷基。炔基可以是环状或非环状,例如乙炔基、1-丙炔基以及类似物。炔基可以是单价或多价(例如,二价),其通过去除一个或更多氢以形成与母分子基团的适当连接或母分子基团与另一取代基之间的适当连接。炔基也可以是经取代或未经取代的。例如,炔基可经一个或更多取代基取代,如本文对烷基所述的。
“环境温度”意指范围从16℃至26℃的温度,例如从19℃至25℃或从20℃至25℃的温度。
“酰胺(amide)”意指-C(O)NR1R2或-NHCOR1,其中R1与R2各自独立地选自如本文所定义的氢、脂族、杂脂族、卤代脂族、卤代杂脂族、芳族、或其任何组合,或其中R1与R2连同各自所连接的氮原子可形成如本文所定义的杂环基。
“胺(amine)”意指-NR1R2,其中R1与R2各自独立地选自如本文所定义的氢、脂族、杂脂族、卤代脂族、卤代杂脂族、芳族、或其任何组合;或其中R1与R2连同各自所连接的氮原子可形成如本文所定义的杂环基。
“氨基烷基(aminoalkyl)”意指经如本文所定义的氨基取代的如本文定义的烷基。在一些实施方案中,氨基烷基为-L-NR1R2,其中L为如本文定义的烷基,而R1与R2各自独立地选自如本文所定义的氢、脂族、杂脂族、卤代脂族、卤代杂脂族、芳族、或其任何组合;或R1与R2连同各自所连接的氮原子可形成如本文所定义的杂环基。在其他实施方案中,氨基烷基为-L-C(NR1R2)(R3)-R4,其中L为如本文所定义的共价键或烷基;R1与R2各自独立地选自如本文所定义的氢、脂族、杂脂族、卤代脂族、卤代杂脂族、芳族、或其任何组合;或R1与R2连同各自所连接的氮原子可形成如本文所定义的杂环基;且R3与R4各自独立地为如本文所定义的H或烷基。
“芳族(aromatic)”意指具有单环(例如苯基)或多个稠合环的5至15个(除非另指明)环原子的环状共轭基团或部分,其中至少一个环为芳族(例如,萘基、吲哚基或吡唑并吡啶基(pyrazolopyridinyl));即,至少一个环及可选地多个稠合环具有连续且离域的π电子系统。通常,平面外π电子的数量对应于休克尔(Huckel)规则(4n+2)。与母体结构的连接点通常是通过稠合环系统的芳族部分。
“芳基(aryl)”意指包含至少五个碳原子至15个碳原子(C5-15)(例如五至十个碳原子(C5-10))的芳族碳环基,其具有单环或多个稠合环,其稠合的环可以是或可以不是芳族,条件是与本文所公开的化合物的剩余位置的连接点是通过芳族碳环基的原子。芳基可经一个或更多除氢以外的基团(例如脂族、杂脂族、芳族、其它官能团或其任何组合)取代。示例性的芳基包含,但不限于,苄基、萘、苯基、联苯基、苯氧基苯及类似物。术语芳基还包含杂芳基,其定义为包含芳香基的基团,该芳香基具有并入芳香基的环内的至少一杂原子。杂原子的示例包含,但不限于氮、氧、硫和磷。同样,也包含在术语芳基中的术语非杂芳基定义含有芳香基且不含杂原子的基团。芳基可以是经取代或未经取代的。芳基可经一个、两个、三个、四个或五个取代基取代,取代基独立地选自由以下项组成的群组:(1)C1-6烷酰基(例如-C(O)-R,其中R为C1-6烷基);(2)C1-6烷基;(3)C1-6烷氧基(例如-O-R,其中R为C1-6烷基);(4)C1-6烷氧基-C1-6烷基(例如-L-O-R,其中L与R各自独立地为C1-6烷基);(5)C1-6烷基亚磺酰基(例如-S(O)-R,其中R为C1-6烷基);(6)C1-6烷基亚磺酰基-C1-6烷基(例如-L-S(O)-R,其中L与R各自独立地为C1-6烷基);(7)C1-6烷基磺酰基(如-SO2-R,其中R为C1-6烷基);(8)C1-6烷基磺酰基-C1-6烷基(例如-L-SO2-R,其中L与R各自独立地为C1-6烷基);(9)芳基;(10)胺(例如,-NR1R2,其中R1与R2各自独立地选自如本文所定义的氢、脂族、杂脂族、卤代脂族、卤代杂脂族、芳族、或其任何组合;或R1与R2连同各自所连接的氮原子可形成如本文所定义的杂环基);(11)C1-6氨基烷基(例如,-L1-NR1R2或-L2-C(NR1R2)(R3)-R4,其中L1为C1-6烷基;L2为共价键或C1-6烷基;R1与R2各自独立地选自如本文所定义的氢、脂族、杂脂族、卤代脂族、卤代杂脂族、芳族、或其任何组合;或R1与R2连同各自所连接的氮原子可形成如本文所定义的杂环基;R3与R4各自独立地为H或C1-6烷基);(12)杂芳基;(13)C1-6烷基-C4-18芳基(例如,-L-R,其中L为C1-6烷基而R为C4-18芳基);(14)芳酰基(例如,-C(O)-R,其中R为芳基);(15)叠氮基(例如,-N3);(16)氰基(例如,-CN);(17)C1-6叠氮烷基(例如,-L-N3,其中L为C1-6烷基);(18)醛(例如,C(O)H);(19)醛-C1-6烷基(例如,-L-C(O)H,其中L是C1-6烷基);(20)C3-8环烷基;(21)C1-6烷基-C3-8环烷基(例如,-L-R,其中L为C1-6烷基且R为C3-8环烷基);(22)卤素;(23)C1-6卤代烷基(例如,-L1-X或-L2-C(X)(R1)-R2,其中L1为C1-6烷基;L2为共价键或C1-6烷基;X为氟、溴、氯或碘;且R1与R2各自独立地为H或C1-6烷基);(24)杂环基(例如,如本文所定义的,例如含有一个、两个、三个或四个非碳杂原子的5-、6-或7-元环);(25)杂环氧基(例如,-O-R,其中R为如本文所定义的杂环基);(26)杂环酰基(例如,-C(O)-R,其中R为如本文所定义的杂环基);(27)羟基(-OH);(28)C1-6羟烷基(例如,-L1-OH或-L2-C(OH)(R1)-R2,其中L1为C1-6烷基;L2为共价键或烷基;且R1与R2各自独立地为如本文所定义的H或C1-6烷基);(29)硝基;(30)C1-6硝基烷基(例如,-L1-NO或-L2-C(NO)(R1)-R,其中L1为C1-6烷基;L2为共价键或烷基;且R1与R2各自独立地为如本文所定义的H或C1-6烷基);(31)N-保护氨基;(32)N-保护氨基-C1-6烷基;(33)氧代基(例如,=O);(34)C1-6硫代烷氧基(例如,-S-R,其中R为C1-6烷基);(35)硫代-C1-6烷氧基-C1-6烷基(例如,-L-S-R,其中L与R各自独立地为C1-6烷基);(36)-(CH2)rCO2R1,其中r为0至4的整数,且R1选自由以下项组成的群组:(a)氢、(b)C1-6烷基、(c)C4-18芳基、以及(d)C1-6烷基-C4-18芳基(例如,-L-R,其中L为C1-6烷基且R为C4-18芳基);(37)-(CH2)rCONR1R2,其中r为0至4的整数,且其中R1与R2各自独立地选自由以下项组成的群组:(a)氢、(b)C1-6烷基、(c)C4-18芳基、以及(d)C1-6烷基-C4-18芳基(例如,-L-R,其中L为C1-6烷基且R为C4-18芳基);(38)-(CH2)rSO2R1,其中r为0至4的整数,且其中R1选自由以下项组成的群组:(a)C1-6烷基、(b)C4-18芳基、及(c)C1-6烷基-C4-18芳基(例如,-L-R,其中L为C1-6烷基且R为C4-18芳基);(39)-(CH2)rSO2NR1R2,其中r为0至4的整数且其中R1与R2各自独立地选自由以下项组成的群组:(a)氢、(b)C1-6烷基、(c)C4-18芳基、以及(d)C1-6烷基-C4-18芳基(例如,-L-R,其中L为C1-6烷基且R为C4-18芳基);(40)-(CH2)rNR1R2,其中r为0至4的整数且其中R1与R2各自独立地选自由以下项组成的群组:(a)氢、(b)N-保护基、(c)C1-6烷基、(d)C2-6烯基、(e)C2-6炔基、(f)C4-18芳基、(g)C1-6烷基-C4-18芳基(例如,-L-R,其中L为C1-6烷基且R为C4-18芳基)、(h)C3-8环烷基、以及(i)C1-6烷基-C3-8环烷基(例如,-L-R,其中L为C1-6烷基且R为C3-8环烷基),其中在一实施方案中没有两个基团通过羰基或磺酰基与氮原子结合;(41)硫醇(例如,-SH);(42)全氟烷基(例如,-(CF2)nCF3,其中n为0至10的整数);(43)全氟烷氧基(例如,-O-(CF2)nCF3,其中n为0至10的整数);(44)芳氧基(例如,-O-R,其中R为芳基);(45)环烷氧基(例如,-O-R,其中R为环烷基);(46)环烷基烷氧基(例如,-O-L-R,其中L为烷基且R为环烷基);以及(47)芳基烷氧基(例如,-O-L-R,其中L为烷基,R为芳基)。在特定的实施方案中,未经取代的芳基为C4-18、C4-14、C4-12、C4-10、C6-18、C6-14、C6-12、或C6-10芳基。
“芳基烷氧基(arylalkoxy)”意指通过氧原子连接至母分子基团的如本文所定义的烷基-芳基。在一些实施方案中,芳基烷氧基为-O-L-R,其中L为如本文所定义的烷基,而R为如本文所定义的芳基。
“芳氧基(aryloxy)”意指-OR,其中R为如本文所述的任选地经取代的芳基。在一些实施方案中,未经取代的芳氧基为C4-18或C6-18芳氧基。
“芳氧羰基(aryloxycarbonyl)”意指通过羰基连接至母分子基团的如本文所定义的芳氧基。在一些实施方案中,未经取代的芳氧羰基为C5-19芳氧基羰基。在其他实施方案中,芳氧羰基为-C(O)O-R,其中R为如本文所定义的芳基。
“芳酰基(aryloy)”意指通过羰基连接至母分子基团的芳基。在一些实施方案中,未经取代的芳酰基为C7-11芳酰基或C5-19芳酰基。在其他实施方案中,芳酰基为-C(O)-R,其中R为如本文所定义的芳基。
“叠氮基(azido)”意指-N3基团。
“叠氮烷基(azidoalkyl)”意指通过如本文所定义的烷基连接至母分子基团的叠氮基。在一些实施方案中,叠氮烷基为-L-N3,其中L为如本文所定义的烷基。
“偶氮(azo)”意指-N=N-基团。
“羰基(carbonyl)”意指-C(O)-基团,也可表示为>C=O。
“羧基(carboxyl)”意指-CO2H基团或其阴离子。
“氰基(cyano)”意指-CN基团。
“环脂族(cycloaliphatic)”意指如本文所定义的环状脂族基团。
“环烷氧基(cycloalkoxy)”意指通过氧原子连接至母分子基团的如本文所定义的环烷基。在一些实施方案中,环烷氧基为-O-R,其中R为如本文所定义的环烷基。
“环烷基烷氧基(cycloalkylalkoxy)”意指通过氧原子连接至母分子基团的如本文所定义的烷基-环烷基。在一些实施方案中,环烷基烷氧基为-O-L-R,其中L为如本文所定义的烷基,而R为如本文所定义的环烷基。
“环烷基(cycloalkyl)”意指三至八个碳(除非另外指明)的单价饱和或不饱和的非芳香环烃基团,其举例而言有环丙基、环丁基、环戊基、环己基、环庚基、双环[2.2.1.庚基]及类似物。环烷基也可以是经取代或未经取代的。例如,环烷基可经一个或更多基团取代,包含本文对烷基所述的那些。
“环杂脂族(cycloheteroaliphatic)”意指如本文所定义的环状杂脂族基团。
“二硫化物(disulfide)”意指-SSR,其中R选自如本文所定义的氢、脂族、杂脂族、卤代脂族、卤代杂脂族、芳族、或其任何组合。
“酯(ester)”意指-C(O)OR或-OC(O)R,其中R选自如本文所定义的脂族、杂脂族、卤代脂族、卤代杂脂族、芳族、或其任何组合。
“卤素(halo)”意指F、Cl、Br或I。
“卤代脂族(haloaliphatic)”意指一个或更多氢原子(例如1至10个氢原子)独立地被卤素原子(例如氟、溴、氯或碘)取代的如本文所定义的脂族基团。
“卤代脂族-芳基(haloaliphatic-aryl)”意指偶联或可偶联至本文所公开化合物的如本文所定义的芳基,其中芳基通过如本文所定义的卤代脂族基团偶联或变为偶联。在一些实施方案中,卤代脂族-芳基为-L-R,其中L为如本文所定义的卤代脂族基团,而R为如本文所定义的芳基。
“卤代脂族-杂芳基(haloaliphatic-heteroaryl)”意指偶联或可偶联至本文所公开的化合物的如本文所定义的杂芳基,其中杂芳基通过如本文所定义的卤代脂族基团偶联或变为偶联。在一些实施方案中,卤代脂族-杂芳基为-L-R,其中L为如本文所定义的卤代脂族基团,而R为如本文所定义的杂芳基。
“卤代烷基(haloalkyl)”意指一个或更多氢原子(例如1至10个氢原子)独立地被卤素原子(例如氟、溴、氯或碘)取代的如本文所定义的烷基。在一独立实施方案中,卤代烷基可以是-CX3基团,其中每一X可独立地选自氟、溴、氯或碘。在一些实施方案中,卤代烷基为-L-X,其中L为如本文定义的烷基,而X为氟、溴、氯或碘。在其他实施方案中,卤代烷基为-L-C(X)(R1)-R2,其中L为如本文所定义的共价键或烷基;X为氟、溴、氯或碘;且R1与R2各自独立地为如本文所定义的H或烷基。
“卤代杂脂族(haloheteroaliphatic)”意指一个或更多氢原子(例如1至10个氢原子)独立地被卤素原子(例如氟、溴、氯或碘)取代的如本文所定义的杂脂族。
“杂脂族(heteroaliphatic)”意指包含至少一个杂原子至20个杂原子(例如1至15个杂原子、或1至5个杂原子)的如本文所定义的脂族基团,杂原子可选自但不限于氧、氮、硫、硅、硼、硒、磷及基团内其氧化形式。
“杂脂族-芳基(heteroaliphatic-aryl)”意指偶联或可偶联至本文所公开的化合物的如本文所定义的芳基,其中芳基通过如本文所定义的杂脂族基团偶联或变为偶联。在一些实施方案中,杂脂族-芳基为-L-R,其中L为如本文定义的杂脂族基团,而R为如本文定义的芳基。
“杂烷基(heteroalkyl)”、“杂烯基(heteroalkenyl)”和“杂炔基(heteroalkynyl)”分别意指包含至少一个杂原子至20个杂原子(例如1至15个杂原子或1至5个杂原子)的如本文所定义的烷基、烯基或炔基(其可以是支链、直链或环状),杂原子可选自但不限于氧、氮、硫、硅、硼、硒、磷及其在基团内的氧化形式。
“杂亚烷基”是指杂烷基、杂烯基或杂炔基的多价(例如,二价形式),如本文所述。亚杂烷基可以是支化的或未支化的。亚杂烷基也可以是取代的或未取代的。例如,杂亚烷基可被一个或多个取代基取代,如本文对烷基所述的。
“杂烷基-芳基(heteroalkyl-aryl)”、“杂烯基-芳基(heteroalkenyl-aryl)”和“杂炔基-芳基(heteroalkynyl-aryl)”意指偶联或可偶联至本文所公开化合物的如本文所定义的芳基,其中芳基分别通过如本文所定义的杂烷基、杂烯基或杂炔基偶联或变为偶联。在一些实施方案中,杂烷基-芳基为-L-R,其中L为如本文所定义的杂烷基,而R为如本文所定义的芳基。在一些实施方案中,杂烯基-芳基为-L-R,其中L为如本文所定义的杂烯基,而R为如本文所定义的芳基。在一些实施方案中,杂炔基-芳基为-L-R,其中L为如本文所定义的杂炔基,而R为如本文所定义的芳基。
“杂烷基-杂芳基(heteroalkyl-heteroaryl)”、“杂烯基-杂芳基(heteroalkenyl-heteroaryl)”和“杂炔基-杂芳基(heteroalkynyl-heteroaryl)”意指偶联或可偶联至本文所公开化合物的如本文所定义的杂芳基,其中杂芳基分别通过如本文所定义的杂烷基、杂烯基或杂炔基偶联或变为偶联。在一些实施方案中,杂烷基-杂芳基为-L-R,其中L为如本文所定义的杂烷基,而R为如本文所定义的杂芳基。在一些实施方案中,杂烯基-杂芳基为-L-R,其中L为如本文所定义的杂烯基,而R为如本文所定义的杂芳基。在一些实施方案中,杂炔基-杂芳基为-L-R,其中L为如本文所定义的杂炔基,而R为如本文所定义的杂芳基。
“杂芳族”是指如本文所限定的芳族基团,包括至少一个杂原子至20个杂原子,例如1至15个杂原子,或1至5个杂原子,其可选自但不限于氧、氮、硫、硅、硼、硒、磷及其在族内的氧化形式。
“杂芳基(heteroaryl)”意指包含至少一个杂原子至六个杂原子(例如一至四个杂原子)的芳基,杂原子可选自但不限于氧、氮、硫、硅、硼、硒、磷及其在环内的氧化形式。这种杂芳基可具有单环或多个稠合环,其中稠合的环可以是或可以不是芳香族或可以含有杂原子,条件为连接点是通过芳香族杂芳基的原子。杂芳基可经一个或更多个除氢以外的基团取代,例如脂族、杂脂族、芳香族、其他官能团或其任何组合。示例性的杂芳基包含如本文所定义的杂环基的子集,其为芳香族,即,其在单环或多环系统内含有4n+2个π电子。
“杂原子(heteroatom)”意指除碳以外的原子,例如氧、氮、硫、硅、硼、硒或磷。在特别公开的实施方案中,例如当价限制不允许时,杂原子不包含卤素原子。
“杂环基(heterocyclyl)”意指含有一个、两个、三个或四个非碳杂原子(例如,独立地选自氮、氧、磷、硫或卤素)的5-、6-或7-元环(除非另外指明)。五元环具有零至两个双键,而六元环及七元环具有零至三个双键。术语“杂环基”还包含双环、三环和四环基团,其中任何上述杂环稠合至一个、两个或三个独立选自由芳环、环己烷环、环己烯环、环戊烷环、环戊烯环以及另一单环杂环(例如吲哚基、喹啉基、异喹啉基、四氢喹啉基、苯并呋喃基、苯并噻吩基以及类似物)组成的群组的环。杂环包含硫杂环丙基(thiiranyl)、氧杂环丁烷基(thietanyl)、四氢噻吩基(tetrahydrothienyl)、噻环己基(thianyl)、硫杂环庚烷基(thiepanyl)、吖丙啶基(aziridinyl)、吖丁啶基(azetidinyl)、吡咯烷基(pyrrolidinyl)、均哌啶基(homopiperidinyl)、氮杂环庚烷基(azepanyl)、吡咯基(pyrrolyl)、吡咯啉基(pyrrolinyl)、吡唑基(pyrazolyl)、吡唑啉基(pyrazolinyl)、吡唑烷基(pyrazolidinyl)、咪唑基(imidazolyl)、咪唑啉基(imidazolinyl)、咪唑烷基(imidazolidinyl)、吡啶基(pyridyl)、均哌啶基(homopiperidinyl)、吡嗪基(pyrazinyl)、哌嗪基(piperazinyl)、嘧啶基(pyrimidinyl)、哒嗪基(pyridazinyl)、噁唑基(oxazolyl)、噁唑烷基(oxazolidinyl)、噁唑烷酮基(oxazolidonyl)、异噁唑基(isoxazolyl)、异噁唑烷基(isoxazolidiniyl)、吗啉基(morpholinyl)、硫代吗啉基(thiomorpholinyl)、噻唑基(thiazolyl)、噻唑烷基(thiazolidinyl)、异噻唑基(isothiazolyl)、异噻唑烷基(isothiazolidinyl)、吲哚基(indolyl)、喹啉基(quinolinyl)、异喹啉基(isoquinolinyl)、苯并咪唑基(benzimidazolyl)、苯并噻唑基(benzothiazolyl)、苯并噁唑基(benzoxazolyl)、呋喃基(furyl)、噻吩基(thienyl)、噻唑烷基(thiazolidinyl)、异噻唑基(isothiazolyl)、异吲唑基(isoindazoyl)、三唑基(triazolyl)、四唑基(tetrazolyl)、噁二唑基(oxadiazolyl)、脲嘧啶基(uricyl)、噻二唑基(thiadiazolyl)、嘧啶基(pyrimidyl)、四氢呋喃基(tetrahydrofuranyl)、二氢呋喃基(dihydrofuranyl)、二氢噻吩基(dihydrothienyl)、二氢吲哚基(dihydroindolyl)、四氢喹啉基(tetrahydroquinolyl)、四氢异喹啉基(tetrahydroisoquinolyl)、哌喃基(pyranyl)、二氢哌喃基(dihydropyranyl)、四氢哌喃基(tetrahydropyranyl)、二噻唑基(dithiazolyl)、二氧杂环己基(dioxanyl)、二氧杂环己烯基(dioxinyl)、二噻环己基(dithianyl)、三噻环己基(trithianyl)、噁嗪基(oxazinyl)、噻嗪基(thiazinyl)、氧代硫杂环戊基(oxothiolanyl)、三嗪基(triazinyl)、苯并呋喃基(benzofuranyl)、苯并噻吩基(benzothienyl)及类似物。
“杂环氧基(heterocyclyloxy)”意指通过氧原子连接至母分子基团的如本文所定义的杂环基。在一些实施方案中,杂环氧基为-O-R,其中R为如本文所定义的杂环基。
“杂环酰基(heterocyclyloyl)”意指通过羰基连接至母分子基团的如本文所定义的杂环基。在一些实施方案中,杂环基为-C(O)-R,其中R为如本文所定义的杂环基。
“羟基(hydroxyl)”意指-OH。
“羟烷基(hydroxyalkyl)”意指经一个至三个羟基取代的如本文所定义的烷基,条件是不超过一个羟基可连接至该烷基的单个碳原子,其举例有羟甲基、二羟丙基以及类似物。在一些实施方案中,羟烷基为-L-OH,其中L为如本文所定义的烷基。在其他实施方案中,羟烷基为-L-C(OH)(R1)-R2,其中L为如本文所定义的共价键或烷基,而R1与R2各自独立地为如本文所定义的H或烷基。
“亚氨基”是指=NR基团,其中R选自H、如本文所限定的脂族、杂脂族、芳族或其任何组合。
“酮(ketone)”意指-C(O)R或包含这种基团的化合物,其中R选自如本文所定义的脂族、杂脂族、芳香族、或其任何组合。酮的示例可包含R1C(O)R,其中R与R1各自独立地选自如本文所定义的脂族、卤代脂族、卤代杂脂族、杂脂族、芳香族、脂族-芳香族、杂脂族-芳香族、或任何其组合。
“硝基(nitro)”意指-NO2基团。
“硝烷基(nitroalkyl)”意指经一个至三个硝基取代的如本文所定义的烷基。在一些实施方案中,硝烷基为-L-NO,其中L为如本文所定义的烷基。在其他实施方案中,硝烷基为-L-C(NO)(R1)-R2,其中L为如本文所定义的共价键或烷基,而R1与R2各自独立地为如本文所定义的H或烷基。
“氧代基(oxo)”或“氧化物基(oxide)”意指=O基团。
“氧基(oxy)”意指-O-。
“全氟烷基(perfluoroalkyl)”意指每一氢原子被氟原子取代的如本文所定义的烷基。示例性的全氟烷基包含三氟甲基、五氟乙基等。在一些实施方案中,全氟烷基为-(CF2)nCF3,其中n为0至10的整数。
“全氟烷氧基(perfluoroalkoxy)”意指每一氢原子被氟原子取代的如本文所定义的烷氧基。在一些实施方案中,全氟烷氧基为-O-R,其中R为如本文所定义的全氟烷基。
“盐”意指化合物或结构的离子形式(例如,本文所述的任何式、化合物或组合物),其包含阳离子或阴离子化合物以形成电中性化合物或结构。盐为本领域所公知。例如,无毒盐在Berge S.M.et al.,“Pharmaceutical salts,”J.Pharm.Sci.1977 January;66(1):1-19;以及在“Handbook of Pharmaceutical Salts:Properties,Selection,and Use,”Wiley-VCH,April 2011(2nd rev.ed.,eds.P.H.Stahl and C.G.Wermuth中描述。盐可在本公开的化合物的最终分离及纯化期间原位制备,或者通过游离碱基团与合适的有机酸反应(因而产生阴离子盐)或通过酸基团与合适的金属或有机盐反应(因而产生阳离子盐)来分开制备。代表性的阴离子盐包含醋酸盐(acetate)、己二酸盐(adipate)、海藻酸盐(alginate)、抗坏血酸盐(ascorbate)、天冬氨酸盐(aspartate)、苯磺酸盐(benzenesulfonate)、苯甲酸盐(benzoate)、碳酸氢盐(bicarbonate)、硫酸氢盐(bisulfate)、酒石酸氢盐(bitartrate)、硼酸盐(borate)、溴化物(bromide)、丁酸盐(butyrate)、樟脑酸盐(camphorate)、樟脑磺酸盐(camphorsulfonate)、氯化物(chloride)、柠檬酸盐(citrate)、环戊丙酸盐(cyclopentanepropionate)、二葡糖酸盐(digluconate)、二盐酸盐(dihydrochloride)、二磷酸盐(diphosphate)、十二烷基硫酸盐(dodecylsulfate)、依地酸盐(edetate)、乙磺酸盐(ethanesulfonate)、富马酸盐(fumarate)、葡萄糖庚酸盐(glucoheptonate)、葡萄糖酸盐(gluconate)、谷氨酸盐(glutamate)、甘油磷酸盐(glycerophosphate)、半硫酸盐(hemisulfate)、庚酸盐(heptonate)、己酸盐(hexanoate)、溴化氢盐(hydrobromide)、氯化氢盐(hydrochloride)、碘化氢盐(hydroiodide)、羟基乙磺酸盐(hydroxyethanesulfonate)、羟基萘甲酸盐(hydroxynaphthoate)、碘化物(iodide)、乳酸盐(lactate)、乳糖酸盐(lactobionate)、月桂酸盐(laurate)、月桂基硫酸盐(lauryl sulfate)、苹果酸盐(malate)、顺丁烯二酸盐(maleate)、丙二酸盐(malonate)、扁桃酸盐(mandelate)、甲烷磺酸盐(mesylate)、甲磺酸盐(methanesulfonate)、甲基溴化物(methylbromide)、甲基硝酸盐(methylnitrate)、甲基硫酸盐(methylsulfate)、粘液酸盐(mucate)、2-萘磺酸盐(2-naphthalenesulfonate)、烟酸盐(nicotinate)、硝酸盐(nitrate)、油酸盐(oleate)、草酸盐(oxalate)、棕榈酸盐(palmitate)、双羟萘酸盐(pamoate)、果胶酯酸盐(pectinate)、过硫酸盐(persulfate)、3-苯丙酸盐(3-phenylpropionate)、磷酸盐(phosphate)、苦酸盐(picrate)、新戊酸盐(pivalate)、聚半乳糖醛酸盐(polygalacturonate)、丙酸盐(propionate)、水杨酸盐(salicylate)、硬脂酸盐(stearate)、次醋酸盐(subacetate)、琥珀酸盐(succinate)、硫酸盐(sulfate)、单宁酸盐(tannate)、酒石酸盐(tartrate)、茶碱酸盐(theophyllinate)、硫氰酸盐(thiocyanate)、三乙基碘化物(triethiodide)、甲苯磺酸盐(toluenesulfonate)、十一酸盐(undecanoate)、戊酸盐(valerate salts)及类似物。代表性的阳离子盐包含金属盐,例如碱金属盐或碱土金属盐,如钡、钙(例如依地酸钙)、锂、镁、钾、钠及类似物;其他金属盐,如铝、铋、铁和锌;以及无毒的铵盐、季铵盐及胺阳离子,包含但不限于铵、四甲基铵、四乙基铵、甲胺、二甲胺、三甲胺、三乙胺、乙胺、吡啶鎓(pyridinium)及类似物。其他阳离子盐包含有机盐,例如氯普鲁卡因(chloroprocain)、胆碱(choline)、二苄基乙二胺、二乙醇胺、乙二胺、甲基葡萄糖胺及普鲁卡因(procaine)。还有的其它盐包含铵、锍(sulfonium)、氧化锍(sulfoxonium)、磷鎓(phosphonium)、亚胺鎓(iminium)、咪唑鎓(imidazolium)、苯并咪唑鎓(benzimidazolium)、脒鎓(amidinium)、胍鎓(guanidinium)、磷酸鎓(phosphazinium)、磷腈鎓(phosphazenium)、吡啶鎓(pyridinium)等,以及本文所述的其它阳离子基团(例如,任选地经取代的异噁唑鎓(isoxazolium)、任选地经取代的噁唑鎓(oxazolium)、任选地经取代的噻唑鎓(thiazolium)、任选地经取代的吡咯鎓(pyrrolium)、任选地经取代的呋喃鎓(furanium)、任选地经取代的噻吩鎓(thiophenium)、任选地经取代的咪唑鎓(imidazolium)、任选地经取代的吡唑鎓(pyrazolium)、任选地经取代的异噻唑鎓(isothiazolium)、任选地经取代的三唑鎓(triazolium)、任选地经取代的四唑鎓(tetrazolium)、任选地经取代的呋喃唑鎓(furazanium)、任选地经取代的吡啶鎓(pyridinium),任选地经取代的嘧啶鎓(pyrimidinium)、任选地经取代的吡嗪鎓(pyrazinium)、任选地经取代的三嗪鎓(triazinium)、任选地经取代的四嗪鎓(tetrazinium)、任选地经取代的哒嗪鎓(pyridazinium)、任选地经取代的噁嗪鎓(oxazinium)、任选地经取代的吡咯烷鎓(pyrrolidinium)、任选地经取代的吡唑烷鎓(pyrazolidinium)、任选地经取代的咪唑啉鎓(imidazolinium)、任选地经取代的异噁唑烷鎓(isoxazolidinium)、任选地经取代的噁唑烷鎓(oxazolidinium)、任选地经取代的哌嗪鎓(piperazinium)、任选地经取代的哌啶鎓(piperidinium)、任选地经取代的吗啉鎓(morpholinium)、任选地经取代的氮杂环庚烷鎓(azepanium)、任选地经取代的氮杂庚因鎓(azepinium)、任选地经取代的吲哚鎓(indolium)、任选地经取代的异吲哚鎓(isoindolium)、任选地经取代的吲哚嗪鎓(indolizinium)、任选地经取代的吲唑鎓(indazolium)、任选地经取代的苯并咪唑鎓(benzimidazolium)、任选地经取代的异喹啉鎓(isoquinolinum)、任选地经取代的喹嗪鎓(quinolizinium)、任选地经取代的脱氢喹嗪鎓(dehydroquinolizinium)、任选地经取代的喹啉鎓(quinolinium)、任选地经取代的异吲哚啉鎓(isoindolinium)、任选地经取代的苯并咪唑鎓(benzimidazolinium)、及任选地经取代的嘌呤鎓(purinium))。
“硅醚(silyl ether)”意指包含共价键合至如本文所定义的烷氧基的硅原子的官能团。在一些实施方案中,硅醚为-Si-O-R或Si-O-R,其中R为如本文所定义的烷基。
“亚磺酰基(sulfinyl)”意指-S(O)-基团。
“磺酸基(sulfo)”意指-S(O)2OH基团。
“磺酰基(sulfonyl)”或“磺酸根(sulfonate)”意指-S(O)2-基团或-SO2R,其中R选自如本文所定义的氢、脂族、杂脂族、卤代脂族、卤代杂脂族、芳香族、或任何其组合。
“硫代烷氧基(thioalkoxy)”意指通过硫原子连接至母分子基团的如本文所定义的烷基。示例性的未经取代的硫代烷氧基包含C1-6硫代烷氧基。在一些实施方案中,硫代烷氧基为-S-R,其中R为如本文所定义的烷基。
“硫代烷氧基烷基(thioalkoxyalkyl)”意指经本文所定义的硫代烷氧基取代的本文所定义的烷基。示例性的未经取代的硫代烷氧基烷基包含2至12个碳(C2-12硫代烷氧基烷基),以及具有带1至6个碳的烷基以及带1至6个碳的硫代烷氧基的那些(即,C1-6硫代烷氧基-C1-6烷基)。在一些实施方案中,硫代烷氧基烷基为-L-S-R,其中L与R各自独立地为如本文所定义的烷基。
“硫醇(thiol)”意指-SH基团。
本文所述的任何官能团或化学部分都可以用在配体中(例如,对于含Mo前体、含C前体、含Si前体或含B前体)。
本领域技术人员将知悉,以上提供的定义非旨在包含不允许的取代模式(例如,被5个不同基团以及类似物取代的甲基)。本领域技术人员轻易知悉此等不允许的取代模式。本文所公开和/或上文所定义的任何官能团可经取代或未经取代,除非其中另有指明。
本发明的其他特征和优点将根据以下描述和权利要求显而易见。
附图说明
图1提供了进行图案化和蚀刻操作的堆叠件的示意图。
图2是描绘根据某些公开的实施方案执行的用于提供含Mo层的操作的工艺流程图。
图3A-3B示出了描述根据某些公开的实施方案执行的操作的工艺流程图。提供了(A)用于处理衬底的非限制性操作和(B)用于处理衬底的又一非限制性操作的图。
图4是用于执行某些公开的实施方案的示例性处理室的示意图。
图5是用于执行某些公开的实施方案的示例性工艺装置的示意图。
图6是根据某些实施方案适用于进行薄膜沉积工艺的处理系统的框图。
具体实施方式
本文详细参考了本公开的具体实施方案。具体实施方案的示例在附图中示出。虽然将结合这些具体实施方案描述本公开,但是应当理解,不旨在将本公开限制于这些具体实施方案。相反,它旨在涵盖可能包含在本公开的精神和范围内的替代、修改和等同方案。在下面的描述中,阐述了许多具体细节以提供对本公开的透彻理解。可以在没有这些具体细节中的一些或全部的情况下实践本公开。在其他情况下,没有详细描述众所周知的工艺操作以免不必要地模糊本公开。
特定的技术节点需要先进的半导体处理,其可以提供更具选择性的图案化或蚀刻操作。本公开部分地涉及可提供增强的选择性的含Mo层。这样的层可用于扩展技术缩放,例如通过提供选择性硬掩模材料来实现。因此,在某些实施方案中,含Mo层表现出某些特性(例如,密度、蚀刻选择性、氢含量、氟含量等,以及它们的组合),这些特性表现出用作硬掩模的所需膜行为。
钼的常规沉积可涉及使用含氟前体,例如MoF6。然而,使用MoF6会导致大量氟结合到沉积的含Mo膜中。氟的存在会导致电迁移或氟扩散到相邻部件中并损坏触点,从而降低设备的性能。随着设备缩小,特征变得更小,电迁移和离子扩散的不利影响变得更加突出,从而导致设备失效。含氟量增加的Mo膜会因此造成集成和可靠性问题,以及与下伏膜或设备结构(例如通孔和栅极)相关的器件性能问题。本文描述的是具有最小氟含量的工艺。在一种情况下,可以使用不含氟的含Mo前体。在另一种情况下,使用含氟的含Mo前体,但沉积工艺可以使生长膜中的氟含量最小化。
图1提供了具有硬掩模的堆叠件的非限制性使用。例如,堆叠件可以包括抗蚀剂层114、含Mo层113、材料层112和衬底层111。在一些情况下,术语“衬底”被用来表示上面可以沉积有含Mo层的一般表面。因此,在图1中,衬底可以包括材料层112和衬底层111。在一些情况下,这样的衬底可以被认为是堆叠件。
可以看出,材料层112设置在含Mo层113和衬底层111之间;并且抗蚀剂层114可以设置在含Mo层113的顶表面部分上。处理堆叠件(或衬底,它们可以互换使用)的方法可以包括图案化101抗蚀剂层114以形成具有图案的抗蚀剂掩模。可以看出,抗蚀剂掩模可以包括具有设置在其中的开口105的图案化特征114a/b/c/d。
再次转向图1,该方法还可以包括将抗蚀剂掩模的图案转移102到下伏的含Mo层113。在将图案从抗蚀剂掩模转移到含Mo层时,含Mo层本身具有限定的图案。在一些实施方案中,含Mo层充当硬掩模,其包括图案化特征113a/b/c/d并且具有设置在其中的一个或多个开口106。
然后可以将由含Mo层提供的限定的图案转移到材料层。转向图1,该方法可以进一步包括将含Mo层(用作硬掩模)的限定的图案转移103到下伏的材料层112。在图案化之后,材料层可以具有图案化特征112a/b/c/d并且具有设置在其中的一或多个开口107。
除了使用含Mo层作为硬掩模之外,含Mo层还可以用于其他目的。例如,含Mo层可用作蚀刻停止层、保形层、平面层、台阶覆盖层、阻挡层、隔离层或堆叠件内的任何其他有用层。
可以以任何有用的方式提供含Mo层。如图2所示,一种非限制性方法可包括提供210衬底并将衬底的表面部分暴露270至一种或多种含Mo前体和一种或多种沉积前体。非限制性含Mo前体可以是本文所述的任何前体。沉积前体可包括不包含Mo的任何前体。此类非限制性沉积前体可包括含C前体、含Si前体或含B前体。
提供和暴露操作可以包括使用具有衬底保持器的处理室。提供操作可以包括将衬底提供给衬底保持器,衬底保持器可以是基座。
暴露操作可包括依次或同时输送含Mo前体和一种或多种沉积前体。这种输送可以包括原子层沉积(ALD)、化学气相沉积(CVD)及其等离子体增强形式。
再次转向图2,该方法可包括任选地在等离子体存在下沉积290含Mo层。在一些实施方案中,沉积可以包括等离子体增强化学气相沉积(PECVD)工艺。本文描述了提供等离子体的处理条件。
暴露或沉积操作可以包括使用另一种试剂,例如反应物气体、还原剂、载气或其组合。非限制性试剂可包括气体形式的那些,例如氢气(H2)、氩气(Ar)、氮气(N2)、氦气(He)及其组合。
任选地,堆叠件可以包括设置在含Mo层和衬底之间的界面层。在一些情况下,界面层可以提供粘附层、起始层或生长层。如图2所示,该方法可以包括在衬底的顶表面部分上提供界面层的可选操作230。因此,将衬底暴露于含Mo前体将在界面层的顶表面部分上提供含Mo层。
此外,在其他选择中,可以预处理衬底的顶表面部分。如图2所示,该方法可以包括预处理衬底的顶表面部分的可选的操作250。因此,将衬底暴露于含Mo前体将在预处理表面的顶表面部分上提供含Mo层。不希望受机制限制,这种预处理可以增加含Mo层对界面层或对衬底的顶表面部分的粘附。非限制性预处理条件可以包括使用氦气(He)、氩气(Ar)、氮气(N2)或氢气(H2)及其组合,它们可用于预处理表面(例如,在引入本文所述的含Mo前体或其他沉积前体之前)。
操作230、250可以以任何顺序依次执行。在一个实例中,该方法包括提供界面层然后预处理界面层的顶表面部分,从而在界面层上提供预处理的表面。在另一实例中,该方法包括预处理衬底的顶表面部分,从而提供预处理的表面;然后在预处理后的表面上提供界面层。在又一实例中,仅执行操作230或操作250中的一个。
本公开还涉及用于处理衬底的方法。这种处理可以包括将图案转移到衬底的一部分。如本文所用,术语衬底可指特定材料或层、材料或层的组合、堆叠件或任何其他有用的材料和设备的组合。因此,可以将图案转移到任何材料、层、堆叠件、设备或其一部分。
半导体制造工艺涉及存储器和逻辑设备的制造。示例包括3D NAND和动态随机存取存储器(DRAM)应用程序,以及中段制程(MEOL)和后段制程(BEOL)工艺的逻辑应用程序。存储器和逻辑设备的制造通常涉及在衬底上蚀刻特征,例如触点孔,衬底可以包括一层材料或多层材料,其中一些材料可以是半导体材料。诸如通孔或触点孔之类的“特征”可以表征为一个或多个窄的或内凹的开口、特征内的收缩部和高深宽比。如本文所述的术语“特征”是指诸如孔或通孔之类的负特征。在许多情况下,蚀刻特征包括在要蚀刻的材料上沉积和图案化硬掩模,以及使用硬掩模作为图案来蚀刻材料。图案化的硬掩模最终可从衬底移除。如本文所述,含Mo层可用作硬掩模或蚀刻停止层。
在其他实施方案中,本文提供的含Mo层可用作互连金属化内的部件(例如,用于填充凹陷特征以形成触点)、用于FinFET中的逻辑门应用、用作粘附层或扩散阻挡层,以及用于3D NAND制造。例如,含Mo层可用作不同金属层、金属化层或不同含金属层(例如,含钴层或纯钼金属层)的后续沉积的衬里。应用示例包括逻辑和存储器触点填充、DRAM掩埋字线填充、竖直集成存储器栅极和字线填充,以及使用硅通孔(TSV)的3-D集成。不希望受机制限制,钼鳞片的电阻率优于钨鳞片,并且在一些实施方案中,钼是特别有利的金属以用于填充狭窄的凹陷特征,例如宽度小于约20nm的特征。在一个示例性应用中,钼金属用于制造无阻挡触点。在该应用中,钼金属直接沉积到宽度为约5-100nm,例如约5-20nm的凹陷特征(触点孔)中,其中凹陷特征形成于电介质层中,并且包括暴露的电介质,例如氧化硅、氮化硅或低k材料,例如侧壁的碳氧化硅和底部暴露的硅或硅锗。在替代的实施方案中,沉积之前的触点孔可以衬有界面层(例如氮化钨、氮化钼、碳化钼或氮化钛),钼金属沉积在该界面层上。
在特定实施方案中,此类处理可包括使用含Mo层作为硬掩模以便图案化材料层。如图3A所示,一种方法可以包括:提供310具有材料层的衬底,在材料层的顶表面部分上沉积330含Mo层,在含Mo层内形成350限定的图案,以及转移370限定的图案到含Mo层下面的材料层。任选地,该方法可包括在沉积含Mo层之前提供界面层或预处理衬底的表面。该工艺还可以包括其他操作,例如去除含Mo层、界面层或预处理表面。
衬底的处理可以包括转移图案的进一步操作。如图3B所示,一种方法可以包括提供310具有材料层的衬底。可选的操作可以包括在衬底的顶表面部分上提供界面层,从而将界面层设置在衬底和含Mo层之间;或预处理表面以提供预处理表面320。该工艺还可以包括其他操作,例如去除含Mo层、界面层或预处理表面。
该方法还可以包括在材料层的顶表面部分上沉积330含Mo层,以及沉积351抗蚀剂层以形成具有图案的抗蚀剂掩模。可以进一步图案化(例如,光图案化)抗蚀剂层以形成抗蚀剂掩模。除了抗蚀剂掩模之外,可以使用任何有用的图案化掩模并将其设置在含Mo层之上。
抗蚀剂掩模可用于图案化含Mo层。例如,该方法还可以包括将抗蚀剂掩模的图案转移352到含Mo层上。在转移该图案时,含Mo层可用作硬掩模,其又具有由抗蚀剂掩模提供的限定的图案。限定的图案可包括含Mo层内的一个或多个图案化特征和一个或多个开口。最后,该方法可包括将含Mo层(用作硬掩模)的限定的图案转移371到布置在含Mo层下方的材料层。
这种转移操作可以包括蚀刻抗蚀剂层、含Mo层、界面层、预处理表面或材料层。蚀刻可以在特定层内限定开口,以及通过穿过暴露的开口蚀刻材料来转移图案。非限制性蚀刻化学品可以包括含卤素气体,例如三氟化氮(NF3)、三氟化硼(BF3)、六氟化硫(SF6)、氯气(Cl2)、溴气(Br2)、基于CxFy的气体,其中x为1至10,y为2至20;等等;含氧气体,例如氧气(O2);或含氟气体,例如基于CxFy的气体,包括本文所述的任何气体。
当存在界面层时,图案的转移可包括穿过含Mo层内的限定的图案(或开口)蚀刻界面层。当存在预处理表面时,图案的转移可包括穿过含Mo层内的限定的图案蚀刻预处理表面。
沉积工艺
沉积可以包括将一种或多种前体输送到处理室。此类前体可包括含Mo前体,其在一种或多种沉积前体存在下沉积以提供含Mo层。本文的前体可在气体(例如,反应气体、还原剂或载气,以及它们的组合)存在下输送至处理室。载气可以是例如惰性气体。非限制性气体包括氢气(H2)、氮气(N2)、氦气(He)、氖气(Ne)、氩气(Ar)、氪气(Kr)、氙气(Xe)等。
在特定实施方案中,在沉积期间使用还原剂。在一种情况下,使用还原剂将膜内的金属原子(例如,Mo原子)还原至较低的氧化态。在另一种情况下,还原剂能够去除生长膜中的卤化物或其他杂质。非限制性还原剂可包括氢气(H2)、甲烷(CH4)、氨气(NH3)、其他含氮气体或含氮还原剂,例如肼(N2H4)、一氧化碳(CO)、含硼或含硅还原剂(B2H6、SiH4)等。其他还原剂包括水、醇、H2S、烃(例如,本文所述的任何物质,包括甲烷(CH4)、乙炔(C2H2)、乙烯(C2H4)、丙烯(C3H6)等)和硫醇,任选地使用等离子体活化。
在一些实施方案中,沉积包括使用含Mo前体、沉积前体和还原剂。在特定实施方案中,沉积前体是含C前体、含Si前体或含B前体。还原剂可以是本文所述的任何还原剂,包括但不限于氢气(H2)。
含Mo层可以设置在衬底的顶表面部分上、界面层(界面层进而提供在衬底的顶部上)的顶表面部分上、或在预处理的顶表面部分的顶表面部分上。使用界面层或预处理表面可以增强含Mo层对衬底的粘附性。
在沉积含Mo层之前,表面可以包括界面层。可以通过ALD、CVD或其等离子体增强形式提供界面层。此处描述了提供夹层的非限制性前体和沉积条件。
在沉积含Mo层之前,可以预处理表面。这种预处理可以包括处理衬底的表面部分或处理界面层的表面部分(如果存在的话)。在一种情况下,可以用浸泡气体预处理表面。非限制性浸泡气体包括含氧气体、含氮气体或能够改变衬底和随后沉积的材料之间的界面以减少来自衬底的电子隧穿的其他合适的气体。浸泡气体的示例包括氧气(O2)、氨气(NH3)、氮气(N2)、硅烷(SiH4)、乙硅烷(Si2H6)、丙硅烷(Si3H8)、锗烷(GeH4)、氩气(Ar)、乙硼烷(B2H6)、氢气(H2)、氮气(N2)或它们的组合。
在一些实施方案中,可以使用一种或多种气体来浸泡衬底。例如,在一些实施方案中,衬底可以在第一持续时间内暴露于硅烷,然后在第二持续时间内暴露于乙硼烷。这样的操作也可以循环重复。在任何公开的实施方案中,容纳衬底的室可以在一个或多个浸泡操作循环之间被清扫。清扫可以通过使惰性气体例如氩气流入室来进行。
预处理可以任选地包括改性衬底或界面层以提供粗糙化的表面。在一些实施方案中,所述改性可以包括通过表面的非反应性离子轰击的溅射以提供粗糙表面。非限制性非反应性离子可包括氩气(Ar)、氦气(He)、氪气(Kr)或其他非反应性物质。在其他实施方案中,改性可包括将表面暴露于含氧等离子体以提供含氧表面。非限制性含氧等离子体可以包括二氧化碳(CO2)、氧气(O2)或水(作为H2O或作为H2和O2的混合物)。
在其他实施方案中,预处理可以任选地包括将界面层的衬底暴露于紫外线辐射。紫外线辐射可以在约180-600nm之间的波长下发射约60-600秒之间的持续时间。
含Mo层的沉积可包括ALD工艺、CVD工艺及其等离子体增强形式。在一些实施方案中,沉积包括将前体暴露于等离子体。沉积还可以包括各种类型的等离子体工艺,例如使用电感耦合等离子体、电容耦合等离子体、微波等离子体CVD、远程等离子体增强CVD和其他类似工艺。
ALD是一种使用顺序自限反应来沉积材料薄层的技术。通常,ALD循环包括将至少一种反应物递送和吸附到衬底表面,然后使吸附的反应物与一种或多种反应物反应以形成部分膜层的操作。作为示例,沉积循环可包括以下操作:(i)含Mo前体的输送/吸附,(ii)从室中清除含Mo前体,(iii)沉积前体的输送(例如,含C前体、含Si前体、含B前体,在任选存在反应气体、还原剂、载气的情况下),以及(iv)从室中清除沉积前体。
在ALD工艺中,衬底可以循环暴露。例如,可以首先将衬底暴露于含Mo前体的脉冲,然后可选地清除前体,然后将衬底暴露于另一种试剂(例如,还原剂或沉积前体)的脉冲,并且然后任选地清除试剂。可以重复这样的循环,直到在衬底上形成所需厚度的层。应当理解,含Mo前体、沉积前体和还原剂的顺序可以是任何有用的顺序。可以通过使惰性气体例如氩气流动来执行清扫。在一些实施方案中,惰性气体也可用作载气以将一种或多种气体(包括但不限于浸泡气体、前体气体、反应物气体、还原剂和载气)输送到衬底。虽然本文将ALD描述为示例,但应理解,本文通过ALD沉积的膜也可通过CVD或其他技术沉积。
在任何上述暴露期间,气体可以是脉动的或连续流动的。同样地,在一些实施方案中,在清扫期间,惰性气体可以在单次清扫操作期间一次或多次期间脉冲化。在沉积期间,可以使用对诸如压力、流速和温度之类的一个或多个参数的一个或多个改变。在一些实施方案中,基座可在沉积期间移动,使得衬底与基座上方的喷头之间的间隙可被调节。移动基座可与改变一个或多个参数(例如压力、温度或流速)结合使用。调节衬底和喷头之间的间隙可以影响根据某些公开的实施方案可以使用的压力、温度或流速。
可以改变含Mo前体、沉积前体和其他可选气体(例如,反应气体、还原剂或载气)的流速以提供所需的含Mo层。典型的气压和流速可包括本文所述的任何值。在其他实施方案中,含Mo前体的流速可介于约20-1000sccm之间,且任选的沉积前体的流速可介于约100-5000sccm之间。载气的流速可为约1500-15000sccm。如果存在,还原剂可以以约100-1000sccm之间的流速提供。在沉积过程中,可将一种或多种气体以此处的流速提供给处理室。任选地,等离子体可以被使用,例如通过远程传送到处理室或在室内产生,以沉积含Mo层。暴露时间可根据所需的层厚度或沉积含Mo前体和其他沉积前体的每个循环期间的所需厚度而变化(例如,从约1-5000秒)。
在沉积、图案形成、图案转移或蚀刻期间可采用等离子体。可以使用各种类型的等离子体源,包括RF、直流(DC)和基于微波的等离子体源。在一些实施方案中,使用RF等离子体源。通常,用于300毫米晶片的RF等离子体功率范围在约500-10000瓦(W)之间,或在约3000-10000W之间。在一些实施方案中,每个站的功率为约7000W。根据所使用的处理室,在一些实施方案中,每个站具有专用电源。在各种实施方案中,等离子体作为喷头上游的电感耦合等离子体产生。
等离子体的产生可以包括使用一种或多种射频(RF)电源。在一个实例中,RF电源以约50kHz至100MHz(例如,从50kHz至13.6MHz、50kHz至27MHz、13.6MHz至100MHz,和介于两者之间)的频率提供约100-5000W的功率。在另一种情况下,RF电源在约50kHz至约100MHz(例如,从50kHz至13.6MHz、50kHz至27MHz、13.6MHz至100MHz、及其之间的范围)的频率提供约500-5000W的功率。通常,等离子体可以直接在容纳衬底的处理室中产生(直接等离子体或原位等离子体),或者在分离的隔室中远程产生,其中所产生的等离子体激活物质被馈送到处理室(远程等离子体)。
用于产生等离子体的功率可以在每个站约10-3000W之间(例如,100-1000W、200-1000W、200-800W、200-500W、500-3000W或800-3000W)。用于产生等离子体的RF频率可以在约0.3-600MHz之间(例如,13.56MHz、60MHz、27MHz、2MHz、40MHz、100MHz、400kHz或其组合)。使用脉冲等离子体或连续波等离子体,RF偏置功率可以在约0-1000W之间。处理室可以是电感耦合等离子体(ICP)室或电容耦合等离子体(CCP)室。在ICP室的一些实施方案中,顶部ICP发生器和偏压发生器的频率均为13.5MHz。取决于底层,在一些实施方案中,压强可为约10-400mTorr,且变压器耦合等离子体(TCP)功率可为约200-500W。
用于产生等离子体的RF频率可以在约0.3-600MHz之间(例如,13.56MHz、60MHz、27MHz、2MHz、400kHz或其组合)。使用在1-100%之间(例如,1-99%)的占空比的脉冲等离子体,RF偏置功率可以在约10-1000W之间,其中100%表示CW。在某些情况下,等离子体的脉冲持续时间介于约0.02-5毫秒之间。RF偏置功率可以在低于5000Hz的频率(例如约5-2000Hz(例如,约5-100Hz之间)的频率)下脉冲化。TCCT参数可以从0.1到1.5。在一些非限制性工艺中,等离子体暴露可以包括高频(HF)RF分量(例如,通常在约1-100MHz之间,例如约13.56MHz)和低频(LF)RF分量(例如,小于约1MHz或通常约100kHz-2MHz,例如约100kHz)。此类HF和LF RF分量可以在任何有用的等离子体条件下提供,例如任何有用的功率范围(例如,从100-1000W或100-5000W)或频率范围(例如,从50kHz到100MHz)。
在特定实施方案中,沉积涉及PECVD工艺。该工艺可包括变压器耦合等离子体(TCP)、电感耦合等离子体(ICP)或电容耦合等离子体(CCP)。在特定实施方案中,TCP或ICP功率为约100-1000W且无偏置。在某些实施方案中,等离子体(例如TCP或ICP)的产生可以通过处于连续波(CW)模式的功率来控制。
在多种实施方案中,在含Mo层的沉积或蚀刻期间不向基座施加偏置。然而,在一些实施方案中,使用RF偏置。在一些实施方案中可以使用RF偏置。可以使用各种类型的RF偏置;例如,RF偏置可以在13.56MHz或更低的频率下产生,包括但不限于在400MHz、2MHz和1MHz下产生。
在一些情况下可以采用高偏置。例如,可以使用脉冲中的高偏置来执行蚀刻以有效地移除含Mo层。高偏置的示例是在蚀刻期间施加至基座的具有至少约1000V的功率的偏置。偏置的使用取决于化学品以及在使用某些公开的实施方案的应用中是否使用定向蚀刻。如果施加偏置,则施加到偏置的功率可以在约10-3000V之间,例如约10V。应当理解,术语“偏置功率”和“偏置电压”在本文中可互换使用以描述当对基座施加偏置时设置基座的电压。如本文所述的偏置功率或偏置电压以瓦特为单位测量施加到基座的功率。
可以使用施加的脉冲偏置(例如,约10-1000W的功率)或施加的连续波偏置(例如,约10-500W的功率)来施加偏置。在进一步的实施方案中,沉积(例如,在CW模式下使用TCP或ICP功率)可以包括施加的偏置(不管频率如何),其是脉冲化的(例如,在约1Hz至约10kHz的范围内,例如10-2000Hz),占空比在1-99%之间。本文描述了额外的脉冲频率和占空比。在一些实施方案中,可以提供所施加的脉冲偏置以控制离子能量。非限制性施加的脉冲偏置功率可以为约10-1000W,以及本文所述的其他范围。
例如,脉冲等离子体可以在低偏置和高偏置之间,或者在ON状态的偏置和OFF状态(0V)状态的偏置之间脉冲化。在低偏置和高偏置之间脉冲化涉及在约100-300V之间的低偏置和约1000-2500V之间的高偏置之间脉冲化。
可以使用介于约3%至约40%、或约3%至约99%、或100%(连续偏置)之间的占空比(DC)来执行脉冲化。占空比是指脉冲在一个周期内接通的持续时间。应当理解,偏置脉冲可以涉及周期的重复,每个周期可以持续持续时间T。持续时间T包括脉冲接通(ON)时间的持续时间(偏置处于接通状态的持续时间)和偏置的持续时间在给定周期内的关断(OFF)时间(偏置处于关断状态的持续时间)。脉冲频率将被理解为1/T。例如,对于偏置脉冲周期T=100μs,频率为1/T=1/100μs,即10kHz。占空比或占空比是偏置处于开启状态的周期T中的分数或百分比,因此占空比或工作周期是脉冲接通时间除以T。例如,对于偏置脉冲周期T=100μs,如果脉冲接通时间为70μs(使得偏置在一个周期内处于接通状态的持续时间为70μs),脉冲关断时间为30μs(使得偏置在一个周期内处于关断状态的持续时间为30μs),则占空比为70%。
可以采用脉冲或连续偏置来微调膜的特性。在一个实施方案中,与用0W的偏置功率制备的低密度膜相比,脉冲偏置可以提供更高密度的膜。与低密度膜相比,这种较高密度的膜在一些情况下可以提供增加的抗蚀刻性。在其他情况下,与用0W的偏置功率制备的低密度膜相比,这种较高密度的膜可以提供减少的底切。
在其他实施方案中,沉积可以包括施加的CW偏置。CW偏置也可用于控制离子能量。在一些实施方案中,施加的CW偏置功率可以为10-1000W(例如,10-500W、10-400W,以及本文所述的其他范围)。
其他非限制性工艺条件包括压强>1毫托(mTorr)(例如,约5-1000毫托或1至10托),功率电平<5000W(例如,约10-3000W),或温度<200℃(例如,约0-100℃)或>200℃(例如,约200-700℃)。等离子体可以在以0.3-600MHz操作的RF源下以介于约10-3000W之间的功率产生。
其他处理条件可以包括提供有用的含Mo层的那些。用于沉积的衬底温度可以在例如约20-700℃的范围内(例如,从300-650℃、从250-650℃、从250-550℃或从150-350℃)。在一些实施方案中,可以使用较低的温度。这样的温度可以低于500℃、低于550℃、低于450℃、低于400℃或低于350℃。低温可用于改善台阶覆盖。此外,低温可能会增加沉积层中的杂质含量,增加非晶特性,进而可能会增加后续沉积层的晶粒尺寸。在多种实施方案中,在低温下沉积该层可能是有利的。室压强可以介于约0.2-90托之间,或介于约5-50托之间,或介于约20-40托之间,或约30托。
其他非限制性沉积条件包括控制前体流速、气体流速、工艺压强、温度(例如,静电卡盘(ESC)温度)、等离子体(例如,TCP)功率、偏置功率和处理室内的占空比(DC)。前体的流速可以在约1-2500标准立方厘米每分钟(sccm)(例如,约20-5000sccm、100-5000sccm、20-1000sccm或100-1000sccm)之间。载气的流速可以介于约0-20000sccm之间(例如,0-15000sccm、1000-2000sccm或1000-15000sccm)。室压强可以介于约5-1000毫托(例如,5-800毫托、10-500毫托、10-400毫托、30-500毫托、10-1000毫托或30-1000毫托)之间或介于约0.2-20托之间。ESC温度可以介于约20-700℃之间;并且处理室可以介于200-650℃之间。
在沉积之后,可以任选地对含Mo层进行退火。退火可以通过升高温度来进行。例如,如果反应在相对低的温度下进行,例如在低于约450℃的温度下,例如低于约400℃下进行,则在退火过程中温度可以升高例如50-200℃。在一些实施方案中,退火在约300-500℃之间的温度下进行。
图案形成和转移工艺
在半导体堆叠件内,可以通过使用掩模来实现图案化。在一种情况下,通过使用抗蚀剂层来采用抗蚀剂掩模,抗蚀剂层可以使用光刻工艺来制造,以光学地在光致抗蚀剂内注入图案,然后显影光致抗蚀剂以去除部分,从而在抗蚀剂内产生开口。非限制性抗蚀剂可包括正性光致抗蚀剂、负性光致抗蚀剂、紫外(UV)光致抗蚀剂、i-线光致抗蚀剂、电子束(e-beam)光致抗蚀剂等。用于图案化含Mo层的非限制性材料可包括例如二氧化硅、无定形碳、含硅前体、含碳前体以及包括这些中的任何一些或由这些中的任何一些形成的材料。
抗蚀剂掩模可以通过在表面上(例如,通过旋涂)将抗蚀剂材料层沉积至期望的厚度来形成。可以通过将抗蚀剂暴露于图案化辐射(例如UV辐射)然后显影抗蚀剂材料来将图案引入抗蚀剂材料中。显影后,在抗蚀剂层内形成具有开口的图案,以形成抗蚀剂掩模。
反过来,抗蚀剂掩模可用于在含Mo层内提供限定的图案。在一些情况下,含Mo层可用作硬掩模。硬掩模可任选地包括宽度在约16-120nm之间的特征开口。在使用中,含Mo层内的限定的图案可以转移到布置在含Mo层下方的材料层。
图案的转移可以包括:提供具有开口的掩模,然后通过使用掩模蚀刻下伏的材料层。蚀刻可包括将掩模对准材料层上方,其中掩模内的开口限定材料层的暴露部分。接下来,蚀刻可以包括将材料层的开口区域暴露于蚀刻化学物质,这导致穿过开口区域去除材料层。蚀刻可包括湿式工艺或干式工艺及其组合。
非限制性蚀刻剂化学品可以包括在存在或不存在等离子体的情况下使用蚀刻剂气体。蚀刻剂气体可以包括含氟气体(例如,碳氟化合物气体或全氟化碳气体)、含氧气体、含氮气体、含氯气体、含碳气体、含卤素气体气体及其混合物。蚀刻剂气体可选地包括惰性气体,例如氦气(He)、氖气(Ne)、氩气(Ar)、氪气(Kr)、氙气(Xe)等。
非限制性蚀刻剂气体如下。含氟气体可以是四氟甲烷(CF4)、全氟乙烷(C2F6)、六氟环丙烷或六氟丙烯(C3F6)、全氟丙烷(C3F8)、六氟-1,3-丁二烯或六氟环丁烯(C4F6)、全氟异丁烯或八氟环丁烷(C4F8)、八氟环戊烯(C5F8)、十氟环戊烷或全氟戊-1-烯(C5F10)、或1,2,3,3,4,5,6,6-八氟环六-1,4-二烯或3-(三氟乙烯基)五氟环丁烯(C6F8)、或其组合。含氧气体可包括氧气(O2)、二氧化碳(CO2)、一氧化碳(CO)、一氧化二氮(N2O)、二氧化氮(NO2)、臭氧(O3)、水(H2O)等。含氮气体可包括氮气(N2)、氨气(NH3)、N2O、NO2等。含氯气体可包括氯化氢(HCl)、氯气(Cl2)、四氯甲烷(CCl4)、三氯甲烷(CHCl3)、二氯甲烷(CH2Cl2)、氯甲烷(CH3Cl)等。含碳气体可以是碳氢化合物或本文中的任何含碳前体,以及甲烷(CH4)、乙烷(C2H6)、乙烯(C2H4)等。含卤气体可以是三氟化氮(NF3)、三氟化硼(BF3)、六氟化硫(SF6)、氯气(Cl2)、溴气(Br2)、氯化氢(HCl)、四氟甲烷(CF4)等。
在将限定的图案转移到材料层之后,可以任选地剥离含Mo层。剥离含Mo层的方法可包括本文所述的任何蚀刻剂化学物质。剥离化学物质可包括使用过氧化氢、硫酸以及含氧和含卤素气体的组合。
在本文的任何工艺中,可以在沉积、图案形成和图案转移工艺之间采用清扫操作。清扫操作可包括使用清扫气体(例如,本文中的任何惰性气体)以从处理室中去除气体或副产物。每次清扫可以执行介于约0.25-30秒之间的持续时间。
含钼前体
在一些情况下,使用掺杂剂可以提高抗蚀刻性。因此,本文的工艺、层和膜可包括使用含Mo前体来提供含Mo层。可以修改本文的任何工艺方案以将Mo结合到含Mo层中。例如,沉积可包括在本文所述的工艺范围内(例如,如本文所述的任何流速,压力、温度、等离子体功率、偏置功率、脉冲频率、占空比、TCCT等)单独使用含Mo前体与另一沉积前体(例如含C前体、含Si前体、含B前体)一起使用。
非限制性含Mo前体可包括有机钼化合物(例如,其具有一种或多种有机配体)、卤化钼化合物(例如,其具有一种或多种卤素)、卤氧化钼化合物(例如,其具有氧化物和卤素)、无机钼化合物等。
在一个实施方案中,含Mo前体包括具有式(I)的结构:
MoLn(I),
其中每个L独立地选自氢(H)、卤素、氧化物(=O)、亚氨基(=NR1)、羰基(CO)、胺(NR1R2)、有机配体、单齿配体,或双齿配体;n选自2-6。R1和R2中的每一个可以是本文所述的任何官能团,例如如本文所限定的脂族、卤代脂族、卤代杂脂族、杂脂族、芳族、脂族-芳族、杂脂族-芳族,或其任何组合。每个L可以是本文所述的任何配体。
在一些实施方案中,一个或多个L可以是如本文所定义的脂族、卤代脂族、卤代杂脂族、杂脂族、芳族、脂族-芳族、杂脂族-芳族、或其任何组合。在其他实施方案中,一个或多个L可以是烷基、烯基、炔基、杂烷基、杂烯基、杂炔基、卤代烷基、卤代烯基、卤代炔基、卤代杂烷基、卤代杂烯基、卤代杂炔基、芳基、杂芳基、烷基-芳基、烯基-芳基、炔基-芳基,烷基-杂芳基、烯基-杂芳基、炔基-杂芳基、杂烷基-芳基、杂烯基-芳基、杂炔基-芳基、杂烷基-杂芳基、杂烯基-杂芳基、杂炔基-杂芳基或其任何组合。在具体公开的实施方案中,L可进一步被一个或多个取代基取代,所述取代基例如烷氧基、酰胺、胺、硫醚、羟基、硫醇、酰氧基、甲硅烷基、脂环族、芳基、醛、酮、酯、羧酸、酰基、酰基卤化物、氰基、卤素、磺酸盐、硝基、亚硝基、季胺、吡啶基(或吡啶基,其中氮原子被脂族或芳基官能化)、卤代烷或其任何组合。
在另一实施方案中,含Mo前体包括具有式(II)的结构:
Mo(X)m(L)n(II),
其中每个X独立地是卤素(例如F、Cl、Br和I)、氧化物(=O)、亚氨基(=NR1)或羰基(CO);每个L是有机配体(例如,本文所述的任何配体);m选自0-6;n选自0-6,其中m和n中至少有一个不为零。在特定实施方案中,L还包括选自由N、O和S组成的群组的元素。
在一些实施方案中,有机配体独立地选自脂族、卤代脂族、卤代杂脂族、杂脂族、芳族、脂族-芳族、杂脂族-芳族、酯、胺(amines)、脒酸盐(amidinates)、酰胺酸盐(amidates)、亚氨基吡咯烷酸盐(iminopyrrolidinates)、二氮杂丁二烯(diazabutadienes)、β-亚氨基酰胺(β-imino amides)、α-亚氨基醇盐(α-imino alkoxides)、β-二酮亚胺盐(β-diketiminates)、β-酮亚胺盐(β-ketoiminates)、β-二酮酸盐(β-diketonates)、吡唑酸盐(pyrazolates)、β-氨基醇盐(β-amino alkoxides)、胍酸盐(guanidinates)、酰胺(amides)、酰亚胺(imides)、硫醚(thioethers)、硫醇盐(thiolates)、二硫醇烯(dithiolenes)、α-亚氨基硫烯(α-iminothiolenes)、α-二硫醇酯(α-dithiolates)和β-二硫醇酯(β-thiolates),其中每个都可以被取代或未被取代。
在另一实施方案中,含Mo前体包括具有式(III)的结构:
Mo2Ln(III),
其中每个L是双齿配体;n选自2-5。在特定实施方案中,含Mo前体包括多重Mo-Mo键。双齿配体可以是本文所述的任何配体,例如,脒基(amidinate)、酰胺基或胍基(guanidinate)配体。
在又一个实施方案中,含Mo前体包括具有式(IV)的结构:
Mo(L1)m(L2)n(X)p(IV),
其中每个L1独立地是双齿配体;每个L2都是独立的中性配体;每个X独立地是阴离子配体;m选自1-3;n选自0-4;p选自0-4。在一些实施方案中,n和p不同时为零。双齿配体、中性配体和阴离子配体的实例在本文中描述。
非限制性双齿配体包括脒酯、酰胺酸盐、亚氨基吡咯烷酸盐、重氮丁二烯、β-亚氨基酰胺、α-亚氨基醇盐、β-二酮亚胺盐(β-diketiminate)、β-酮亚胺盐、β-二酮酸盐、吡唑酸盐、β-氨基醇盐、胍酸盐、二硫醇烯、α-亚氨基硫烯、α-二硫醇酯和β-二硫醇酯。双齿配体可以是中性的或阴离子的。其他双齿配体可包括NR1-Ak-NR2,NR1-Ak-O,NR1-Ak-S,S-Ak-O,O-Ak-O,和S-Ak-S,其中Ak是任选经取代的亚烷基或任选经取代的杂亚烷基。Ak基团可以是未取代的或取代的,例如被烷基、胺、羟基、卤代基、氨基烷基、羟烷基、卤代烷基或本文描述的其他烷基取代。Ak基团可以是饱和的或不饱和的(例如,具有一个或多个双键或三键)。
配体可以是中性的或阴离子的(例如,单阴离子的或双阴离子的),并且钼可以处于多种氧化态,例如+1、+2、+3、+4、+5和+6。在一些实施方案中,配体可以是中性配体。非限制性中性配体可包括CO、胺、膦、腈、异腈和硫醚。在其他实施方案中,配体可以是阴离子配体。非限制性阴离子配体包括卤化物、烷基、烯丙基、环戊二烯基、醇盐、酰胺和酰亚胺。
其他示例包括杂配卤化钼化合物(即,具有不同类型配体的化合物)。此类前体的具体示例是包含钼、至少一种与钼形成键的卤化物和至少一种有机配体(例如,其中有机配体具有N、O和S元素中的任何一种,其中这些元素中的任何一种的原子都与钼形成键)。在一个实施方案中,含Mo前体包括具有式(V)的结构:
Mo(X)m(L)n(V),
其中每个X独立地是卤素;每个L是有机配体;m选自1-6;n选自1-4。
在具体实施方案中,L包括选自由N、O和S组成的群组的元素。
在本文的任何实施方案中,配体是具有任何N、O和S元素的有机配体,其中任何这些元素的原子与钼形成键。在本文的任何实施方案中,配体可以是胺(amines)、脒酸盐(amidinates)、酰胺酸盐(amidates)、亚氨基吡咯烷酸盐(iminopyrrolidinates)、二氮杂丁二烯(diazabutadienes)、β-亚氨基酰胺(β-imino amides)、α-亚氨基醇盐(α-iminoalkoxides)、β-二酮亚胺盐(β-diketiminates)、β-酮亚胺盐(β-ketoiminates)、β-二酮酸盐(β-diketonates)、吡唑酸盐(pyrazolates)、β-氨基醇盐(β-amino alkoxides)、胍酸盐(guanidinates)、酰胺(amides)和酰亚胺(imides)。非限制性含氮和含氧配体包括方案I中的那些。
方案I
Figure BDA0004005481220000401
在一些实施方案中,配体可以是硫醚(thioethers)、硫醇盐(thiolates)、二硫醇烯(dithiolenes)、α-亚氨基硫烯(α-iminothiolenes)、α-二硫醇酯(α-dithiolates)和β-二硫醇酯(β-thiolates)。硫醚可以包括单齿和多齿(例如,双齿或三齿)硫醚,以及含有硫醚和硫醇盐(或其他)部分的配体。单齿硫醚的示例是二烷基硫醚SR1R2,其中R1和R2中的每一个独立地是烷基,例如二甲基硫醚、二乙基硫醚、二异丁基硫醚等。还包括硫醇盐部分的多齿硫醚配体的示例是(SCH2CH2SCH2CH2S)2-。其他非限制性含S配体包括方案II中的那些。
方案II
Figure BDA0004005481220000411
在方案I和方案II中,R1、R2和R3中的每一个独立地是氢(H)、脂族、卤代脂族、卤代杂脂族、杂脂族、芳族、脂族-芳族、杂脂族-芳族或其任何组合。在其他实施方案中,R1、R2和R3中的每一个独立地是烷基、烯基、炔基、杂烷基、杂烯基、杂炔基、卤代烷基、卤代烯基、卤代炔基、卤代杂烷基、卤代杂烯基、卤代杂炔基、芳基、杂芳基、烷基-芳基、烯基-芳基、炔基-芳基、烷基-杂芳基、烯基-杂芳基、炔基-杂芳基、杂烷基-芳基、杂烯基-芳基、杂炔基-芳基、杂烷基-杂芳基、杂烯基-杂芳基、杂炔基-杂芳基,或其任何组合。在具体公开的实施方案中,R1、R2和R3中的每一个可以进一步被一个或多个取代基例如烷氧基、酰胺、胺、硫醚、羟基、硫醇、酰氧基、甲硅烷基、脂环族、芳基、醛、酮、酯、羧酸、酰基、酰卤、氰基、卤代基、磺酸盐、硝基、亚硝基、季胺、吡啶基(或吡啶基,其中氮原子被脂族或芳基官能化)、卤代烷或其任何组合取代。
在方案I或方案II中的配体的其它实施方案中,R1、R2和R3中的每一个独立地是H、烷基、胺、卤代烷基、烷基甲硅烷基、烷基氨基和烷氧基。在一些实施方案中,R1、R2和R3中的每一个独立地是H、甲基、乙基、正丙基、异丙基、异丁基、正丁基、仲丁基、叔丁基、戊基、己基、环丙基、环丁基,环戊基、环己基、环丙基甲基、环丙基乙基、环丙基丙基、环丁基甲基和环丁基乙基。在其他实施方案中,R1、R2和R3中的每一个独立地是任选取代的烷基。在其他实施方案中,使用具有支链烷基取代基的配体(例如,C3-6支链烷基,例如异丙基和异丁基),因为这样的配体可以提供更易挥发的钼前体。
本文中的任何配体可以是经取代的或未经取代的。在一些实施方案中,这些配体包括一个或多个独立地选自H、烷基、卤代基、羟基、胺、卤代烷基、烷基甲硅烷基、烷基氨基和烷氧基取代基的取代基。
在特定实施方案中,有机钼化合物可包括羰基钼(Mo[CO]6)、乙酸钼(Mo2[O2CCH3]4)、五甲基钼(MoMe5)、二氢化钼(MoCp2H2,其中Cp为环戊二烯基),双(环戊二烯基)二氯化钼(MoCp2Cl2或C10H10Cl2Mo)、环戊二烯基钼(II)三羰基二聚体(Cp2Mo2[CO]6或C16H10Mo2O6)、双(乙苯)钼([(EtxC6H6-x]2Mo,其中x为0、1、2、3或4)、环庚三烯三羰基钼(C7H8Mo[CO]3)、钼双(2,2,6,6-四甲基-3,5-庚二酸)(Mo[thd]3,其中thd是2,2,6,6-四甲基庚烷-3,5-二酮)、二氧化钼(VI)双(2,2,6,6-四甲基-3,5-庚二酸)(MoO2[thd]2)、二氧化钼(VI)双(乙酰丙酮化物)(MoO2[acac]2,其中acac是乙酰丙酮化物)、(双环[2.2.1]庚-2,5-二烯)四羰基钼([nbd]Mo[CO]4)、(均三甲苯)三羰基钼([Me3C6H3]Mo[CO]3),(三甲基硅基环戊二烯基)钼二羰基2-甲基烯丙基([CpSiMe3]Mo[CO]23-2-甲基烯丙基])等。
有机钼化合物可以包括胺基。这样的化合物可以包括双(叔丁基亚氨基)双(二甲基氨基)钼(VI)([tBuN]2Mo[NMe2]2)、双(叔丁基亚氨基)双(N,N-二(异丙基)乙脒基)钼(VI)([tBuN]2Mo[iPr2AMD]2,其中AMD为乙酰氨基)、双(N,N-二(环己基)乙脒基)二氧化钼(MoO2[(CyN)2CMe]2,其中Cy为环己基)、双(N,N-二(正丙基)乙脒基)二氧化钼(MoO2[iPr2AMD]2或MoO2[(iPrN)2CMe]2)、双(N,N-二(叔丁基)乙脒基)二氧化钼(MoO2[tBu2AMD]2或MoO2[(tBuN)2CMe]2)。
卤化钼化合物可以是氟化钼(例如,MoF6或MoF5或MoF4)、氯化钼(例如,[MoCl5]2或MoCl5或MoCl4或MoCl3或MoCl2)、溴化钼(例如MoBr4或MoBr3或MoBr2)或碘化钼(例如,MoI3)。
在一些实施方案中,卤化钼是卤氧化钼化合物,例如二氯化钼二氧化物(例如,MoO2Cl2)、二溴化钼二氧化物(例如,MoO2Br2)、二碘化钼二氧化物(例如,MoO2I2)、四氯化钼(MoOCl4)、四氟氧钼(MoOF4)、碘氧化钼(例如Mo4O11I)等。
还有的其他含Mo前体可包括氧化钼(MoO3)、钼酸铵(MoO4[NH4]2)等。在一些实施方案中,本文提供的含Mo前体具有200℃或更低的蒸发温度。
含碳前体
与含Mo前体组合,一种或多种含C前体可用于提供含碳化钼材料。这种材料可以包括三元或四元材料,例如碳化硅钼、碳化硼钼等。非限制性含C前体可包括烃化合物、卤化碳化合物等。在一些情况下,含C前体与还原剂(例如H2)、惰性气体或其组合一起使用。
烃前体通常包括含碳前体。在一些情况下,烃前体仅包括C和H原子。碳氢化合物可以是CxHy,其中x是1到10的整数,y是2到24的整数。其他非限制性碳氢化合物可以包括甲烷(CH4)、乙炔(C2H2)、乙烯(C2H4)、丙烯(C3H6)、丙炔(C3H4)、丙二烯(C3H4)、环丙烯(C3H4)、丁烷(C4H10)、环己烷(C6H12)、苯(C6H6)、甲苯(C7H8)等。还有其他碳氢化合物包括烯烃、炔烃、芳烃和环烃。
烃前体可以是脂族化合物(例如,C1-10烷烃、C2-10烯烃、C2-10-炔烃,包括其直链或环状形式)、脂环族化合物(例如,C3-12环烷烃、C3-12环烯烃或C3-12环炔烃)或芳族化合物(例如苯、甲苯、萘、菲及其其他多环形式)。烃前体可以包括饱和键(单键,例如C-C键或C-H键)、不饱和键(双键或三键,例如C=C、C≡C或C≡N键)或其组合。脂族化合物、环状化合物或芳族化合物可被一个或多个官能团取代,例如卤代基、烷基、烯基、炔基、烷氧基、氰基、羟基等。
在烃前体中,可以使用各种化合物。例如,烃前体可包括脂族和芳族化合物(例如烷烃、烯烃、炔烃、苯等),包括其取代形式。通过使用不同的碳氢化合物前体,可以改变含Mo层内某些化学键的类型和数量。例如,使用不饱和烃前体可以提供具有增加的不饱和键含量(例如,增加的C=C或C≡C键含量)、增加的sp2碳含量、增加的sp碳含量、减少的饱和键含量(例如,减少的C-C键含量)、减少的sp3碳含量或减少的C-H键含量(例如,与用增加量的饱和烃前体或减少量的不饱和烃前体形成的膜相比)。
烃前体的选择可取决于各种因素。在一个非限制性的示例中,烃前体包括饱和前体(例如,与C-C、C=C或C≡C含量相比,具有增加的C-H键含量),其可以提供足够的H原子。然而,在其他非限制性情况下,烃前体包括不饱和前体(例如,与C-H键含量相比,具有增加的C-C、C=C或C≡C含量)。不希望受机制限制,选择这样的前体可以提供增强的抗蚀刻性。
非限制性卤化碳化合物可包括卤代烷烃、卤代烯烃或卤代芳族化合物。在其他实施方案中,卤化碳化合物是四溴化碳(CBr4)或四氯化碳(CCl4)。
在一些实施方案中,烃化合物可以是烃化合物的含氟、含卤素、含氧、含硅、含羟基和含硼衍生物。
在另一实施方案中,碳氢化合物可以是环状碳氢化合物(例如,甲基环己烷);经取代的芳烃(例如,经卤素取代的苯、经胺取代的苯、经C2-8烷基取代的苯或经卤素和烷基取代的苯,如异丙苯、苯胺、N,N-二甲基苯胺等);以及卤碳(例如,具有一个或更多卤素的C2-12烷基)。在一些示例中,烃是未经取代的苯或经C1烷基取代的苯(例如甲苯、邻二甲苯、间二甲苯、对二甲苯)。在其他示例中,烃是经卤素取代的C1烃(例如氯仿、二氯甲烷)。在还有的其他示例中,烃是乙腈。
在一些实施方案中,烃为具有一个或更多双键或三键的不饱和烃。在其他实施方案中,烃是不饱和环烃(例如,环戊烯、环己烯、环庚烯、芴等)。在特定实施方案中,烃为具有一个或更多双键的烯烃或具有一或更多三键的炔烃,其中烯烃或炔烃可以是直链或环状。示例性的烯烃包含乙烯、丙烯、1-丁烯、1-戊烯、1-己烯、1-庚烯、1-辛烯和1-壬烯,以及这些中的任何一者的二烯及位置异构体(如果可用的话),其中双键的位置发生变化(例如,1-丁烯的位置异构体可以是2-丁烯等)。示例性的炔烃包含乙炔、丙炔、1-丁炔、1-戊炔、1-己炔、1-庚炔、1-辛炔和1-壬炔,以及位置异构体(如果可用的话),其中三键的位置发生变化(例如,1-丁炔的位置异构体可以是2-丁炔等)。
含硅前体
此外,一种或多种含Si前体可用于提供含硅化钼材料。这种材料可以包括三元或四元材料,例如碳化钼硅化物、硼化钼硅化物等。非限制性含Si前体可包括硅烷化合物、有机硅烷化合物、烷基硅烷化合物、烷氧基硅烷化合物、硅烷醇化合物、硅氧烷化合物、氨基硅烷化合物、环氮杂硅烷化合物、卤代硅烷化合物、无机物硅烷化合物等。在特定实施方案中,含Si前体可用作还原剂。在一些情况下,含硅前体与还原剂、惰性气体或其组合一起使用。
一般的含Si前体可具有通式SiR1R2R3R4,其中R1、R2、R3和R4中的每一个独立地是氢(H)、羟基、卤代基、胺、氨基烷基、烷氧基、脂族、卤代脂族、卤代杂脂族、杂脂族、芳族、杂芳族、脂族-芳族、杂脂族-芳族或其任何组合。
在某些实施方案中,R1、R2、R3和R4中的每一个独立地是烷基、烯基、炔基、杂烷基、杂烯基、杂炔基、卤代烷基、卤代烯基、卤代炔基、卤代杂烷基、卤代杂烯基、卤代杂炔基、芳基、杂芳基、烷基-芳基、烯基-芳基、炔基-芳基、烷基-杂芳基、烯基-杂芳基、炔基-杂芳基、杂烷基-芳基、杂烯基-芳基、杂炔基-芳基、杂烷基-杂芳基、杂烯基-杂芳基、杂炔基-杂芳基或其任何组合。在特别公开的实施方案中,R1、R2、R3和R4中的每一个可进一步经一个或更多取代基取代,例如烷氧基、酰胺、胺、硫醚、羟基、硫醇、酰氧基、硅烷基、环脂族、芳基、醛、酮、酯、羧酸、酰基、酰卤、氰基、卤素、磺酸根、硝基、亚硝基、季胺、吡啶基(或其中氮原子被脂族或芳基官能化的吡啶基)、烷基卤或其任何组合。
在一种情况下,非限制性含Si前体包括聚硅烷(H3Si-(SiH2)n--SiH3),其中n≥0。硅烷的示例是硅烷(SiH4)、乙硅烷(Si2H6)、丙硅烷(Si3H8)、四硅烷(Si4H10)。
有机硅烷可以具有通式SiR1R2R3R4,其中R1、R2、R3和R4中的每一个独立地是氢(H)、羟基、卤素、胺、氨基烷基、烷氧基、酯、脂族、卤代脂族、卤代杂脂族、杂脂族、芳族、杂芳族、脂族-芳族、杂脂族-芳族或其任何组合;R1、R2、R3和R4中的至少一个为有机配体。非限制性有机配体可包括脂族、卤代脂族、卤代杂脂族、杂脂族、芳族、杂芳族、脂族-芳族、杂脂族-芳族或其任何组合。在其他实施方案中,有机配体包括烷基、烯基、炔基、杂烷基、杂烯基、杂炔基、卤代烷基、卤代烯基、卤代炔基、卤代杂烷基、卤代杂烯基、卤代杂炔基、芳基、杂芳基、烷基-芳基、烯基-芳基、炔基-芳基、烷基-杂芳基、烯基-杂芳基、炔基-杂芳基、杂烷基-芳基、杂烯基-芳基、杂炔基-芳基、杂烷基-杂芳基、杂烯基-杂芳基或杂炔基-杂芳基。
非限制性有机硅烷包括甲基硅烷、乙基硅烷、异丙基硅烷、叔丁基硅烷、二甲基硅烷(SiMe2H2)、三甲基硅烷(SiMe3H)、四甲基硅烷(SiMe4)、二乙基硅烷(SiEt2H2)、三乙基硅烷(SiEt3H)、四乙基硅烷(SiEt4)、二-叔丁基硅烷、三丁基硅烷(SiBu3H)、烯丙基硅烷、四烯丙基硅烷(Si[CH2CH=CH2]4)、仲丁基硅烷、叔己基硅烷、异戊基硅烷、叔丁基乙硅烷、二叔丁基乙硅烷、环戊二烯基三甲基硅烷(SiCpMe3)、六甲基乙硅烷(Si2Me6)、醋酸硅(Si[OAc]4,其中Ac为乙酰基)等。
烷氧基硅烷包括至少一个与Si原子键合的O原子,但也可以包含H、N、卤素或C原子。非限制性烷氧基硅烷可具有式R1OSiR2R3R4,其中R1是任选取代的脂族或任选取代的烷基;R2、R3和R4中的每一个独立地是氢(H)、羟基、卤代基、胺、氨基烷基、烷氧基、脂族、卤代脂族、卤代杂脂族、杂脂族、芳族、杂芳族、脂族-芳族、杂脂族-芳族、或它们的任何组合。
烷氧基硅烷的示例分别是单-、二-、三-和四-烷氧基硅烷(H3Si[OR]、H2Si[OR]2、HSi[OR]3和Si[OR]4,其中每个R可以独立地是任选取代的烷基或芳基),以及经取代的单-、二-、三-和四-烷氧基硅烷,例如三甲氧基甲基硅烷(MeSi[OMe]3)、(3-氨基丙基)三甲氧基硅烷(NH2(CH2)3Si[OMe]3)、(3-氨丙基)三乙氧基硅烷(NH2(CH2)3Si[OEt]3)、三乙氧基乙烯基硅烷(CH2=CHSi[OEt]3)、三乙氧基乙基硅烷(EtSi[OEt]3)、三甲氧基苯基硅烷(PhSi[OMe]3)、异丁基三乙氧基硅烷(i-BuSi(OCH2CH3)3)、二乙酰氧基二甲基硅烷(Me2Si(OCOMe)2)等。其他示例包括三甲氧基硅烷(HSi[OMe]3)、四甲氧基硅烷(Si[OMe]4)、三乙氧基硅烷(HSi[OEt]3)、四乙氧基硅烷(TEOS或Si[OEt]4)和四丁氧基硅烷(Si[OBu]4)。
非限制性硅烷醇可具有通式HOSiR2R3R4,其中R2、R3和R4中的每一个独立地是氢(H)、羟基、卤素、胺、氨基烷基、烷氧基、脂族、卤代脂族、卤代杂脂族,杂脂族、芳族、杂芳族、脂族-芳族、杂脂族-芳族或其任何组合。非限制性硅烷醇化合物包括三叔丁氧基硅烷醇([tBuO]3SiOH)、三叔戊氧基硅烷醇([EtMe2CO]3SiOH)等。
非限制性硅氧烷可具有式R1R2R3Si-O-SiR4R5R6,,其中R1、R2、R3、R4、R5和R6中的每一个独立地是氢(H)、羟基、卤素、胺,氨基烷基、烷氧基、脂族、卤代脂族、卤代杂脂族、杂脂族、芳族、杂芳族、脂族-芳族、杂脂族-芳族或其任何组合。非限制性硅氧烷化合物包括六氯二硅氧烷(Cl3SiOSiCl3)、六甲基硅氧烷(Me3SiOSiMe3)、1,1,3,3-四甲基二硅氧烷(HMe2SiOSiMe2H)等。
氨基硅烷包括至少一个与Si原子键合的N原子,但也可以包含H、O、卤素或C原子。非限制性氨基硅烷可具有通式R1R2NSiR3R4R5,其中R1和R2中的每一个是H、任选取代的脂族、任选取代的烷基、任选取代的芳族或任选取代的芳基;R3、R4和R5中的每一个独立地是H、羟基、卤代基、胺、氨基烷基、烷氧基、脂族、卤代脂族、卤代杂脂族、杂脂族、芳族、杂芳族、脂族-芳族、杂脂族-芳族或其任何组合。
氨基硅烷的示例是单-、二-、三-和四-氨基硅烷(分别为H3Si[NH2]、H2Si[NH2]2、HSi[NH2]3和Si[NH2]4),以及经取代的单、二、三和四氨基硅烷,例如,叔丁基氨基硅烷、甲基氨基硅烷、二仲丁基氨基硅烷(DSBAS或[(s-Bu)2N]SiH3)、H2Si(NRR')2(其中R是tBu或Et,R'是H或Et)、双(叔丁基氨基)硅烷([H(tBu)N]2SiH2或BTBAS)、双(二乙氨基)硅烷([Et2N]2SiH2)、双(二甲基氨基)二甲基硅烷([Me2N]2SiMe2)、六(乙基氨基)乙硅烷([HEtN]6Si2)、三(二甲基氨基)硅烷([Me2N]3SiH)、四(乙基甲基氨基)硅烷([MeEtN]4Si)、2,2,4,4,6,6-六甲基环三硅氮烷(Me6H3N3Si3)、叔丁基甲硅烷基氨基甲酸酯、SiHMe-(NMe2)2、SiHCl-(NMe2)2、(SiMe2NH)3等。氨基硅烷的另一个示例是三甲硅烷基胺(N[SiH3])。
卤代硅烷包括至少一个卤素基团并且可以包括或可以不包括H或C原子。非限制性卤代硅烷可以具有通式XSiR1R2R3,其中X是卤素;R1、R2和R3中的每一个独立地是H、羟基、卤素、胺、氨基烷基、烷氧基、脂族、卤代脂族、卤代杂脂族、杂脂族、芳族、杂芳族、脂族-芳族、杂脂族-芳族或其任何组合。
卤代硅烷的示例是碘硅烷、溴硅烷、氯硅烷和氟硅烷。具体的氯硅烷有四氯硅烷(SiCl4)、三氯硅烷(SiHCl3)、二氯硅烷、一氯硅烷、氯烯丙基硅烷、氯甲基硅烷、二氯甲基硅烷、氯二甲基硅烷、氯乙基硅烷、叔丁基氯硅烷、二叔丁基氯硅烷、氯异丙基硅烷、氯仲丁基硅烷、叔丁基二甲基氯硅烷、己基二甲基氯硅烷、六氯硅烷(Si2Cl6)、苄基三氯硅烷(SiBzCl3)等。具体的溴硅烷包括四溴硅烷(SiBr4)等。具体的碘硅烷有四碘硅烷、三碘硅烷、二碘硅烷、单碘硅烷、三甲基甲硅烷基碘等。
含硼前体
一种或多种含硼前体可用于提供含硼化钼材料。这种材料可以包括三元或四元材料,例如硼化碳化钼、硼化钼硅化物等。非限制性含B前体可包括有机硼烷化合物、卤化硼化合物、硼酸盐化合物、无机硼化合物等。在特定实施方案中,含B前体可用作还原剂。
非限制性有机硼烷可具有通式BR1R2R3,其中R1、R2和R3中的每一个独立地是H、羟基、卤素、胺、氨基烷基、烷基氨基、烷氧基、酯、氨基、脂族、卤代脂族、卤代杂脂族、杂脂族、芳族、杂芳族、脂族-芳族、杂脂族-芳族或其任何组合;R1、R2和R3中的至少一个是有机配体(例如本文所述的任何配体)。在具体实施方案中,有机配体是任选经取代的烷基、任选经取代的脂族、任选经取代的芳基或任选经取代的芳族。
有机硼烷化合物可以是三甲基硼烷(BMe3)、1,2-二甲基乙硼烷((BH2Me)2)、三乙基硼烷(BEt3)、三苯基硼烷(BPh3,其中Ph为苯基)、三(五氟苯基)硼烷、四(二甲基氨基))二硼(B2[NMe2]4)等等。
非限制性卤化硼化合物可具有式XBR1R2,其中X是卤素;R1和R2中的每一个独立地是H、羟基、卤素、氧化物(=O)、胺、氨基烷基、烷氧基、脂族、卤代脂族、卤代杂脂族、杂脂族、芳族、杂芳族、脂族-芳族、杂脂族-芳族,或它们的任何组合。卤化硼化合物可以是溴化硼(例如BBr3)、氯化硼(例如BCl3或B2Cl4)、氟化硼(例如BF或BF3或B2F4)、碘化硼(例如BI3)、一氧化二氟化硼(BFO)等等。
一种非限制性的硼酸盐化合物可以具有式R1OBR2R3,其中R1是H、任选经取代的脂族基或任选经取代的芳族基;R2和R3中的每一个独立地是H、羟基、卤代基、氧化物(=O)、胺、氨基烷基、烷氧基、脂族、卤代脂族、卤代杂脂族、杂脂族、芳族、杂芳族、脂族-芳族、杂脂族-芳族,或它们的任何组合。硼酸盐化合物可以是硼酸三甲酯(B[OMe]3)、硼酸三乙酯(B[OEt]3)、硼酸三异丙酯(B[OiPr]3)等。
非限制性无机硼化合物可具有式BR1R2R3,其中R1、R2和R3中的每一个独立地是H、羟基、卤素、氧化物(=O)、NH2、NH等。无机硼化合物可以是硼烷(BH3)、乙硼烷(例如B2H6或B2H4)、硼嗪(H3NBH3)等。
含Mo层
含Mo层可以包括一种或多种其他杂原子(例如,碳、氮、硅、硼、氧等)。在特定实施方案中,该层是含Mo碳层、含Mo硅层、含Mo硼层、含Mo碳和硅层、含Mo碳和硼层,或含Mo硅和硼层、以及这些的任何掺杂形式。这种含Mo层可以包括合金或其混合形式。此外,含Mo层可包括二元材料(例如,包括Mo、以及C、Si或B中的一种)或三元材料(例如,包括Mo、以及C、Si或B中的两种)。在一些实施方案中,含Mo层是非晶的。在其他实施方案中,含Mo层是晶粒尺寸在约1-3nm之间的晶体。
其他含Mo层可包括碳化钼(例如,MoCy、Mo2C、MoC或MoxCy)、硅化钼(例如,MoSiy、MoSi2、Mo5Si3、Mo3Si或MoxSiy)、硼化钼(例如,MoBy、MoB2、Mo2B4或MoxBy)、碳氧化钼(例如Mo2CyOz或MoxCyOz)、硼化钼硅化物(例如Mo5SiB2、MoSi2B、或MoxSiyBz)、碳氮化钼(例如MoCyNz或MoxCyNz)、碳化钼硅化物(例如MoSiyCz或MoxSiyCz)、碳化钼硼化物(例如MoByCz或MoxByCz)、氮化钼(MoNy或MoxNy)、氮氧化钼(例如MoxOyNz)等,其中x、y和z表示这些化合物的化学计量可能不同。在一些实施方案中,x、y和z中的每一个可以是从0.1到10,包括其间的整数和小数。
含Mo层可用作硬掩模、蚀刻停止层、保形层或台阶覆盖层。硬掩模可包括在各种应用中的使用,例如深氧化物触点蚀刻、DRAM电容器模具蚀刻和线或空间蚀刻,包括形成浅沟槽隔离结构、栅极和位线的蚀刻。
在特定实施方案中,含Mo层提供硬掩模膜,其中增加的Mo原子掺杂提供增加的交联。交联膜进而可以提供更硬或更致密的膜,其提供增强的蚀刻选择性,同时对于半导体硬掩模应用保持可移除。
在其他实施方案中,含Mo层提供保形膜。薄膜的保形程度可以通过台阶覆盖率来衡量。在一个实施方案中,通过将侧壁上沉积膜的平均厚度除以特征顶部沉积膜的平均厚度并将其乘以100以获得百分比来计算台阶覆盖率。
含Mo层还可具有其他有用的特性。例如,含Mo层可表征为具有低氟含量(例如,约0-2at.%)。在另一种情况下,含Mo层可表征为具有约5-60at.%的钼含量。在又一种情况下,含Mo层可表征为具有低氢含量(例如,约5-12at.%)。
含Mo层可以具有降低的压膜应力。在一种情况下,应力可以是约10-20MPa。在特定实施方案中,含Mo层的总拉伸应力可小于约1GPa。
含Mo层可具有任何有用的厚度,例如约10埃至10微米。在其他实施方案中,厚度为约10-30埃。在其他实施方案中,厚度为约200埃至10微米。在又一些实施方案中,厚度为约100埃至2微米。在各种实施方案中,含Mo层的厚度在约150-300埃之间。
含Mo层可以表征为高蚀刻选择性。例如,高蚀刻选择性可以允许更薄的掩模和改进的蚀刻余量。
界面层
界面层可以包括任何有用的材料。非限制性材料包括纯硼(B)、纯碳(C)、钛(Ti)、氮化钛(TiN)、铝化钛(TiAl)、硅化钛(TiSi)、碳化钛(TiC)、钨(W)、氮化钨(WN)、碳氮化钨(WCN)、氮化钽(TaN)、镍(Ni)、硅化镍(NiSi)、氮化镍(NiN)、碳化钼(MoC)、氮化钼(MoNx)、氮氧化钼层(MoOxNy)等及其组合。其他材料可包括介电层和导电层,例如氧化硅、氮化硅、碳化硅、金属氧化物、金属氮化物、金属碳化物和金属层。
界面层可包括任何有用的厚度,例如约10埃至100纳米。在使用中,界面层可用作扩散阻挡层、粘附层、阻挡层、成核层或它们的组合。
提供界面层的方法包括前体的气相沉积以提供界面层。界面层可以沉积在衬底的表面部分或预处理的表面上。在一种情况下,界面层包括金属氮化物,其中沉积包括使用合适的含金属(M)前体和合适的含氮(N)前体。对于界面层内的其他成分,可以使用其他前体,例如:用于金属碳化物的含C前体和含M前体,用于金属氧化物的含O前体和含M前体,和用于金属氮氧化物的含O前体和含N前体与含M前体,以及用于氧化硅的含O前体和含Si前体等。在其他实施方案中,纯硼层包括沉积本文所述的任何含B前体;并且纯碳层包括本文所述的任何含C前体的沉积。
非限制性含M前体包括金属卤化物,例如MXn,其中M是金属;每个X都是独立的卤素;n选自2-6。其他非限制性含金属前体包括有机金属前体,例如MLn,其中M是金属;每个L独立地是氢(H)、卤素、氧化物(=O)、亚氨基(=NR1)、羰基(CO)、胺(NR1R2)、有机配体、单齿配体或双齿配体(例如,此处描述的任何一些);n选自2-6。M可以是界面层内的任何金属原子,例如钛(Ti)、钨(W)、钽(Ta)、镍(Ni)和钼(Mo)。
非限制性含Si前体包括本文所述的任何前体,例如四乙氧基硅烷(Si(OEt)4或TEOS)。非限制性含C前体包括本文所述的任何前体,包括乙炔(C2H2)。
非限制性含氮前体包括本文所述的任何前体,包括氨(NH3)、氮(N2)、肼(N2H4)、胺和氨基硅烷。非限制性胺包括甲胺、二甲胺、乙基甲胺、乙胺、异丙胺、叔丁胺、二叔丁胺、环丙胺、仲丁胺、环丁胺、异戊胺、2-甲基丁-2-胺、三甲胺、二异丙胺、二乙基异丙胺、二叔丁基肼,以及含有芳族的胺,例如苯胺、吡啶和苄胺。其他含N前体可包括腈(例如,乙腈)、酰胺、含N杂环化合物或氨基醇(例如,乙醇胺)。胺可以是伯胺、仲胺、叔胺或季胺(例如,四烷基铵化合物)。含N前体可以含有除N之外的杂原子,例如,羟胺、叔丁氧羰基胺和N-叔丁基羟胺是含N前体。
非限制性含O前体包括本文所述的任何前体,包括氧气(O2)、臭氧(O3)、一氧化碳(CO)、二氧化碳(CO2)、水(H2O)、过氧化氢(H2O2)、醇(例如叔戊醇、乙醇、丙醇等)、多元醇(例如乙二醇等二元醇)、酮、醛、醚、酯、羧酸、烷氧基硅烷、氧戊环或呋喃。
材料层和衬底,包括其堆叠件
材料层可以包括任何有用的材料。此类材料可包括氧化物材料、氮化物材料、介电材料、金属层、半导体材料及其可用于形成半导体器件(例如,金属触点、沟槽隔离、栅极、位线或任何其他互连特征)的组合。
非限制性材料可以包括介电材料,例如氧化硅(例如,SiO2)、氮化硅(例如,Si3N4)、氮氧化硅、碳化硅、碳氧化硅、氮化钛、二氧化铪(HfO2)、二氧化锆(ZrO2)、铪硅氧化物(HfSiO2)、铪铝氧化物(HfAlO)、锆硅氧化物(ZrSiO2)、二氧化钽(TaO2)、氧化铝、铝掺杂二氧化铪、铋锶钛(BST)、铂锆钛(PZT)、及其复合物、其合金或其掺杂形式。在其他实施方案中,该材料是高k材料,例如具有大于4的介电常数。
其他非限制性材料包括导电材料,例如包含硅的材料(例如,多晶硅、掺杂硅,例如n型或p型掺杂硅、硅化钨(WSi)、钨多晶硅(W/poly),等等)。其他材料包括具有钛(Ti)、铪(Hf)、钒(V)、钽(Ta)、铬(Cr)、钼(Mo)、钨(W)、锰(Mn)、铁(Fe)、钌(Ru)、钴(Co)、镍(Ni)、钯(Pd)、铂(Pt)、铜(Cu)、金(Ag)、银(Au)、铝(Al)、它们的合金、它们的氮化物化合物、它们的组合和其他。
本文的方法和层可用于任何有用的堆叠件。在一个实施方案中,堆叠件包括三维(3D)堆叠件内的阶梯状结构。在另一个实施方案中,堆叠件包括设置在衬底上的一个或多个高深宽比(HAR)特征,例如大于20:1。堆叠件内可存在其他特征,例如沟槽、通孔等。在一些情况下,特征是通过使用本文所述的含Mo层形成的。
本文的含Mo层可用于提供任何有用的堆叠件、膜或装置。例如,蚀刻选择性对于新一代NAND和动态随机存取存储器(DRAM)设备的图案化可能是关键的,并且本公开包括使用含Mo层来形成此类设备。还有其他设备可以包括用于VNAND或竖直集成存储器(VIM)应用程序的设备,以及磁性随机存取存储器(MRAM)和相变随机存取存储器(PCRAM)应用程序的设备。
材料层可以具有任何有用的厚度。在一些实施方案中,材料层可包括单一类型的材料或两种或更多种不同类型的材料。在特定实施方案中,材料层可以包括交替的子层。材料层或材料子层可以具有任何有用的厚度,例如对于每一层或子层或对于所有层/子层的总厚度为10埃至2000埃。
在各种实施方案中,对于3D NAND应用,材料层的厚度在大约50-500nm之间。材料层中要蚀刻的特征的关键尺寸取决于应用。在一些实施方案中,对于3D NAND应用,特征具有介于约50-120nm之间的关键尺寸。在一些实施方案中,对于DRAM应用,特征具有介于约16-22nm之间的关键尺寸。在其他实施方案中,特征包括收缩部、柱状物、沟槽、空隙等,它们可以可选地在稍后时间被填充(例如,以提供字线)。
衬底可以包括任何有用的材料。在多种实施方案中,衬底具有介电层,介电层在其上包含氧化物表面。衬底可以是硅晶片,例如200-mm晶片、300-mm晶片或450-mm晶片,包括其上沉积有一层或多层材料(例如电介质、导电或半导体材料)的晶片。层的非限制性示例包括介电层和导电层,例如氧化硅、氮化硅、碳化硅、金属氧化物、金属氮化物、金属碳化物和金属层。
在多种实施方案中,衬底被图案化。图案化衬底可具有诸如柱、极、沟槽、通孔或触点孔之类的“特征”,其可以表征为狭窄或内凹开口、特征内的收缩部和高深宽比中的一个或多个。特征可以形成在上述层中的一层或多层中。例如,特征可以至少部分地形成在介电层中。在一些实施方案中,特征可具有至少约2:1、至少约4:1、至少约6:1、至少约10:1、至少约25:1或更高的深宽比。特征的一个示例是半导体衬底中或衬底上的层中的孔或通孔。
在一些实施方案中,特征可以形成在衬底的最顶层中的一个或多个上,使得特征的底部是暴露的底层。特征的一个示例是半导体衬底中或衬底上的层中的柱或杆。另一个示例是衬底或层中的沟槽。在多种实施方案中,特征可以具有底层,例如阻挡层或粘附层。底层的非限制性示例包括介电层和导电层,例如氧化硅、氮化硅、碳化硅、金属氧化物、金属氮化物、金属碳化物和金属层。
装置
本文的方法和层可以通过使用装置来形成、沉积和处理。在一种情况下,用于提供含Mo层的装置包括处理室,处理室包括衬底保持器;与处理室和相关流量控制硬件连接的工艺气体源;以及与处理室连接的衬底搬运硬件。
处理室和衬底保持器可以被配置用于任何有用的沉积工艺。这样的沉积工艺可以包括ALD、CVD及其等离子体增强形式。可选地,处理室可以是ICP室或CCP室。衬底保持器可以是例如静电卡盘(ESC),其具有至少一个夹持电极,以用于将衬底保持在处理室内的喷头组件下方。ESC可以配置为用作阴极。可以任选地加热衬底保持器。
此外,工艺气体源可被配置为提供本文作为气体的任何前体,例如含Mo前体、含C前体、含Si前体、含B前体或其组合。气体源可以可选地通过喷头组件连接到处理室。
该装置还可以包括等离子体源,其可以连接到处理室和/或相关联的流量控制硬件。等离子体源可以被配置为向处理室供应等离子体。等离子体可以可选地是通过匹配网络耦合到处理室或喷头组件的远程等离子体源。
在特定实施方案中,该装置还包括具有处理器和存储器的控制器,其中处理器和存储器彼此通信连接,处理器至少可操作地与流量控制和衬底搬运硬件连接,存储器存储计算机可执行指令,所述指令用于执行本文描述的任何方法中记载的操作。例如,控制器可以被配置为控制流量控制硬件和等离子体源,其中控制器提供指令以:使衬底暴露于处理室内的含Mo前体和一种或多种沉积前体,从而将衬底暴露于前体;向处理室供应等离子体;并且导致在衬底的顶表面部分上沉积含Mo层。
图4示意性地示出了可用于使用ALD和/或CVD来沉积材料的处理站400的实施方案,其中任何一个都可以是等离子体增强的。为简单起见,处理站400被描绘为具有用于维持低压环境的处理室主体402的独立处理站。然而,应当理解,多个处理站400可以包括在共同的处理工具环境中。此外,应当理解,在一些实施方案中,可以由一个或多个计算机控制器以编程方式调整处理站400的一个或多个硬件参数,包括下面详细讨论的那些硬件参数。
处理站400与反应物输送系统401流体连通,以将工艺气体输送至分配喷头406。反应物输送系统401包含混合容器404,混合容器404用于共混和/或调节工艺气体以输送至喷头406。一个或多个混合容器入口阀420可以对工艺气体导入至混合容器404进行控制。类似地,喷头入口阀405可对工艺气体导入至喷头406进行控制。
一些反应物(例如BTBAS)可以在处理站汽化之前以及随后输送到处理站之前以液体形式储存。例如,图4的实施方案包含汽化点403,汽化点403用于汽化将供应至混合容器404的液体反应物。在一些实施方案中,汽化点403可以是加热的蒸发器。从这样的蒸发器产生的反应物蒸气会在下游输送管道凝结。不兼容气体暴露于凝结的反应物会产生小颗粒。这些小颗粒可能阻塞管道、阻碍阀操作、污染衬底等。处理这些问题的一些方法涉及清扫和/或抽空输送管道以去除残留反应物。然而,清扫输送管道会增加处理站循环时间,降低处理站吞吐量。因此,在一些实施方案中,汽化点403下游的输送管道可以被热追踪。在一些示例中,混合容器404也可以被热追踪。在一个非限制性示例中,汽化点403下游的管道具有从约100℃升高至在混合容器404处的约150℃的升高的温度分布。
在一些实施方案中,反应物液体可以在液体喷射器处汽化。例如,液体喷射器可以将液体反应物的脉冲喷射到混合容器上游的载气流中。在一种情况中,液体喷射器可以通过将液体从较高压闪变到较低压来汽化反应物。在另一情况中,液体喷射器可以将液体雾化为接下来在加热的输送管中汽化的分散的微滴。应当理解,较小的液滴可比较大的液滴更快汽化,从而减小了在液体注入和完成汽化之间的延迟。较快的汽化可以减小汽化点403下游的管道长度。在一种情况中,液体喷射器可以直接装载到混合容器404。在另一情况中,液体喷射器可以直接装载到喷头406。
在一些实施方案中,可以在汽化点403上游设置液体流控制器来控制用于汽化并输送至处理站400的液体的质量流量。例如,液体流控制器(LFC)可以包含位于LFC下游的热质量流量计(MFM)。然后可以响应于由与MFM电通信的比例积分微分(PID)控制器提供的反馈控制信号来调节LFC的柱塞阀。然而,其可以采取一秒或更长时间来使用反馈控制以稳定液体流。这可以延长投配液体反应物的时间。因此,在一些实施方案中,LFC可以在反馈控制模式和直接控制模式之间动态切换。在一些实施方案中,LFC可以通过禁用LFC的感测管道和PID控制器来从反馈控制模式动态切换到直接控制模式。
喷头406朝衬底412分配工艺气体。在图4所示的实施方案中,衬底412位于喷头406下方,并且示出为安置在基座408上。应当理解,喷头406可以具有任何适当的形状,并可以具有任何适当数量和布置的端口,以将工艺气体分配至衬底412。
在一些实施方案中,微体积407位于喷头406下方。在微体积中而不是在处理站的整个体积中执行ALD和/或CVD工艺可以减少反应物暴露和清扫时间,可以减少改变工艺条件(例如,压力、温度等)的时间,可以限制处理站机械手对工艺气体的暴露等。示例性的微体积大小包括但不限于0.1升和2升之间的容积。这个微量体积也影响生产力吞吐量。当每个循环的沉积速率下降时,循环时间也同时减少。在某些情况下,对于给定的目标膜厚度,循环时间减少的效果足够显著以提高模块的总体产量。
在一些实施方案中,可以升高或降低衬底保持器(例如,基座408)以使衬底412暴露于微体积407和/或改变微体积407的体积。例如,在衬底传送阶段中,可以降低基座408以使得衬底412能被加载在基座408上。在沉积工艺阶段期间,可以升高基座408以将衬底412定位在微体积407内。在一些实施方案中,微体积407可以完全包围衬底412以及基座408的一部分以在沉积工艺期间形成高流阻抗的区域。
任选地,基座408可以在沉积工艺的部分期间降低和/或升高,以调节微体积407内的工艺压力、反应物浓度等。在一种使处理室主体402在沉积工艺期间保持在基础压力下的情况下,降低基座408可以使得微体积407能被抽空。微量体积与处理室体积的示例性比率包括但不限于1:500和1:10之间的体积比。应当理解,在一些实施方案中,可以通过合适的计算机控制器以编程方式调整基座高度。
在另一种情况下,调整基座408的高度可以允许在包括在沉积工艺中的等离子体激活和/或处理循环期间改变等离子体密度。在沉积工艺阶段结束时,基座408可以在另一个衬底转移阶段期间降低以允许从基座408移除衬底412。
尽管这里描述的示例性微体积变化涉及高度可调节的基座,但是应当理解,在一些实施方案中,喷头406的位置可以相对于基座408调节以改变微体积407的体积。此外,应当理解的是,基座408和/或喷头406的竖直位置可以通过本公开内容的范围内的任何合适的机构来改变。在一些实施方案中,基座408可包括用于使衬底412的方位旋转的旋转轴线。应该理解的是,在一些实施方案中,这些示例性调节中的一种或多种可以通过一个或多个适当的计算机控制器以编程方式执行。
回到图4所示的实施方案,喷头406和基座408与RF功率源414和匹配网络416电通信以用于对等离子体供电。在一些实施方案中,等离子体的能量可通过控制处理站的压强、气体的浓度、RF源功率、RF源频率以及等离子体功率脉冲时序中的一个或多个来控制。例如,RF功率源414和匹配网络416可在任何合适的功率下进行操作,以形成具有所期望的自由基物质的组分的等离子体。合适的功率的实施例被包含在上文中。类似地,RF功率源414可以提供任何适当频率的RF功率。在一些实施方案中,RF功率源414可以被配置为控制彼此独立的高频RF功率源和低频RF功率源。示例性的低频RF频率可包括但不限于,介于50kHz和1000kHz之间的频率。示例性的高频RF频率可包括但不限于,介于1.8MHz和2.45GHz之间的频率。应当理解,任何合适的参数可被离散地或连续地调节以提供用于表面反应的等离子体能量。在一个非限制性示例中,相对于被连续供电的等离子体,可对等离子体功率间歇地施以脉冲,以减少对衬底表面的离子轰击。
在一些实施方案中,等离子体可由一个或多个等离子体监控器原位监控。在一种情况中,等离子体功率可通过一个或多个电压、电流传感器(例如,VI探针)进行监控。在另一种情况下,等离子体密度和/或工艺气体浓度可以由一个或多个光发射光谱传感器(OES)来测量。在一些实施方案中,一个或多个等离子体参数可基于来自此类原位等离子体监控器的测量结果通过编程方式进行调节。例如,OES传感器可用于反馈回路中以提供对等离子体功率的编程式控制。应理解的是,在一些实施方案中,可使用其它监控器来监控等离子体和其它工艺特性。此类监控器可包括但不限于,红外(IR)监控器、声学监控器、以及压力传感器。
在一些实施方案中,可以经由输入/输出控制(IOC)测序指令来控制等离子体。在一个示例中,用于设置等离子体处理阶段的等离子体条件的指令可被包含在沉积工艺配方的相应的等离子体激活配方阶段中。在一些情况下,工艺配方阶段可按顺序排列,使得用于沉积工艺阶段的所有指令与该工艺阶段同时执行。在一些实施方案中,用于设置一个或多个等离子体参数的指令可以包括在等离子体处理阶段之前的配方阶段中。例如,第一配方阶段可包括用于设定惰性气体和/或反应物气体的流速的指令,用于将等离子体产生器设定到功率设定点的指令,以及用于第一配方阶段的时间延迟指令。第二后续配方阶段可以包括用于启用等离子体产生器的指令和用于第二配方阶段的时间延迟指令。第三配方阶段可以包括用于禁用等离子体产生器的指令和用于第三配方阶段的时间延迟指令。应当理解,可以在本公开的范围内以任何合适的方式进一步细分和/或迭代这些配方阶段。
在一些沉积工艺中,等离子体激励持续大约几秒或更长的持续时间。在某些实现方案中,可以使用更短的等离子体激励。这些可以在10毫秒到1秒的量级上,典型地,约20到80毫秒,其中50毫秒是一个具体示例。这种非常短的RF等离子体激励需要非常快速地稳定等离子体。为了实现这一点,等离子体发生器可以被配置为使得阻抗匹配被预设为特定电压,同时允许频率浮动。传统上,高频等离子体以约13.56MHz的RF频率产生。在本文公开的各种实施方案中,允许频率浮动到与该标准值不同的值。通过在将阻抗匹配固定到预定电压时允许频率浮动,等离子体可以远远更快地稳定,当使用与某些类型的沉积循环相关的非常短的等离子体激励时,这一结果可能很重要。
在一些实施方案中,基座408可以通过加热器410进行温度控制。此外,在一些实施方案中,沉积处理站400的压力控制可以由蝶形阀418提供。如图4的实施方案所示,蝶形阀418节流由下游真空泵(未示出)提供的真空。然而,在一些实施方案中,还可以通过改变引入处理站400的一种或多种气体的流速来调节处理站400的压力控制。
图5示出了多站式处理工具500的实施方案的示意图,其具有入站装载锁502和出站装载锁504,其一者或者两者可以包含远程等离子体源。在大气压下,机械手506被配置为将晶片从通过晶舟508装载的盒经由大气端口510移动至入站装载锁502内。晶片由机械手506放置在入站装载锁502中的基座512上,关闭大气端口510,且抽空装载锁。当入站装载锁502包含远程等离子体源时,晶片在被引入处理室514之前,可以暴露于装载锁中的远程等离子体处理。此外,晶片另外也可以在入站装载锁502中加热,例如以移除湿气和吸附的气体。接下来,通向处理室514的室传输端口516被打开,且另一个机械手(未示出)将晶片放置到反应器中在所述反应器中所示的第一站的基座上以用于处理。尽管图5所示的实施方案包括装载锁,但是应当理解,在一些实施方案中,可以使晶片直接进入处理站。
绘出的处理室514包含4个处理站,图5所示的实施方案中编号为1至4。每个站具有加热的基座(对于站1示出为518)和气体管线入口。应该理解的是,在一些实施方案中,每个处理站可以具有不同或者多个用途。虽然绘出的处理室514包含4个站,但应理解,根据本公开所述的处理室可以具有任何适当数量的站。例如,在一些实施方案中,处理室可以具有5个或更多个站,而在其它实施方案中,处理室可以具有3个或者更少的站。
图5还绘出了用于在处理室514内传输晶片的晶片搬运系统590的实施方案。在一些实施方案中,晶片搬运系统590可以在各种处理站之间和/或处理站与装载锁之间传输晶片。应该理解的是,可以采用任何适当的晶片搬运系统。非限制性示例包含晶片转盘和搬运晶片的机械手。图5还绘出了用于控制处理工具500的工艺条件和硬件状态的系统控制器550的实施方案。系统控制器550可以包含一个或多个存储器设备556、一个或多个海量存储设备554和一个或多个处理器552。处理器552可以包含CPU或者计算机、模拟和/或数字输入/输出连接、步进马达控制器板等。
在一些实施方案中,系统控制器550控制处理工具500的所有活动。系统控制器550执行存储在海量存储设备554、载入存储器设备556、并在处理器552上执行的系统控制软件558。系统控制软件558可以包含用于控制时序、气体的混合、室和/或站压强、室和/或站温度、吹扫条件和时序、晶片温度、RF功率等级、RF频率、衬底、基座、卡盘和/或基座位置、以及由处理工具500执行的特定处理的其它参数的指令。系统控制软件558可以以任何适当的方式配置。例如,各种处理工具组件子程序或者控制对象可以写入以控制根据所公开的方法执行各种处理工具处理所必需的处理工具组件的操作。系统控制软件558可以以任何适当的计算机可读编程语言来编码。
在一些实施方案中,系统控制软件558可以包含用于控制上述各种参数的输入/输出控制(IOC)测序指令。例如,等离子体增强工艺(例如,等离子体增强原子层沉积(PEALD)工艺)的每个阶段可以包括用于由系统控制器550执行的一个或多个指令。用于设置PEALD工艺阶段的工艺条件的指令可以包括在相应的PEALD配方阶段中。在一些实施方案中,可以顺序地布置PEALD配方阶段,使得PEALD工艺阶段的所有指令与该工艺阶段同时执行。
在一些实施方案中可以采用存储在与系统控制器550关联的海量存储设备554和/或存储器设备556上的其它计算机软件和/或程序。用于该目的的程序或者程序段的示例包含衬底定位程序、工艺气体控制程序、压力控制程序、加热器控制程序、以及等离子体控制程序。
衬底定位程序可以包含用于处理工具组件的程序代码,该处理工具组件用于将衬底装载到基座518,并控制衬底和处理工具500的其它部分之间的间隔。
工艺气体控制程序可以包括用于控制气体组分和流速以及可选地用于在沉积之前使气体流入一个或多个处理站以便稳定处理站中的压力的代码。工艺气体控制程序可包括用于控制任何公开范围内的气体组成和流速的代码。压力控制程序可以包含用于通过调节例如在处理站的排放系统中的节流阀、流入处理站内的气流等等来控制处理站内的压强的代码。压力控制程序可以包括用于将处理站中的压力保持在任何所公开的压力范围内的代码。
加热器控制程序可以包括用于控制流到加热单元的电流的代码,该加热单元用于加热衬底。替代地,加热器控制程序可以控制传热气体(例如氦气)向衬底的传送。加热器控制程序可以包括将衬底的温度保持在任何公开范围内的指令。
等离子体控制程序可以包括用于设置施加到一个或多个处理站中的处理电极的RF功率等级和频率的代码,例如使用本文公开的任何RF功率等级。等离子体控制程序还可以包括用于控制每次等离子体暴露的持续时间的代码。
在一些实施方案中,可以存在与系统控制器550相关联的用户界面。用户界面可以包含显示屏、装置和/或工艺条件的图形软件显示器、以及诸如定点设备、键盘、触摸屏、麦克风等用户输入设备。
在一些实施方案中,由系统控制器550调节的参数会涉及工艺条件。非限制性示例包含工艺气体组成和流率、温度、压强、等离子体条件(例如,RF功率等级、频率和暴露时间)等。这些参数可以以配方的形式提供给用户,配方可以利用所述用户界面输入。
用于监控处理的信号可以由系统控制器550的模拟和/或数字输入连接件从各种处理工具传感器提供。用于控制处理的信号可以通过处理工具500的模拟和/或数字输出连接件输出。可被监控的处理工具传感器的非限制性示例包含质量流量控制器、压力传感器(例如压力计)、热电偶等等。经适当编程的反馈和控制算法可以与来自这些传感器的数据一起使用,以保持工艺条件。
可以使用任何合适的室来实施所公开的实施方案。示例性沉积设备包括但不限于来自
Figure BDA0004005481220000611
产品系列、
Figure BDA0004005481220000612
产品系列、和/或
Figure BDA0004005481220000613
产品系列(其中每一者可从加利福尼亚州弗里蒙特的Lam Research Corp.获得)的装置,或者各种其他可商购获得的处理系统中的任一种。两个或更多个站可以执行相同的功能。类似地,两个或更多个站可以执行不同的功能。可以根据需要设计/配置每个站以执行特定的功能/方法。
图6是根据某些实施方案的适用于执行薄膜沉积工艺的处理系统的框图。系统600包括传送模块603。传送模块603提供清洁、加压的环境,以最小化当正被处理的衬底在各个反应器模块之间移动时被污染的风险。根据某些实施方案,安装在传送模块603上的是两个多站式反应器609和610,每个反应器能够执行原子层沉积(ALD)和/或化学气相沉积(CVD)。反应器609和610可以包括多个站611、613、615和617,其可以根据所公开的实施方案顺序地或非顺序地执行操作。这些站可包括加热的基座或衬底支撑件、一个或多个气体入口或喷头或分散板。
安装在传送模块603上的还可以是一个或多个单站或多站式模块607,其能够执行等离子体或化学(非等离子体)预清洁,或者与所公开的方法有关的任何其他工艺。在一些情况下,模块607可以用于各种处理,以例如制备用于沉积工艺的衬底。模块607还可以被设计/配置为执行各种其他工艺,例如蚀刻或抛光。系统600还包括一个或多个晶片源模块601,其中在处理之前和之后存储晶片。大气传送室619中的大气机械手(未示出)可以首先将晶片从源模块601移动到装载锁621。传送模块603中的晶片传送装置(通常是机械臂单元)将晶片从装载锁621移动到安装在传送模块603上的模块中以及在安装在传送模块603上的模块中移动。
在多种实施方案中,系统控制器629用于控制沉积过程中的工艺条件。控制器629通常将包括一或多个存储器设备和一或多个处理器。处理器可包括中央处理单元(CPU)或计算器、模拟和/或数字输入/输出连接部、步进马达控制器板,等等。
控制器629可控制沉积装置的活动中的全部。系统控制器629执行系统控制软件,系统控制软件包括用于控制定时、气体的混合物、室压、室温、晶片温度、射频(RF)功率等级、晶片卡盘或基座位置以及特殊工艺的其他参数的成组的指令。存储在与控制器629相关联的存储器设备的其他计算机程序可在一些实施方案中被采用。
通常会有与控制器629相关联的用户界面。用户界面可包括显示屏、该装置和/或工艺条件的图形软件显示器以及诸如指点设备、键盘、触摸屏、话筒等用户输入设备。
系统控制逻辑可以用任何合适的方式来配置。一般而言,该逻辑可被设计或配置在硬件和/或软件中。用于控制驱动电路的指令可被硬编码或作为软件被提供。指令可通过“编程”提供。这种编程被理解为包括任何形式的逻辑,包括数字信号处理器、专用集成电路以及具有实现为硬件的具体算法的其他器件中的硬编码逻辑。编程还被理解为包括可在通用处理器上执行的软件或固件指令。系统控制软件可以任何合适的计算机可读编程语言进行编码。
用于控制含钼前体流、沉积前体流、反应物气体流、还原剂流、载气流以及工艺序列中的其他工艺的计算机程序代码可以任何常用计算机可读编程语言:例如,汇编语言、C、C++、Pascal、Fortran或其他编写。编译的目标代码或脚本由处理器执行以完成程序中所识别的任务。同样如所指示的,程序代码可以是硬编码的。
控制器参数与工艺条件有关,诸如,例如工艺气体组分和流率、温度、压强、冷却气压、衬底温度以及室壁温度。这些参数以配方的形式被提供给用户,且可利用用户界面输入。用于监控工艺的信号可通过系统控制器629的模拟和/或数字输入连接被提供。用于控制工艺的信号通过沉积装置600的模拟和数字输出连接被输出。
可以以许多不同方式设计或配置系统软件。例如,根据所公开的实施方案,可以编写各种室部件子程序或控制对象以控制执行沉积工艺(以及在一些情况下的其他工艺)所必需的室部件的操作。用于该目的的程序或程序段的示例包括衬底定位代码、工艺气体控制代码、压力控制代码和加热器控制代码。
在一些实现方案中,控制器629是系统的一部分,该系统可以是上述实施例的一部分。这样的系统可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种部件或子部件。根据处理要求和/或系统类型,控制器629可以被编程以控制本文公开的任何工艺,包括工艺气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、在一些系统中的射频(RF)产生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、晶片转移进出工具和其他转移工具和/或与具体系统连接或通过接口连接的装载锁。
概括地说,控制器可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用端点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式发送到控制器的指令,单独设置(或程序文件)定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定工艺的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。
在一些实现方案中,控制器可以是与系统集成、耦合到系统、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或是晶片厂(fab)主机系统的全部或一部分,其可以允许对晶片处理的远程访问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、检查多个制造操作的趋势或性能标准,改变当前处理的参数、设置处理步骤以跟随当前的处理、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供工艺配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户界面,然后将该参数和/或设置从远程计算机发送到系统。在一些示例中,控制器接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的工艺的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如上所述,控制器可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的工艺和控制)工作的一个或多个分立的控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的工艺。
示例系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理系统。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。
结论
虽然为了清楚理解目的已详细描述前述实施方案,但显而易见的是,可在随附权利要求的范围内进行某些改变及修改。可在没有这些具体细节中的一些或全部的情况下实行本文所公开的实施方案。在其他实例中,不再详细描述众所周知的工艺操作,以免不必要地使所公开的实施方案难以理解。此外,尽管结合具体实施方案来描述所公开的实施方案,但应理解,具体实施方案并非意在限制所公开的实施方案。应当注意,有许多实施本实施方案的工艺、系统和装置的替代方式。因此,本实施方案应被认为是说明性而非限制性的,且实施方案不限于本文所给出的细节。

Claims (44)

1.一种提供含金属层的方法,该方法包括:
在处理室中的衬底保持器上提供衬底;
将所述衬底的顶表面部分暴露于含钼前体和一种或多种任选的沉积前体;以及
在所述处理室内存在或不存在等离子体的情况下,在所述衬底的所述顶表面部分上沉积含金属层。
2.根据权利要求1所述的方法,其中,所述含金属层包括含钼层或钼掺杂层。
3.根据权利要求1所述的方法,其中,所述处理室包括等离子体增强化学气相沉积室。
4.根据权利要求1所述的方法,其中,所述暴露包括依次或同时输送含钼前体和一种或多种任选的沉积前体。
5.根据权利要求1所述的方法,其中,所述暴露还包括将反应物气体、还原剂或载气输送到所述处理室。
6.根据权利要求5所述的方法,其中所述还原剂包括水、醇、H2S、烃、硫醇或其组合。
7.根据权利要求1所述的方法,其还包括,在所述沉积之前:
在所述衬底的所述顶表面部分上提供界面层,从而在所述沉积之后将所述界面层设置在所述衬底和所述含金属层之间。
8.根据权利要求7所述的方法,其中所述界面层包括粘附层、起始层或生长层。
9.根据权利要求7所述的方法,其中所述界面层包含硼(B)、碳(C)、钛(Ti)、钨(W)、钽(Ta)、镍(Ni)、钼(Mo)、它们的氮化物、它们的硅化物、它们的氧氮化物、它们的碳化物或它们的碳氮化物。
10.根据权利要求1所述的方法,其还包括,在所述沉积之前:
预处理所述衬底的所述顶表面部分,从而在所述沉积之后提供设置在所述衬底和所述含金属层之间的预处理表面。
11.根据权利要求1所述的方法,其中所述衬底还包括设置在所述衬底的所述顶表面部分上的材料层,并且其中所述沉积包括在所述材料层的顶表面部分上沉积所述含金属层。
12.根据权利要求11所述的方法,其中所述材料层包括氧化物或氮化物中的至少一种。
13.根据权利要求1所述的方法,其中所述沉积包括应用低频(LF)射频分量或高频(HF)射频分量中的至少一种。
14.一种提供含金属层的方法,该方法包括:
在处理室中提供衬底;以及
通过等离子体增强化学气相沉积(PECVD)工艺在所述衬底的表面部分上沉积含钼层。
15.一种用于处理衬底的方法,该方法包括:
在衬底的顶表面部分上沉积含钼层;
在所述含钼层内形成限定的图案;和
将所述限定的图案转移到布置在所述含钼层下方的材料层。
16.根据权利要求15所述的方法,其中所述沉积包括:
将所述衬底的所述顶表面部分暴露于含钼前体和一种或多种任选的沉积前体,从而形成所述含钼层。
17.根据权利要求16所述的方法,其中所述暴露包括依次输送所述含钼前体和所述一种或多种任选的沉积前体,并且还任选地包括在输送所述含钼前体之后清扫或在输送所述一种或多种任选的沉积前体之后清扫中的至少一者。
18.根据权利要求16所述的方法,其中,所述暴露包括同时输送所述含Mo前体和所述一种或多种任选的沉积前体。
19.根据权利要求16所述的方法,其中,所述暴露还包括输送反应物气体、还原剂或惰性气体。
20.根据权利要求15所述的方法,其中所述沉积包括化学气相沉积、原子层沉积或其等离子体增强形式。
21.根据权利要求15所述的方法,其中所述形成所述限定的图案包括:
在所述含钼层的上表面部分沉积抗蚀剂层以形成具有图案的抗蚀剂掩模;以及
将所述抗蚀剂掩模的所述图案转移到所述含钼层的所述顶表面部分以形成具有一个或多个开口的硬掩模以提供所述限定的图案。
22.根据权利要求21所述的方法,其中所述转移所述限定的图案包括:
穿过所述硬掩模的所述一个或多个开口蚀刻所述材料层。
23.根据权利要求15所述的方法,其还包括,在所述沉积所述含Mo层之前:
在所述衬底的所述顶表面部分上提供界面层,从而将所述界面层设置在所述衬底和所述含钼层之间。
24.根据权利要求23所述的方法,其中所述界面层包括粘附层、起始层或生长层。
25.根据权利要求23所述的方法,其中所述界面层包含硼(B)、碳(C)、钛(Ti)、钨(W)、钽(Ta)、镍(Ni)、钼(Mo)、它们的氮化物、它们的硅化物、它们的氧氮化物、它们的碳化物或它们的碳氮化物。
26.根据权利要求23所述的方法,其还包括,在所述将限定的图案转移到所述材料层之前:
穿过所述含钼层内的所述限定的图案蚀刻所述界面层。
27.根据权利要求15所述的方法,其还包括,在所述沉积所述含Mo层之前:
预处理所述衬底的所述顶表面部分,从而提供设置在所述衬底和所述含钼层之间的预处理表面。
28.根据权利要求27所述的方法,其还包括,在所述将限定的图案转移到所述材料层之前:
穿过所述含钼层内的所述限定的图案蚀刻所述预处理表面。
29.根据权利要求15所述的方法,其中所述转移所述限定的图案包括:
穿过所述含钼层内的所述限定的图案蚀刻所述材料层。
30.一种处理衬底的方法,该方法包括:
提供衬底,在所述衬底的顶表面部分上设置有材料层;
在所述材料层的顶表面部分上沉积含钼层,其中所述沉积包括输送含Mo前体和一种或多种任选的沉积前体;
在所述含钼层上形成图案化掩模;
将所述图案化掩模的图案转移至所述含钼层,从而在所述含钼层内形成限定的图案;以及
将所述限定的图案转移到所述材料层。
31.根据权利要求30所述的方法,其中所述一种或多种任选的沉积前体选自由含碳前体、含硅前体和含硼前体组成的群组。
32.根据权利要求31所述的方法,其中所述含碳前体包括烃、甲烷(CH4)、乙炔(C2H2)、乙烯(C2H4)、丙烯(C3H6)、丙炔(C3H4)、丙二烯(C3H4)、环丙烯(C3H4)、丁烷(C4H10)、环己烷(C6H12)、苯(C6H6)或甲苯(C7H8)中的至少一种。
33.根据权利要求31所述的方法,其中所述含硅前体包括硅烷化合物、有机硅烷化合物、烷基硅烷化合物、烷氧基硅烷化合物、硅烷醇化合物、硅氧烷化合物、氨基硅烷化合物、环氮杂硅烷化合物,卤代硅烷化合物或无机硅烷化合物。
34.根据权利要求31所述的方法,其中所述含硼前体包括有机硼烷化合物、卤化硼化合物、硼酸盐化合物或无机硼化合物。
35.根据权利要求30所述的方法,其中所述含Mo前体包括有机钼化合物、卤化钼化合物、卤氧化钼化合物、无机钼化合物或包含具有式(I)-(V)中的一者的结构的化合物:
MoLn(I),
其中每个L独立地为氢(H)、卤素、氧化物(=O)、亚氨基(=NR1)、羰基(CO)、胺(NR1R2)、有机配体、单齿配体或双齿配体;
n选自2-6;以及
R1和R2各自独立地为脂族、卤代脂族、卤代杂脂族、杂脂族、芳族、脂族-芳族或杂脂族-芳族;
Mo(X)m(L)n(II),
其中每个X独立地是卤素、氧化物(=O)、亚氨基(=NR1)或羰基(CO);
每个L是有机配体;
R1是脂族、卤代脂族、卤代杂脂族、杂脂族、芳族、脂族-芳族或杂脂族-芳族;
m选自0-6;以及
n选自0-6,其中m和n中的至少一者不为零;
Mo2Ln(III),
其中每个L是双齿配体;以及
n选自2-5;
Mo(L1)m(L2)n(X)p(IV),
其中每个L1独立地是双齿配体;
每个L2独立地是中性配体;
每个X独立地是阴离子配体;
m选自1-3;
n选自0-4;以及
p选自0-4;或者
Mo(X)m(L)n(V),
其中每个X独立地是卤素;
每个L是有机配体;
m选自1-6;以及
n选自1-4;
或其盐。
36.根据权利要求30所述的方法,其中所述含钼层包含MoxCy、MoxSiy、MoxBy、MoxCyOz、MoxSiyBz、MoxCyNz、MoxSiyCz、MoxByCz、MoxNy、或MoxOyNz
37.根据权利要求36所述的方法,其中所述含钼层是硬掩模、蚀刻停止层、保形层或台阶覆盖层。
38.根据权利要求30所述的方法,其中所述含钼层具有低氟含量。
39.根据权利要求30所述的方法,其中所述含钼层具有低应力。
40.根据权利要求30所述的方法,其中所述含钼层具有高蚀刻选择性。
41.一种用于处理衬底的装置,该装置包括:
处理室,其包括衬底保持器;
与所述处理室和相关流量控制硬件连接的工艺气体源;
与所述处理室相连的衬底搬运硬件;以及
具有处理器和存储器的控制器,其中所述处理器和所述存储器彼此通信连接,所述处理器至少能操作地与所述流量控制硬件和所述衬底搬运硬件连接,并且所述存储器存储计算机可执行指令以进行根据权利要求1所述的方法中所述的操作。
42.根据权利要求41所述的装置,其中,所述计算机可执行指令包括被配置为执行以下操作的指令:
致使衬底的顶表面部分暴露于所述处理室内的含钼前体和一种或多种沉积前体;以及
致使含金属层或含钼层沉积在所述衬底的所述顶表面部分上。
43.根据权利要求41所述的装置,其还包括:
与所述处理室连接的等离子体源。
44.根据权利要求43所述的装置,其中,所述计算机可执行指令包括被配置为执行以下操作的指令:
致使衬底的顶表面部分暴露于所述处理室内的含钼前体和一种或多种沉积前体;
向所述处理室供应等离子体;以及
致使含金属层或含钼层沉积在所述衬底的所述顶表面部分上。
CN202280005128.XA 2021-05-14 2022-05-11 高选择性掺杂硬掩模膜 Pending CN115702474A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202163201839P 2021-05-14 2021-05-14
US63/201,839 2021-05-14
PCT/US2022/028845 WO2022241042A1 (en) 2021-05-14 2022-05-11 High selectivity doped hardmask films

Publications (1)

Publication Number Publication Date
CN115702474A true CN115702474A (zh) 2023-02-14

Family

ID=84029834

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202280005128.XA Pending CN115702474A (zh) 2021-05-14 2022-05-11 高选择性掺杂硬掩模膜

Country Status (5)

Country Link
JP (1) JP2024517288A (zh)
KR (1) KR20240008230A (zh)
CN (1) CN115702474A (zh)
TW (1) TW202309328A (zh)
WO (1) WO2022241042A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9624577B2 (en) * 2014-07-22 2017-04-18 Applied Materials, Inc. Deposition of metal doped amorphous carbon film
US9520295B2 (en) * 2015-02-03 2016-12-13 Lam Research Corporation Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems
JP2018098287A (ja) * 2016-12-09 2018-06-21 東芝メモリ株式会社 半導体装置の製造方法
US10096475B1 (en) * 2017-11-17 2018-10-09 Lam Research Corporation System and method for depositing a homogenous interface for PECVD metal-doped carbon hardmasks
US10643846B2 (en) * 2018-06-28 2020-05-05 Lam Research Corporation Selective growth of metal-containing hardmask thin films

Also Published As

Publication number Publication date
WO2022241042A1 (en) 2022-11-17
JP2024517288A (ja) 2024-04-19
TW202309328A (zh) 2023-03-01
KR20240008230A (ko) 2024-01-18

Similar Documents

Publication Publication Date Title
JP7419326B2 (ja) フォトレジスト接着および線量低減のための下層
US20240052486A1 (en) Precursors for deposition of molybdenum-containing films
KR20230039625A (ko) 저온 ald 막들을 위한 챔버 언더코팅 준비 방법
US20220298624A1 (en) Substantially carbon-free molybdenum-containing and tungsten-containing films in semiconductor device manufacturing
KR20190050854A (ko) 상호접속 구조체들을 위한 합성 유전체 계면 층들
CN115702474A (zh) 高选择性掺杂硬掩模膜
US20240355624A1 (en) In-situ core protection in multi-patterning
CN117836904A (zh) 多重图案化中的原位芯部保护
WO2023122557A1 (en) Conformal silicon oxide deposition using aminosilane and chlorosilane precursors
WO2023163950A1 (en) Thermal film deposition
WO2023178216A1 (en) Low-k dielectric protection during plasma deposition of silicon nitride
KR20240119325A (ko) 실리콘 나이트라이드의 컨포멀한 (conformal) 증착
WO2023230170A1 (en) Hybrid atomic layer deposition
KR20240124350A (ko) 할로겐-함유 증착 억제제들을 사용하는 리세스된 피처들 내 금속들의 증착
WO2023133075A1 (en) Silicon nitride deposition
TW202403079A (zh) 含金屬膜的沉積及腔室清潔
CN118805241A (zh) 热膜沉积
WO2024081263A1 (en) Deposition of metal-containing films
TW202430534A (zh) 含金屬膜的沉積
WO2023172736A1 (en) Methods of selective deposition and chemical delivery systems
CN118355473A (zh) 保形碳掺杂的硅氮化物膜及其方法
KR20240114775A (ko) 실리콘-함유 반응 물질들에 의해 보조된 저온 몰리브덴 증착
CN118830053A (zh) 硅氮化物沉积

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination