TW201921499A - 半導體製造中的金屬摻雜碳基硬遮罩移除 - Google Patents
半導體製造中的金屬摻雜碳基硬遮罩移除Info
- Publication number
- TW201921499A TW201921499A TW107119567A TW107119567A TW201921499A TW 201921499 A TW201921499 A TW 201921499A TW 107119567 A TW107119567 A TW 107119567A TW 107119567 A TW107119567 A TW 107119567A TW 201921499 A TW201921499 A TW 201921499A
- Authority
- TW
- Taiwan
- Prior art keywords
- metal
- doped
- carbonaceous material
- substrate
- processing
- Prior art date
Links
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 title claims abstract description 79
- 229910052799 carbon Inorganic materials 0.000 title claims abstract description 79
- 229910052751 metal Inorganic materials 0.000 title claims abstract description 46
- 239000002184 metal Substances 0.000 title claims abstract description 46
- 239000004065 semiconductor Substances 0.000 title claims description 37
- 238000004519 manufacturing process Methods 0.000 title description 24
- 238000005530 etching Methods 0.000 claims abstract description 135
- 239000000758 substrate Substances 0.000 claims abstract description 114
- 239000000463 material Substances 0.000 claims abstract description 67
- 238000000034 method Methods 0.000 claims abstract description 66
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims abstract description 34
- 229910052710 silicon Inorganic materials 0.000 claims abstract description 34
- 239000010703 silicon Substances 0.000 claims abstract description 34
- 239000000203 mixture Substances 0.000 claims abstract description 31
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims abstract description 20
- 229910052581 Si3N4 Inorganic materials 0.000 claims abstract description 17
- 229910052814 silicon oxide Inorganic materials 0.000 claims abstract description 17
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims abstract description 14
- 239000007789 gas Substances 0.000 claims description 174
- 239000003575 carbonaceous material Substances 0.000 claims description 115
- 238000012545 processing Methods 0.000 claims description 101
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 38
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 29
- 239000001301 oxygen Substances 0.000 claims description 29
- 229910052760 oxygen Inorganic materials 0.000 claims description 29
- 229910052721 tungsten Inorganic materials 0.000 claims description 20
- 239000010937 tungsten Substances 0.000 claims description 20
- 229910052757 nitrogen Inorganic materials 0.000 claims description 19
- 239000002019 doping agent Substances 0.000 claims description 17
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 claims description 17
- 239000006227 byproduct Substances 0.000 claims description 16
- 239000001257 hydrogen Substances 0.000 claims description 12
- 229910052739 hydrogen Inorganic materials 0.000 claims description 12
- 238000007254 oxidation reaction Methods 0.000 claims description 12
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 claims description 11
- 239000000460 chlorine Substances 0.000 claims description 11
- 229910052801 chlorine Inorganic materials 0.000 claims description 11
- 230000003647 oxidation Effects 0.000 claims description 11
- -1 iodine, hydrogen Chemical class 0.000 claims description 10
- 238000010438 heat treatment Methods 0.000 claims description 8
- 150000004767 nitrides Chemical class 0.000 claims description 8
- 239000003989 dielectric material Substances 0.000 claims description 7
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 claims description 6
- 229910052715 tantalum Inorganic materials 0.000 claims description 6
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 claims description 6
- YOUIDGQAIILFBW-UHFFFAOYSA-J tetrachlorotungsten Chemical compound Cl[W](Cl)(Cl)Cl YOUIDGQAIILFBW-UHFFFAOYSA-J 0.000 claims description 6
- 239000010936 titanium Substances 0.000 claims description 6
- 229910052719 titanium Inorganic materials 0.000 claims description 6
- 238000011144 upstream manufacturing Methods 0.000 claims description 6
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical compound C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 claims description 5
- 229910018503 SF6 Inorganic materials 0.000 claims description 4
- 229910021332 silicide Inorganic materials 0.000 claims description 4
- SFZCNBIFKDRMGX-UHFFFAOYSA-N sulfur hexafluoride Chemical compound FS(F)(F)(F)(F)F SFZCNBIFKDRMGX-UHFFFAOYSA-N 0.000 claims description 4
- 229960000909 sulfur hexafluoride Drugs 0.000 claims description 4
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 claims description 4
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 claims description 3
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 claims description 3
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 claims description 3
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 claims description 3
- 229910052794 bromium Inorganic materials 0.000 claims description 3
- 239000010941 cobalt Substances 0.000 claims description 3
- 229910017052 cobalt Inorganic materials 0.000 claims description 3
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 claims description 3
- 229910052802 copper Inorganic materials 0.000 claims description 3
- 239000010949 copper Substances 0.000 claims description 3
- 229910052731 fluorine Inorganic materials 0.000 claims description 3
- 239000011737 fluorine Substances 0.000 claims description 3
- 229910052732 germanium Inorganic materials 0.000 claims description 3
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 claims description 3
- 239000000654 additive Substances 0.000 abstract description 19
- 230000000996 additive effect Effects 0.000 abstract description 19
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 abstract description 3
- 210000002381 plasma Anatomy 0.000 description 68
- 235000012431 wafers Nutrition 0.000 description 49
- 230000008569 process Effects 0.000 description 17
- 238000012546 transfer Methods 0.000 description 17
- 239000010410 layer Substances 0.000 description 16
- 239000002243 precursor Substances 0.000 description 15
- GQPLMRYTRLFLPF-UHFFFAOYSA-N Nitrous Oxide Chemical compound [O-][N+]#N GQPLMRYTRLFLPF-UHFFFAOYSA-N 0.000 description 14
- 238000009616 inductively coupled plasma Methods 0.000 description 14
- 238000002474 experimental method Methods 0.000 description 11
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 10
- 238000006243 chemical reaction Methods 0.000 description 10
- 239000000126 substance Substances 0.000 description 10
- 238000000151 deposition Methods 0.000 description 9
- 239000011261 inert gas Substances 0.000 description 9
- 230000008021 deposition Effects 0.000 description 8
- 230000001590 oxidative effect Effects 0.000 description 8
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 7
- 230000007547 defect Effects 0.000 description 7
- 239000001272 nitrous oxide Substances 0.000 description 7
- 239000007800 oxidant agent Substances 0.000 description 7
- UHOVQNZJYSORNB-UHFFFAOYSA-N Benzene Chemical compound C1=CC=CC=C1 UHOVQNZJYSORNB-UHFFFAOYSA-N 0.000 description 6
- YXFVVABEGXRONW-UHFFFAOYSA-N Toluene Chemical compound CC1=CC=CC=C1 YXFVVABEGXRONW-UHFFFAOYSA-N 0.000 description 6
- 150000002500 ions Chemical class 0.000 description 6
- UONOETXJSWQNOL-UHFFFAOYSA-N tungsten carbide Chemical compound [W+]#[C-] UONOETXJSWQNOL-UHFFFAOYSA-N 0.000 description 6
- 239000004215 Carbon black (E152) Substances 0.000 description 5
- KZBUYRJDOAKODT-UHFFFAOYSA-N Chlorine Chemical compound ClCl KZBUYRJDOAKODT-UHFFFAOYSA-N 0.000 description 5
- 229910052786 argon Inorganic materials 0.000 description 5
- 239000001307 helium Substances 0.000 description 5
- 229910052734 helium Inorganic materials 0.000 description 5
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 5
- 229930195733 hydrocarbon Natural products 0.000 description 5
- 150000002430 hydrocarbons Chemical class 0.000 description 5
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 description 4
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical group CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 4
- 125000004429 atom Chemical group 0.000 description 4
- 125000004432 carbon atom Chemical group C* 0.000 description 4
- 238000010586 diagram Methods 0.000 description 4
- 238000005516 engineering process Methods 0.000 description 4
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 4
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 description 4
- MNWRORMXBIWXCI-UHFFFAOYSA-N tetrakis(dimethylamido)titanium Chemical compound CN(C)[Ti](N(C)C)(N(C)C)N(C)C MNWRORMXBIWXCI-UHFFFAOYSA-N 0.000 description 4
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 4
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 3
- 229910021417 amorphous silicon Inorganic materials 0.000 description 3
- 238000000231 atomic layer deposition Methods 0.000 description 3
- 238000009835 boiling Methods 0.000 description 3
- 239000003990 capacitor Substances 0.000 description 3
- 238000005229 chemical vapour deposition Methods 0.000 description 3
- BWKCCRPHMILRGD-UHFFFAOYSA-N chloro hypochlorite;tungsten Chemical compound [W].ClOCl BWKCCRPHMILRGD-UHFFFAOYSA-N 0.000 description 3
- 238000004132 cross linking Methods 0.000 description 3
- 239000012636 effector Substances 0.000 description 3
- 229910052736 halogen Inorganic materials 0.000 description 3
- 150000002367 halogens Chemical class 0.000 description 3
- 229910001507 metal halide Inorganic materials 0.000 description 3
- 150000005309 metal halides Chemical class 0.000 description 3
- 230000000737 periodic effect Effects 0.000 description 3
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 3
- 239000000376 reactant Substances 0.000 description 3
- WNUPENMBHHEARK-UHFFFAOYSA-N silicon tungsten Chemical compound [Si].[W] WNUPENMBHHEARK-UHFFFAOYSA-N 0.000 description 3
- 239000002210 silicon-based material Substances 0.000 description 3
- 229910052723 transition metal Inorganic materials 0.000 description 3
- 150000003624 transition metals Chemical class 0.000 description 3
- 238000001039 wet etching Methods 0.000 description 3
- XDTMQSROBMDMFD-UHFFFAOYSA-N Cyclohexane Chemical compound C1CCCCC1 XDTMQSROBMDMFD-UHFFFAOYSA-N 0.000 description 2
- HSFWRNGVRCDJHI-UHFFFAOYSA-N alpha-acetylene Natural products C#C HSFWRNGVRCDJHI-UHFFFAOYSA-N 0.000 description 2
- 229910003481 amorphous carbon Inorganic materials 0.000 description 2
- PPJPTAQKIFHZQU-UHFFFAOYSA-N bis(tert-butylimino)tungsten;dimethylazanide Chemical compound C[N-]C.C[N-]C.CC(C)(C)N=[W]=NC(C)(C)C PPJPTAQKIFHZQU-UHFFFAOYSA-N 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- BIRTWROHEBFSNP-UHFFFAOYSA-N dimethylazanide;tungsten(2+) Chemical compound [W+2].C[N-]C.C[N-]C BIRTWROHEBFSNP-UHFFFAOYSA-N 0.000 description 2
- 238000001312 dry etching Methods 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 230000005672 electromagnetic field Effects 0.000 description 2
- 125000002534 ethynyl group Chemical group [H]C#C* 0.000 description 2
- 239000012530 fluid Substances 0.000 description 2
- 150000002431 hydrogen Chemical class 0.000 description 2
- 238000005468 ion implantation Methods 0.000 description 2
- 239000007788 liquid Substances 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- 238000000059 patterning Methods 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 238000011160 research Methods 0.000 description 2
- 235000012239 silicon dioxide Nutrition 0.000 description 2
- OEIMLTQPLAGXMX-UHFFFAOYSA-I tantalum(v) chloride Chemical compound Cl[Ta](Cl)(Cl)(Cl)Cl OEIMLTQPLAGXMX-UHFFFAOYSA-I 0.000 description 2
- XJDNKRIXUMDJCW-UHFFFAOYSA-J titanium tetrachloride Chemical compound Cl[Ti](Cl)(Cl)Cl XJDNKRIXUMDJCW-UHFFFAOYSA-J 0.000 description 2
- NXHILIPIEUBEPD-UHFFFAOYSA-H tungsten hexafluoride Chemical compound F[W](F)(F)(F)(F)F NXHILIPIEUBEPD-UHFFFAOYSA-H 0.000 description 2
- 239000012790 adhesive layer Substances 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 239000012159 carrier gas Substances 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 239000003638 chemical reducing agent Substances 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 230000018109 developmental process Effects 0.000 description 1
- 230000007613 environmental effect Effects 0.000 description 1
- 238000005111 flow chemistry technique Methods 0.000 description 1
- 238000009472 formulation Methods 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- 150000002362 hafnium Chemical class 0.000 description 1
- 229910052735 hafnium Inorganic materials 0.000 description 1
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 1
- PDPJQWYGJJBYLF-UHFFFAOYSA-J hafnium tetrachloride Chemical compound Cl[Hf](Cl)(Cl)Cl PDPJQWYGJJBYLF-UHFFFAOYSA-J 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 230000006698 induction Effects 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 239000007769 metal material Substances 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 229910052752 metalloid Inorganic materials 0.000 description 1
- 150000002738 metalloids Chemical class 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 238000003672 processing method Methods 0.000 description 1
- 239000010453 quartz Substances 0.000 description 1
- 229910052704 radon Inorganic materials 0.000 description 1
- SYUHGPGVQRZVTB-UHFFFAOYSA-N radon atom Chemical compound [Rn] SYUHGPGVQRZVTB-UHFFFAOYSA-N 0.000 description 1
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 238000012360 testing method Methods 0.000 description 1
- 229910052716 thallium Inorganic materials 0.000 description 1
- BKVIYDNLLOSFOA-UHFFFAOYSA-N thallium Chemical compound [Tl] BKVIYDNLLOSFOA-UHFFFAOYSA-N 0.000 description 1
- WQJQOUPTWCFRMM-UHFFFAOYSA-N tungsten disilicide Chemical compound [Si]#[W]#[Si] WQJQOUPTWCFRMM-UHFFFAOYSA-N 0.000 description 1
- KPGXUAIFQMJJFB-UHFFFAOYSA-H tungsten hexachloride Chemical compound Cl[W](Cl)(Cl)(Cl)(Cl)Cl KPGXUAIFQMJJFB-UHFFFAOYSA-H 0.000 description 1
- 229910021342 tungsten silicide Inorganic materials 0.000 description 1
- FZFRVZDLZISPFJ-UHFFFAOYSA-N tungsten(6+) Chemical compound [W+6] FZFRVZDLZISPFJ-UHFFFAOYSA-N 0.000 description 1
- WIDQNNDDTXUPAN-UHFFFAOYSA-I tungsten(v) chloride Chemical compound Cl[W](Cl)(Cl)(Cl)Cl WIDQNNDDTXUPAN-UHFFFAOYSA-I 0.000 description 1
- 238000009834 vaporization Methods 0.000 description 1
- 230000008016 vaporization Effects 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0337—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02002—Preparing wafers
- H01L21/02005—Preparing bulk and homogeneous wafers
- H01L21/02008—Multistep processes
- H01L21/0201—Specific process step
- H01L21/02019—Chemical etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02115—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
- H01L21/0274—Photolithographic processes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0332—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
- H01L21/31122—Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/324—Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67161—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
- H01L21/67167—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67207—Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67242—Apparatus for monitoring, sorting or marking
- H01L21/67259—Position monitoring, e.g. misposition detection or presence detection
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67739—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
- H01L21/67748—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
-
- C—CHEMISTRY; METALLURGY
- C01—INORGANIC CHEMISTRY
- C01B—NON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
- C01B21/00—Nitrogen; Compounds thereof
- C01B21/082—Compounds containing nitrogen and non-metals and optionally metals
- C01B21/083—Compounds containing nitrogen and non-metals and optionally metals containing one or more halogen atoms
- C01B21/0832—Binary compounds of nitrogen with halogens
- C01B21/0835—Nitrogen trifluoride
-
- C—CHEMISTRY; METALLURGY
- C01—INORGANIC CHEMISTRY
- C01B—NON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
- C01B7/00—Halogens; Halogen acids
- C01B7/01—Chlorine; Hydrogen chloride
- C01B7/07—Purification ; Separation
- C01B7/0743—Purification ; Separation of gaseous or dissolved chlorine
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/334—Etching
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Inorganic Chemistry (AREA)
- Plasma & Fusion (AREA)
- General Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- Drying Of Semiconductors (AREA)
- Semiconductor Memories (AREA)
Abstract
本文提供蝕刻金屬摻雜含碳材料方法及設備。蝕刻方法包含使用適用於蝕刻金屬摻雜含碳材料之碳成分的蝕刻氣體及適用於蝕刻金屬摻雜含碳材料之金屬成分的添加氣體之混合物、以及點燃電漿以相對於諸如矽氧化物、矽氮化物、及矽之下層而在高溫下選擇性地移除金屬摻雜含碳材料。適用於蝕刻金屬摻雜含碳材料之設備配備有高溫可移動底座、電漿源、及介於電漿產生區域與基板之間的噴淋頭。
Description
本發明係關於半導體製造中的金屬摻雜碳基硬遮罩移除之方法。
用於製造記憶體與邏輯的半導體製造程序經常涉及硬遮罩之使用,以在基板上蝕刻特徵部。例如,在一些情況下,利用經圖案化之硬遮罩以在基板上形成接觸孔。在基板上蝕刻孔洞之後,相對於圖案化硬遮罩底下的材料而選擇性地移除圖案化硬遮罩。然而,隨著裝置縮小、且記憶體與邏輯技術進步,使用現存硬遮罩以蝕刻高深寬比特徵部係具挑戰性的,且硬遮罩之新技術包含新的移除方法。
本文提供用以處理半導體基板的方法及設備。一實施態樣涉及一種處理具有金屬摻雜含碳材料之半導體基板的方法,該方法包含:將具有該金屬摻雜含碳材料之該基板提供至一處理腔室;將該基板加熱至介於約200°C至約500°C之間的溫度;以及將該金屬摻雜含碳材料暴露於電漿以蝕刻該金屬摻雜含碳材料,該電漿係由包含第一蝕刻氣體與第二蝕刻氣體之氣體混合物所產生,其中該第一蝕刻氣體與該金屬摻雜含碳材料的碳形成第一揮發性副產物,以及其中該第二蝕刻氣體與該金屬摻雜含碳材料的金屬形成第二揮發性副產物。
在一些實施例中,第一蝕刻氣體為氧化劑。第一蝕刻氣體可包含一或更多氣體。例如,在一些實施例中,第一蝕刻氣體包含僅氧氣。在另一實施例中,第一蝕刻氣體包含僅氮氣。在另一實施例中,第一蝕刻氣體包含僅氫氣。在另一實施例中,第一蝕刻氣體包含僅氧化亞氮氣體。在另一實施例中,第一蝕刻氣體包含氧氣與氮氣之組合。在另一實施例中,第一蝕刻氣體包含氧化亞氮氣體與氧氣之組合。在另一實施例中,第一蝕刻氣體包含氧氣與水蒸氣之組合。在另一實施例中,第一蝕刻氣體包含氧化亞氮氣體、氧氣、與水蒸氣之組合。在另一實施例中,第一蝕刻氣體包含氮氣與氫氣之組合。在一些實施例中,第一蝕刻氣體中不使用氧化劑。在一些實施例中,使用非氧化劑氣體作為第一蝕刻氣體。例如,第一蝕刻氣體可僅包含氫氣。在一些實施例中,使惰性氣體與第一蝕刻氣體一同流動。範例惰性氣體包含氬與氦。
在許多實施例中,第二蝕刻氣體為氮、三氟化氮、氯、氟、溴、碘、氫、六氟化硫、及其組合之任一或多者。在許多實施例中,第二蝕刻氣體為添加氣體。在許多實施例中,第二蝕刻氣體包含一或更多含鹵素氣體。在一些實施例中,使惰性氣體與第二蝕刻氣體一同流動。範例惰性氣體包含氬與氦。
在許多實施例中,將該基板加熱至介於約200°C至約400°C之間的溫度,例如約250°C。
在許多實施例中,金屬摻雜含碳材料係在介於約30 nm/min至約1000 nm/min之蝕刻速率下蝕刻。
在許多實施例中,在噴淋頭之上游點燃該電漿,以傳送該氣體混合物。在許多實施例中,利用感應耦合電漿在噴淋頭上游產生電漿。
在許多實施例中,從氣體混合物所產生以蝕刻金屬摻雜含碳材料的電漿係透過以每站介於約500W至約10000W之間的功率點燃電漿而產生。在一些實施例中,功率約為每站7000W。
在一些實施例中,在未將偏壓施加至基板置於其上的底座之情況下蝕刻金屬摻雜含碳材料。在許多實施例中,施加於偏壓的功率係介於0W至約3000W之間、或介於約10W至約3000W之間。
在一些實施例中,在介於約100 mTorr至約4000 mTorr之間的腔室壓力下執行該方法。在一些實施例中,腔室壓力係介於約200 mTorr至約4000 mTorr之間。在一些實施例中,腔室壓力係介於約1000 mTorr至約2000 mTorr之間。在一些實施例中,腔室壓力約為1500 mTorr。
在許多實施例中,基板係圖案化以具有至少約5:1、或至少約20:1(例如介於50:1至60:1之間或介於約5:1至約150:1之間)之深寬比的負型特徵部。
該方法可更包含在將該第一蝕刻氣體或該第二蝕刻氣體之任一者導入之前,將該基板暴露於預氧化氣體、並點燃電漿以保護該基板上的暴露矽表面。預氧化氣體可為氧氣及氮氣的混合物。在一些實施例中,在介於約100 mTorr至約4000 mTorr之間的腔室壓力下執行預氧化。在一些實施例中,在介於約200 mTorr至約4000 mTorr之間的腔室壓力下執行預氧化。在一些實施例中,在介於約1000 mTorr至約2000 mTorr之間的腔室壓力下執行預氧化。在一些實施例中,在約1500 mTorr的腔室壓力下執行預氧化。在一些實施例中,氧氣之流率可為介於約0.1 slm至約1 slm之間。在一些實施例中,氮氣之範例流率可為介於約0.1 slm至約1 slm之間。在一些實施例中,感應耦合電漿之電漿功率可為介於約500W至約6000W之間。
在許多實施例中,金屬摻雜含碳材料之金屬可為鎢、鈦、鉭、其氮化物、其矽化物、及其組合之任一或多者。在一些實施例中,金屬摻雜含碳材料之金屬為元素週期表之第六週期中之過渡金屬。在一些實施例中,金屬摻雜含碳材料摻雜有具有WSix
Ny
之化學式的鎢矽氮化物,其中1>
x > 0且1>
y > 0。
在許多實施例中,金屬摻雜含碳材料中之金屬百分比係介於約5%至約95%之間、或介於約10%至約70%之間。在許多實施例中,金屬摻雜含碳材料包含金屬摻雜物,且金屬摻雜含碳材料中之金屬摻雜物之組成為介於約33%至約66%之間的原子百分比。
在許多實施例中,金屬摻雜含碳材料包含交聯的金屬原子與碳原子。在一些實施例中,金屬摻雜含碳材料為包含碳化鎢與額外碳和氫原子之間、或碳化鎢與額外碳原子之間、或碳化鎢與額外氫原子之間的交聯之鎢摻雜含碳材料。
在一些實施例中,金屬摻雜含碳硬遮罩係透過以下方式藉由電漿輔助化學氣相沈積而形成:透過將烴前驅物氣體導入處理腔室、將金屬基前驅物氣體導入處理腔室、點燃電漿以在基板上沈積金屬摻雜含碳硬遮罩,其中烴前驅物氣體具有Cx
Hy
之化學式,其中x為由2至10之整數、且y為由2至24之整數。例如,烴前驅物氣體可為甲烷、乙炔、乙烯、丙烯、丁烷、環己烷、苯、及甲苯之任一或多者。在許多實施例中,用於沉積期間的金屬基前驅物包含金屬鹵化物前驅物氣體,例如氟化鎢(WFa
)、氯化鈦(TiClb
)、氯化鎢(WClc
)、氯化鉿(HfCld
)、及氯化鉭(TaCle
),其中a、b、c、d、及e為大於或等於1的整數。範例包含四(二甲胺基)鈦(TDMAT)及雙(三級丁亞胺基)雙(二甲胺基)鎢(BTBMW)。
在一些實施例中,金屬摻雜含碳材料為經圖案化之硬遮罩,用以在該基板上形成特徵部,且該金屬摻雜含碳材料相對於下列各者的蝕刻選擇性為至少約1000: 1:矽氧化物、矽氮化物、經摻雜之矽氧化物、經摻雜之矽氮化物、鎢、及其組合。
在一些實施例中,金屬摻雜含碳材料為經圖案化之硬遮罩,用以在該基板上形成特徵部,且該金屬摻雜含碳材料相對於下列各者的蝕刻選擇性為至少約1000: 1:矽氧化物、矽氮化物、矽、其摻雜衍生物、及其組合。
在一些實施例中,金屬摻雜含碳材料為經圖案化之硬遮罩,用以在該基板上形成特徵部,且該金屬摻雜含碳材料相對於下列各者的蝕刻選擇性為介於約10: 1至約30: 1之間:矽氧化物、矽氮化物、矽、鍺、其摻雜衍生物、及其組合。
在一些實施例中,金屬摻雜含碳材料為經圖案化之硬遮罩,用以在該基板上形成特徵部,且該金屬摻雜含碳材料相對於下列各者的蝕刻選擇性為介於約10: 1至約30: 1之間:矽氧化物、鈷、氮化鉭、銅、及低k(介電常數)介電質、及其組合。
另一實施態樣涉及一種蝕刻基板上之鎢摻雜碳硬遮罩的方法,該方法包含:將具有該鎢摻雜碳硬遮罩之該基板提供至一處理腔室;將該基板加熱至介於約200°C至約500°C之間的溫度;以及將該鎢摻雜碳硬遮罩暴露於由氧及氯所產生的電漿,以藉由形成氯化鎢或氧氯化鎢而蝕刻該鎢摻雜碳硬遮罩。
在一些實施例中,使用每站介於約500W至約10000W之間、或介於約3000W至約10000W之間的功率點燃該電漿。在一些實施例中,功率約為每站7000W。在一些實施例中,利用感應耦合電漿在噴淋頭上游產生電漿。
在許多實施例中,將該鎢摻雜碳硬遮罩暴露於該電漿時,流入該處理腔室的氣體之總流量的成分包含至少約10%之氯氣。
在一些實施例中,在介於約100 mTorr至約4000 mTorr之間的腔室壓力下執行該方法。在一些實施例中,腔室壓力係介於約200 mTorr至約4000 mTorr之間。在一些實施例中,腔室壓力係介於約1000 mTorr至約2000 mTorr之間。在一些實施例中,腔室壓力約為1500 mTorr。
另一實施態樣涉及一種蝕刻基板上之金屬摻雜硬遮罩的設備,該設備包含:一反應腔室,其包含用以固持並加熱基板的底座;一電漿源,其耦接至用以產生電漿的反應腔室;一或更多第一氣體入口,其係耦接至反應腔室以將第一蝕刻氣體輸送至反應腔室;一或更多第二氣體入口,其係耦接至反應腔室以將第二蝕刻氣體輸送至反應腔室;以及一控制器,其包含用以執行以下操作的指令:將底座的溫度設定為介於約200°C至約500°C之間的溫度;將包含第一蝕刻氣體及第二蝕刻氣體的氣體混合物導入、並點燃電漿以蝕刻金屬摻雜含碳材料,因此該第一蝕刻氣體與該金屬摻雜含碳材料的碳形成第一揮發性副產物,以及該第二蝕刻氣體與該金屬摻雜含碳材料的金屬形成第二揮發性副產物。在許多實施例中,將反應腔室之壓力設定為介於約100 mTorr至約4000 mTorr之間的壓力。在一些實施例中,腔室壓力係介於約200 mTorr至約4000 mTorr之間。在一些實施例中,腔室壓力係介於約1000 mTorr至約2000 mTorr之間。在一些實施例中,腔室壓力約為1500 mTorr。
另一實施態樣涉及一種處理具有金屬摻雜含矽材料之半導體基板的方法,該方法包含:將具有該金屬摻雜含矽材料之基板提供至一處理腔室;將該基板加熱至介於約200°C至約500°C之間的溫度;以及將該金屬摻雜含矽材料暴露於電漿以蝕刻該金屬摻雜含矽材料,該電漿係由包含第一蝕刻氣體與第二蝕刻氣體之氣體混合物所產生,因此該第一蝕刻氣體與該金屬摻雜含矽材料的矽形成第一揮發性副產物,以及該第二蝕刻氣體與該金屬摻雜含矽材料的金屬形成第二揮發性副產物。
另一實施態樣涉及一種蝕刻基板上之鎢摻雜矽硬遮罩的方法,該方法包含:將具有該鎢摻雜矽硬遮罩之該基板提供至一處理腔室;將該基板加熱至介於約200°C至約500°C之間的溫度;以及將該鎢摻雜矽硬遮罩暴露於由氧及氯所產生的電漿,以藉由形成氯化鎢或氧氯化鎢而蝕刻該鎢摻雜矽硬遮罩。
該等與其他實施態樣在以下參照圖式而進一步說明。
在以下描述中,說明許多特定細節以提供對所提出之實施例的透徹理解。在毋須若干或全部此等特定細節之情況下即可實行所揭示之實施例。在其他範例中,為了不使本發明晦澀難懂,習知的處理操作不會有詳細描述。雖然所揭示之實施例將與特定實施例一同描述,但應理解並非試圖限制所揭示之實施例。
半導體製造程序涉及記憶體與邏輯裝置的製造。範例包含3D NAND及DRAM(動態隨機存取記憶體)之應用,以及用於中段製程(MEOL)及後段製程(BEOL)處理的邏輯應用。記憶體與邏輯裝置的製造經常涉及在基板上蝕刻特徵部(例如接觸孔),該基板可包含一種材料或材料的複數層。諸如介層孔或接觸孔之「特徵部」可具有一或更多狹窄及/或凹入的開口部、特徵部內的狹窄部、及高深寬比之特徵。本文所述之用語「特徵部」指涉諸如孔洞或介層孔的負型特徵部。在許多情況下,蝕刻特徵部涉及在欲蝕刻之材料上沈積及圖案化一硬遮罩、並利用該硬遮罩作為圖案以蝕刻該材料。經圖案化之硬遮罩最終可從基板移除。
3D NAND、DRAM、及邏輯製造程序涉及使用硬遮罩以在基板上蝕刻接觸孔及其他負型特徵部,基板可包含一材料或可為諸如堆疊體的多層材料。例如,3D NAND之製造中,可使用硬遮罩而使具有約100 nm之臨界尺寸的通道孔蝕刻穿過4微米厚度之ONON (氧化物-氮化物-氧化物-氮化物)基板,該硬遮罩可為介於約1.5至約2微米厚度之間。在DRAM應用中,可使用非晶矽或多晶矽硬遮罩以蝕刻用於電容器的高深寬比特徵部。例如,在DRAM應用中,用於蝕刻電容器的遮罩習知上為1微米至約1.5微米厚度,以依據節點而形成具有介於約20 nm至約30 nm之間的臨界尺寸、及介於約50:1至約60:1之間的深寬比的電容器。在邏輯應用中,氮化鈦硬遮罩可用於MEOL及BEOL應用。可蝕刻特徵部以形成介於第一材料與第二材料之間的接點。在該等應用中,更為強健的硬遮罩係用以在蝕刻高深寬比特徵部時減少硬遮罩之損害及蝕刻,而同時在整個經蝕刻特徵部中維持一致的特徵部直徑。亦期望使用由以下材料所製成之硬遮罩:可在不造成基板其餘部分之缺陷、再沉積、或損害之情況下被移除的材料。
隨著裝置縮小,該等特徵部之深寬比增加,其使高深寬比負型特徵部之蝕刻對於以下情況非常具挑戰性:維持在孔洞之頂部的孔洞直徑與在特徵部之底部的孔洞直徑一致時。本文所述之高深寬比指涉大於20:1的深寬比,例如介於50:1至60:1之間。範例高深寬比特徵部包含平面NAND的 10:1孔洞或3D NAND 結構的40:1孔洞。高深寬比在DRAM製造中亦係普遍的。製造記憶體及邏輯裝置的另一具挑戰性的方面為:在使用硬遮罩以蝕刻高深寬比特徵部時減少硬遮罩之損失。
範例硬遮罩包含非晶矽、多晶矽、非晶碳、及氮化鈦硬遮罩。最近的發展涉及使用金屬摻雜含碳硬遮罩(亦稱為金屬類鑽碳(MDLC))或金屬摻雜含矽硬遮罩。金屬摻雜含碳硬遮罩可稱為金屬摻雜非晶碳硬遮罩。金屬摻雜含矽硬遮罩可稱為金屬摻雜非晶矽硬遮罩。形成金屬摻雜含碳硬遮罩或金屬摻雜含矽硬遮罩之範例係在美國專利第9,520,295號中說明,此處為全部目的以參照方式將其引入。金屬摻雜含碳硬遮罩包含金屬原子及碳原子,其為交聯的。在一些範例中,具有鎢的金屬摻雜含碳硬遮罩包含碳化鎢與額外碳和氫原子之間、或碳化鎢與額外碳原子之間、或碳化鎢與額外氫原子之間的交聯。在金屬摻雜含碳硬遮罩中所觀察到的交聯之不同類型係取決於用以沈積硬遮罩的處理條件,例如沈積之前驅化學物、溫度、腔室壓力、及電漿條件。
有些金屬摻雜含碳硬遮罩可透過以下方式藉由電漿輔助化學氣相沈積(PECVD)而形成:透過將烴前驅物氣體導入處理腔室、將金屬基前驅物氣體導入處理腔室、點燃電漿以在基板上沈積金屬摻雜含碳硬遮罩。烴前驅物可具有化學式Cx
Hy
,其中x為由2至10之整數、且y為由2至24之整數。範例包含甲烷、乙炔、乙烯、丙烯、丁烷、環己烷、苯、及甲苯。金屬基前驅物氣體可為金屬鹵化物前驅物氣體。金屬鹵化物前驅物氣體包含氟化鎢(WFa
)、氯化鈦(TiClb
)、氯化鎢(WClc
)、氯化鉿(HfCld
)、及氯化鉭(TaCle
),其中a、b、c、d、及e為大於或等於1的整數。範例包含四(二甲胺基)鈦(TDMAT)及雙(三級丁亞胺基)雙(二甲胺基)鎢(BTBMW)。在金屬摻雜含碳硬遮罩之沈積期間,可使載體氣體流過,例如氫、氬、氮、氦、或其組合。用於金屬摻雜含碳硬遮罩之摻雜物包含鎢、鈦、鉿、及鉭。在一些實施例中,金屬摻雜物可為元素週期表之第六週期中之過渡金屬之任一或多者。在一些實施例中,摻雜物為鎢、鈦、鉭、其氮化物、其矽化物、及其組合。例如,在一些實施例中,摻雜物為氮化鎢、或矽化鎢。
金屬摻雜含碳硬遮罩係用以在該等高深寬比應用中形成孔洞,因為其相比於未經摻雜之含碳硬遮罩而具有較高的膜密度、且可承受用以形成高深寬比特徵部的蝕刻化學物而不損害或移除硬遮罩。金屬摻雜之硬遮罩並不包括類金屬。
此外,使用金屬摻雜含碳硬遮罩在整個高深寬比特徵部達成一致的特徵直徑。應理解,本文所述之用語「金屬摻雜含碳材料」指涉包含金屬(例如鈦或包含鎢、鉭、及鉿的元素週期表之第六週期中的過渡金屬)及碳的材料、且金屬摻雜含碳材料中的金屬量可為任何濃度。
然而,現存的在使用硬遮罩以進行圖案化之後蝕刻硬遮罩之技術,並無法選擇性地相對於下層而有效地蝕刻硬遮罩。本文所使用之用語「選擇性」指涉材料選擇性。例如,若蝕刻化學物針對第一材料相對於第二材料可達成高蝕刻選擇性,則此意指蝕刻化學物蝕刻第一材料比其蝕刻第二材料快。可利用第一材料蝕刻速率對第二材料蝕刻速率之比率將蝕刻選擇性量化。蝕刻選擇性取決於使用金屬摻雜含碳硬遮罩的應用。例如,在一些記憶體應用中,可達成金屬摻雜含碳硬遮罩相對於矽氧化物或矽氮化物之5000:1蝕刻選擇性,而在一些邏輯應用中,可達成金屬摻雜含碳硬遮罩相對於矽氧化物或矽氮化物之30:1蝕刻選擇性。
蝕刻技術包含濕與乾蝕刻,但此類技術無法在達成金屬摻雜含碳硬遮罩相對於基板上之其他材料(例如介電質、半導體、及金屬材料)的高蝕刻選擇性的同時、減少或消除因蝕刻金屬摻雜含碳硬遮罩而存在的缺陷。例如,雖然含有過氧化氫的濕蝕刻溶液可以高蝕刻選擇性(例如約1000:1)移除高濃度之金屬摻雜含碳硬遮罩,但濕溶液亦可能損害金屬表面、且亦可能蝕刻一些暴露的介電質表面。此外,用於未經摻雜之含碳硬遮罩的乾蝕刻方法涉及將含氧之氧化劑與含氫之還原劑一同使用,然而此類技術造成基板上的缺陷。例如,用於移除未經摻雜之含碳硬遮罩的一種範例蝕刻化學物包含氧電漿、或具有氧(O2
)與成形氣體(例如氫/氮(H2
/N2
)與三氟化氮(NF3
)之混合物)之混合物的電漿條件之組合,其具有對於金屬摻雜硬遮罩之非常慢的蝕刻速率、及對於介電質材料之不良的選擇性。另一範例涉及過氧化氫濕蝕刻,其以每分鐘介於約30至80 nm蝕刻。相對於下層材料的蝕刻選擇性可為約1000:1,然而如上所述,此類蝕刻化學物造成基板上的缺陷。
本文所提供的係蝕刻金屬摻雜硬遮罩的方法,該金屬摻雜硬遮罩係用以形成用於記憶體及邏輯應用的高深寬比特徵部。雖然本文所述之許多實施例指涉金屬摻雜含碳硬遮罩之移除,然而應理解,取代選擇合適之蝕刻化學物以蝕刻金屬摻雜含碳硬遮罩的碳成分,而透過選擇合適的蝕刻化學物以蝕刻金屬摻雜含矽硬遮罩的矽成分,相似之技術亦可用以移除金屬摻雜含矽硬遮罩。
所揭示之某些實施例係特別適於移除用於3D NAND及DRAM結構之製造中的金屬摻雜含碳硬遮罩。所揭示之實施例涉及使用添加氣體的高溫氧基蝕刻化學物,以移除金屬摻雜含碳硬遮罩、同時保護基板上的底層材料並減少缺陷的存在。
圖1提供一製程流程圖,其描繪依據所揭示之某些實施例而執行之方法中的操作。可在具有介於約100 mTorr至約4000 mTorr之間、或介於約200 mTorr至約4000 mTorr之間的腔室壓力的處理腔室中之基板上執行本文所述之實施例。在一些實施例中,腔室壓力可為介於約1000 mTorr至約2000 mTorr之間。例如,在一些實施例中,腔室壓力可為約1500 mTorr。
在操作101中,提供具有金屬摻雜含碳材料的基板。在許多實施例中,金屬摻雜含碳材料為硬遮罩。
在許多實施例中,金屬摻雜含碳材料的金屬為鎢。在一些實施例中,金屬摻雜含碳材料摻雜有諸如鎢、鈦、鉭、其氮化物、其矽化物、及其組合的金屬。在一些實施例中,金屬摻雜含碳材料摻雜有氮化鈦、氮化鉭、氮化鎢、或其組合。在一些實施例中,金屬摻雜含碳材料摻雜有具有WSix
Ny
之化學式的鎢矽氮化物,其中1>
x > 0且1>
y > 0。
在許多實施例中,金屬摻雜含碳材料被圖案化、並用以蝕刻特徵部至金屬摻雜含碳材料底下的一或多層。例如,金屬摻雜含碳材料可用以蝕刻具有至少約5:1、或至少約20:1、或介於約5:1至約150:1之間之深寬比的特徵部。在一些實施例中,金屬摻雜含碳材料係用於蝕刻具有介於約50:1至約60:1之間之深寬比的特徵部。範例高深寬比特徵部包含平面NAND的 10:1孔洞、或3D NAND 結構的40:1孔洞。
在許多實施例中,金屬摻雜含碳材料係用於蝕刻具有寬度介於約10 nm至約500 nm之間的特徵開口部的特徵孔洞。在許多實施例中,金屬摻雜含碳材料係用於蝕刻特徵部,以使特徵部在特徵部頂部處或附近的臨界尺寸與在特徵部底部處或附近的臨界尺寸之間具有小於約20 nm的差異,其取決於特徵部的尺寸。
在許多實施例中,基板上的金屬摻雜含碳材料之厚度係介於約5 nm至約2000 nm之間,其中厚度係從金屬摻雜含碳材料與緊鄰之下層的介面量測至金屬摻雜含碳材料的區域表面。在3D NAND應用中,欲移除之金屬摻雜含碳材料的厚度可為約1100 nm至約1300 nm厚。在DRAM應用中,欲移除之金屬摻雜含碳材料的厚度可為約300 nm至約500 nm厚。在邏輯應用中,欲移除之金屬摻雜含碳材料的厚度可為約10 nm至約20 nm厚。
在一些實施例中,可將基板上的膜層圖案化。可在基板膜層之一或多者中形成特徵部。特徵部的一範例為半導體基板或基板上之膜層中的孔洞或介層孔。另一範例為由基板或膜層中之線或空間所界定的槽溝。在許多實施例中,特徵部可具有下層,例如阻障層或黏合層。下層的非限制性範例包含介電質層及傳導層,例如矽氧化物、矽氮化物、矽碳化物、金屬氧化物、金屬氮化物、金屬碳化物、及金屬層。在一些實施例中,基板可包含具有不同材料(例如金屬、介電質、半導體材料、及其他)的複數膜層。在許多實施例中,該等材料可用於製造接點、介層孔、閘等。
在許多實施例中,在將基板提供至處理腔室時將其加熱至所選溫度。應理解,本文所述之基板溫度指涉固持基板的底座所被設定之溫度。所揭示之某些實施例中的基板溫度為至少約200°C、或介於約200°C至約500°C之間、或介於約200°C至約400°C之間、例如約250°C。
在操作103中,可選擇地將金屬摻雜含碳材料暴露於預氧化氣體。預氧化為用於在金屬摻雜含碳硬遮罩之剝除或移除之前氧化基板的氧基電漿操作。在許多實施例中,預氧化使基板之暴露的矽表面氧化。在此操作期間,金屬摻雜含碳材料可被暴露於氧氣與氮氣之混合物、並同時點燃電漿。在一些實施例中,金屬摻雜含碳材料係暴露於氧氣與氧化亞氮氣體之混合物、並同時點燃電漿。在一些實施例中,金屬摻雜含碳材料係暴露於氮氣、氫氣、及氧氣之混合物;並同時點燃電漿。
在操作103期間,腔室壓力可為介於約100 mTorr至約4000 mTorr之間、或介於約200 mTorr至約4000 mTorr之間。在一些實施例中,腔室壓力可為介於約1000 mTorr至約2000 mTorr之間。例如,在一些實施例中,腔室壓力可為約1500 mTorr。
關於使氧氣與氮氣之混合物流動,氧氣之範例流率可為介於約0.1 slm至約1 slm之間,且氮氣之範例流率可為介於約0.1 slm至約1 slm之間。在一些實施例中,感應耦合電漿之電漿功率可為介於約500W至約6000W之間。
此可特別適用於:將用於3D NAND結構之製造期間以保護底下之矽材料的金屬摻雜含碳材料移除。氧物種與矽反應並將其氧化。氯基金屬摻雜含碳材料剝除處理在其蝕刻矽之速率的1%下蝕刻矽氧化物,其使基板之損耗最小化。
在操作107中,將第一蝕刻氣體導入。蝕刻氣體包含適用於蝕刻金屬摻雜含碳材料之碳成分的氣體。在許多實施例中,蝕刻氣體為氧化劑。蝕刻氣體包含一或更多氣體。在一些實施例中,蝕刻氣體係作為混合物提供。可用於操作107中之範例蝕刻氣體包含僅氧氣;僅氮氣;僅氫氣;僅氧化亞氮氣體;氧與氮之組合;氧化亞氮與氧之組合;氧與水蒸氣之組合;氧化亞氮、氧、與水蒸氣之組合;以及氮與氫之組合。並不需要氧化劑。在一些實施例中,可在不執行操作107之情況下執行金屬摻雜含碳硬遮罩之移除。對於蝕刻金屬摻雜含矽材料之實施例,可選擇合適的蝕刻化學物以蝕刻金屬摻雜含矽材料之矽成分。在一些實施例中,將惰性氣體與蝕刻氣體一同流動。範例惰性氣體包含氬及氦。
在操作109中,將添加氣體導入。添加氣體在此處可稱為第二蝕刻氣體。在許多實施例中,在操作107中導入蝕刻氣體時導入添加氣體。添加氣體包含適用於蝕刻金屬之金屬成份的一或更多氣體。範例添加氣體包含氮、三氟化氮、氯、氟、溴、碘、氫、六氟化硫、及其組合。
在一些實施例中,對於鎢摻雜含碳材料,添加氣體包含一或更多含鹵素氣體。用於蝕刻鎢摻雜含碳材料之範例含鹵素氣體包含三氟化氮、氯、六氟化硫、及其組合。該等添加氣體亦可適用於蝕刻包含氮化鎢或鎢矽氮化物的金屬摻雜含碳材料。在一些實施例中,將惰性氣體與添加氣體一同流動。範例惰性氣體包含氬及氦。
在許多實施例中,一同執行操作107及109,以使蝕刻氣體及添加氣體作為氣體混合物被同時提供至容置基板的處理腔室。在一些實施例中,在流動蝕刻氣體之後,流動添加氣體。在一些實施例中,在流動添加氣體之後,流動蝕刻氣體。
在提供蝕刻氣體及添加氣體之混合物的許多實施例中,可依據金屬摻雜含碳材料中之摻雜物的密度而使蝕刻氣體與添加氣體的相對量合適化。在許多實施例中,蝕刻氣體與添加氣體之混合物中的蝕刻氣體量可在蝕刻氣體與添加氣體之混合物的總量之約5% (5%的蝕刻氣體與95%的添加氣體)至約100%(其中未使用添加氣體)之範圍內。蝕刻氣體與添加氣體之混合物中的蝕刻氣體量可在蝕刻氣體與添加氣體之混合物的總量之約10%至約70%之範圍內。在一些實施例中,蝕刻氣體量為至少約10%、或約25%、或約40%、或約44%、或約50%、或介於約25%至約50%之間。可藉由評估流至處理腔室之氣體的流率以量測流至基板的氣體量。對於金屬摻雜含碳材料中之較高密度的摻雜物,可相對於蝕刻氣體而使用較高流率之添加氣體。例如,對於具有約33%鎢之摻雜物密度的金屬摻雜含碳材料而言,用於移除金屬摻雜含碳材料的蝕刻氣體對添加氣體之比率為約2:1。在一些實施例中,摻雜物密度係以百分比量測,該百分比為材料組成的原子百分比。在一些實施例中,金屬摻雜含碳材料中之金屬百分比係介於材料組成的約5%至約95%之間。在一些實施例中,鎢摻雜含碳材料中之鎢量可為介於材料組成的約33%至約66%之間。在某些實施例中,鎢摻雜含碳材料可摻雜有約33%的鎢、或約63%的鎢。在一些情況下,摻雜物密度係以每單位體積之原子數提供。對於具有摻雜物之不純度的材料,材料中之摻雜物量可稱為摻雜濃度或摻雜密度,其係以每cm3
之原子數所量測。
在操作111中,從蝕刻及添加氣體將電漿點燃以形成蝕刻物種,該蝕刻物種移除金屬摻雜含碳材料以形成一或更多揮發性副產物。揮發性副產物包含氯化鎢及氧氯化鎢。例如,在一些實施例中,所形成之揮發性副產物包含氧四氯化鎢(VI) (WOCl4
) (沸點 = 227°C)、五氯化鎢(WCl5
) (沸點 = 275°C)、及六氯化鎢(WCl6
) (沸點 = 347°C)之一或多者。
熟習本項技藝者將明白,存在於電漿中的實際物種可為不同的離子、自由基、及由蝕刻與添加氣體所衍生之分子的混合物。應注意,在移除金屬摻雜含碳材料期間,其他物種可能存在於反應腔室中,例如當電漿與金屬摻雜含碳材料反應並將其分解時,金屬摻雜含碳材料與蝕刻氣體及添加氣體反應所產生的揮發性副產物。被導入電漿之初始的一或更多氣體可能不同於存在於電漿中之一或更多氣體、以及在蝕刻期間接觸基板表面之一或更多氣體。
可使用各種類型的電漿源,包括RF、DC、及基於微波之電漿源。在一些實施例中,使用RF電漿源。通常,用於300 mm 之晶圓的RF電漿功率係在約500W至約10000W、或約3000W至約10000W之範圍內。在一些實施例中,功率為每站約7000W。依據所使用之處理腔室,在一些實施例中,各站具有專用的功率源。在許多實施例中,在噴淋頭上游使電漿產生為感應耦合電漿。
在許多實施例中,在蝕刻金屬摻雜含碳材料期間未將偏壓施加至底座。然而,在一些實施例中,使用13.56 MHz RF偏壓。可使用RF偏壓以協助氧化在高深寬比特徵部之底部處的基板,然而在深寬比小於10:1的一些實施例中,可能不需要偏壓以協助氧化基板。偏壓之使用係取決於化學物及是否在使用所揭示之某些實施例的應用中使用方向性蝕刻。若施加偏壓,則施加至偏壓的功率可為介於約10W至約3000W之間,例如約10W。應理解,用語「偏壓功率」及「偏壓電壓」在此係可互換地使用,以描述在偏壓被施加至底座時底座所被設定的電壓。本文所述之偏壓功率或偏壓電壓(以瓦特為單位)係對於施加至底座的功率而量測。
在一些實施例中,金屬摻雜含碳硬遮罩之蝕刻速率係介於約30 nm/min至約1000 nm/min之間。
在許多實施例中,腔室壓力可為介於約100 mTorr至約4000 mTorr之間、或介於約200 mTorr至約4000 mTorr之間。在一些實施例中,腔室壓力可為介於約1000 mTorr至約2000 mTorr之間。例如,在一些實施例中,腔室壓力可為約1500 mTorr。腔室壓力影響蝕刻速率。腔室壓力越高,則蝕刻速率越大。
選擇蝕刻及添加氣體之處理條件及化學物,以使金屬摻雜含碳材料相對於基板上的其他材料而被選擇性地蝕刻。如上所述,將選擇性界定為材料選擇性,因此相對於第二材料而對第一材料選擇性蝕刻表示:第一材料之蝕刻速率高於第二材料之蝕刻速率,以使得第二材料幾乎未被蝕刻。
對於3D NAND之應用,相對於暴露的氧化物、氮化物、矽、及其摻雜衍生物而移除金屬摻雜含碳材料。對於DRAM之應用,相對於氧化物、氮化物、鎢、及其摻雜衍生物而移除金屬摻雜含碳材料。對於MEOL邏輯之應用,相對於氧化物、氮化物、矽、鍺、及其摻雜衍生物而移除金屬摻雜含碳材料。對於BEOL邏輯之應用,相對於經摻雜之氧化物、未經摻雜之氧化物、鈷、氮化鉭、銅、及低k(介電常數)介電質而移除金屬摻雜含碳材料。
使用所揭示之某些實施例的金屬摻雜含碳材料相對於四乙氧基矽烷沉積(TEOS-沉積)氧化物之蝕刻選擇性可為至少約1000:1。TEOS-沉積氧化物係界定為使用四乙氧基矽烷(TEOS)作為含矽前驅物所沉積的氧化物。例如,可藉由化學氣相沉積、原子層沉積、或其電漿輔助技術,使用TEOS及含氧反應物以沉積出TEOS-沉積氧化物。在邏輯之應用中,使用所揭示之某些實施例的金屬摻雜含碳材料相對於基板上其他材料之蝕刻選擇性可為至少約10:1、或介於約10:1至約30:1之間。
選擇操作109中的添加氣體及操作107中的蝕刻氣體以使得:當電漿被點燃時,金屬摻雜含碳材料與蝕刻氣體及添加氣體反應,俾形成揮發性副產物。
在許多實施例中,在將蝕刻物種傳送至基板之前,在噴淋頭中使電漿產生於感應耦合電漿中。在此類實施例中,將蝕刻及添加氣體提供至噴淋頭,在該處將電漿點燃,接著蝕刻物種流過噴淋頭中的孔洞至處理腔室中的基板。在一些實施例中,藉由調整噴淋頭與晶圓之間的距離以減少電漿在基板之不欲受蝕刻的表面上所造成的損害,而使蝕刻選擇性增加。在許多實施例中,使用感應耦合電漿使得並非金屬摻雜含碳材料之材料的蝕刻速率降低,俾達成主要化學蝕刻,其提供足夠之蝕刻物種以移除金屬摻雜含碳材料、且形成揮發性副產物而不會造成再沉積或缺陷。在許多實施例中,直接式電漿可能導致高材料耗損,因此在一些實施例中,可使用噴淋頭中所產生的遠程電漿或ICP電漿以減少對於基板上之材料的損害。電漿條件及晶圓與噴淋頭之間的距離之調整容許:來自蝕刻物種的低能量離子相對於基板上的其他非金屬摻雜含碳材料而選擇性地蝕刻金屬摻雜含碳材料。設備
可在任何合適的蝕刻腔室或設備中執行所揭示之實施例,例如可由加州費利蒙的蘭姆研究公司取得的Argos。電漿蝕刻腔室之進一步描述可在美國專利第6,841,943及8,552,334號中找到,在此以參照之方式將其全文引入。
在感應耦合電漿(ICP)反應器中執行所揭示之實施例。圖2中提供一範例。此類ICP反應器亦已在2013年12月10日提交、2016年6月07日核准的案名為「METHOD FOR FORMING A MASK BY ETCHING CONFORMAL FILM ON PATTERNED ASHABLE HARDMASK」的美國專利第9,362,133號中描述,在此為以下目的而以參照之方式將其引入:描述合適的ICP反應器以用於本文所述技術之實行。雖然在此描述ICP反應器,但在一些實施例中,應理解,亦可使用電容耦合電漿反應器。蝕刻腔室或設備可包含具有腔室壁的腔室、夾頭、RF電源供應器、及氣流入口,該夾頭係用以固持欲受處理的基板或晶圓,該夾頭可包含用以夾持與去夾持晶圓的靜電電極、且可係利用射頻(RF)電源供應器而電氣帶電;該RF電源供應器係配置以將功率供應至線圈俾產生電漿;該氣流入口係用於使本文所述之氣體進入。例如,可使蝕刻氣體與添加氣體、以及預氧化氣體流至蝕刻腔室以執行金屬摻雜含碳材料之選擇性蝕刻。在一些實施例中,設備可包含多個腔室,腔室之各者可用於蝕刻、沈積、或處理基板。腔室或設備可包含用以控制腔室或設備之一些或所有操作(例如調整腔室壓力、惰性氣體流動、電漿功率、電漿頻率、反應性氣體流動(例如,氧化劑氣體、蝕刻氣體、添加氣體等);偏壓功率、溫度、真空設定;及其他處理條件)的系統控制器。腔室亦可用以選擇性地將含碳材料沉積於基板上。
圖2概略地顯示整合感應耦合電漿之蝕刻與沉積設備200的橫剖面圖,其適合執行本文的某些實施例,該設備之範例為由加州費利蒙的蘭姆研究公司所生產的感應耦合電漿反應器。感應耦合電漿設備200包含處理腔室201,其結構上係由腔室壁及窗部211所界定。腔室壁可係由不鏽鋼或鋁所製造。窗部211可係由石英或其他介電材料所製造。可選之內部噴淋頭250將處理腔室201分為上子腔室202及下子腔室203。噴淋頭可包含一孔洞、或可包含複數孔洞,用以將氣體及/或電漿物種傳送和分佈至下子腔室203。在大部分實施例中,可將噴淋頭250移除,從而利用由子腔室202及203所構成的腔室空間。夾頭217係安置於下子腔室203內靠近底部的內表面處。夾頭217係配置以接收及固持半導體晶圓219,蝕刻及沉積處理係在該半導體晶圓219上執行。夾頭217可為用以支持晶圓219(當其存在時)的靜電夾頭。在一些實施例中,邊緣環(未顯示)環繞夾頭217、且具有與晶圓219上表面(當存在於夾頭217上方時)為近乎平面的上部表面。夾頭217亦包含靜電電極,用以夾持與去夾持晶圓。可為此用途而提供濾波器及DC箝制電源供應器(未顯示)。亦可提供用於將晶圓219抬升離開夾頭217的其他控制系統。夾頭217可為可沿實質上平行於腔室之側壁的軸而移動,因此夾頭217之表面係實質上平行於地面。在一些實施例中,電漿源與夾頭217上的晶圓219之間的距離可為介於約4英吋至約5英吋之間。如此距離可容許晶圓219上的金屬摻雜含碳硬遮罩之高蝕刻速率。若使用噴淋頭,則晶圓219與噴淋頭(未顯示)之間的距離可為介於約0.5英吋至約3.0英吋之間。可利用RF電源供應器223而使夾頭217電氣帶電。RF電源供應器223係透過連接部227而連接至匹配電路221。匹配電路221係透過連接部225而連接至夾頭217。以此方式,RF電源供應器223係連接至夾頭217。
用於電漿產生之元件包含置於窗部211上方的線圈233。在許多實施例中,線圈非用於所揭示之實施例中。線圈233係由導電材料製造、且包含至少一整圈。顯示於圖2的線圈233之範例包含三圈。線圈233的截面係以符號顯示,且具有「X」的線圈旋轉伸入頁面,而具有「●」的線圈旋轉伸出頁面。用於電漿產生之元件亦包含配置以將RF功率供應至線圈233的RF電源供應器241。一般而言,透過連接部245以將RF電源供應器241連接至匹配電路239。透過連接部243以將匹配電路239連接至線圈233。以此方式,將RF電源供應器241連接至線圈233。可選的法拉第屏蔽249係位於線圈233與窗部211之間。法拉第屏蔽249係相對於線圈233而維持相隔開的關係。法拉第屏蔽249係緊接置於窗部211之上。線圈233、法拉第屏蔽249、及窗部211各係配置為實質上與彼此平行。法拉第屏蔽可避免金屬或其他物種沉積於處理腔室201的介電窗上。
可使處理氣體(例如,氧、氮、氯等)通過位於上子腔室202中的一或更多主氣流入口260、及/或通過一或更多側氣流入口270而流入處理腔室201。同樣地,雖然未明確顯示,相似的氣流入口可用以將處理氣體供應至電容耦合式電漿處理腔室。真空泵浦(例如,一或二級機械乾式泵浦及/或渦輪分子泵浦240)可用以將處理氣體自處理腔室201抽出,並維持處理腔室201內之壓力。例如,泵浦可用於排空處理腔室201以移除揮發性副產物,該揮發性副產物係由蝕刻化學物與金屬摻雜含碳材料反應所產生。以閥控制的管道可用以將真空泵浦流體連接至處理腔室201,俾選擇性的控制由真空泵浦所提供的真空環境之應用。此可藉由在工作電漿處理期間採用閉迴路控制的限流裝置以完成,例如節流閥(未顯示)或鐘擺閥(未顯示)。同樣地,亦可採用真空泵浦及通往電容耦合式電漿處理腔室的以閥控制的流體連接。
在設備的操作期間,可經由氣流入口260及/或270以供應一或更多處理氣體。在某些實施例中,可僅經由主氣流入口260、或僅經由側氣流入口270而供應處理氣體。在一些情況下,圖中所示之氣流入口可以例如更多錯縱的氣流入口、一或更多噴淋頭取代。法拉第屏蔽249及/或可選的柵部250可包含容許處理氣體輸送至處理腔室201的內部通道及孔洞。法拉第屏蔽249及可選的柵部250之兩者或任一者可作為用於處理氣體輸送的噴淋頭。在一些實施例中,液體汽化及輸送系統可位於處理腔室201的上游,使得一旦液體反應物或前驅物汽化時,經汽化之該反應物或前驅物係經由氣流入口260及/或270而被導入處理腔室201。
射頻功率係自RF電源供應器241供應至線圈233,俾致使RF電流流過線圈233。流過線圈233的RF電流產生線圈233周圍的電磁場。電磁場在上子腔室202內產生感應電流。所產生的各種離子及自由基與晶圓219之物理和化學交互作用選擇性地蝕刻晶圓的特徵部、並在晶圓上沉積膜層。
若使用電漿柵以使上子腔室202及下子腔室203兩者存在,則感應電流作用於存在上子腔室202中的一或更多氣體上,俾在上子腔室202中產生電子-離子電漿。可選的內部電漿柵250限制下子腔室203中的熱電子數量。在一些實施例中,設計並操作設備以使存在於下子腔室203中的電漿為離子-離子電漿。
上部的電子-離子電漿與下部的離子-離子電漿兩者皆可含有正及負離子,然而離子-離子電漿會有較大的負離子對正離子比率。可透過埠222將揮發性蝕刻及/或沉積副產物自下子腔室203移除。可在約200°C至約500°C之範圍間的升高之溫度下操作本文所揭示之夾頭217。溫度會取決於處理操作及特定配方。
當於無塵室或製造設施中裝設處理腔室201時,可將其耦接至設施(未顯示)。設施包含提供處理氣體、真空、溫度控制、以及環境粒子控制的管路。當該等設施被裝設於標的製造設施中時,其係耦接至處理腔室201。此外,可將處理腔室201耦接至傳送腔室,該傳送腔室容許機械臂利用典型自動化系統將晶圓傳送進出處理腔室201。
在一些實施例中,系統控制器230(其可包含一或更多實體或邏輯控制器)控制處理腔室的一些或所有的操作。系統控制器230可包含一或更多記憶裝置及一或更多處理器。在一些實施例中,設備包含用以在執行揭示實施例時控制流動速率及持續時間的切換系統。在一些實施例中,設備可具有至多約500 ms、或至多約750 ms的切換時間。切換時間可取決於流動化學物、所選的配方、反應器架構、以及其他因素。
處理腔室201或設備可包含系統控制器。例如,在一些實施例中,控制器230為系統的部分,該系統可為上述範例的部分。此類系統可包含半導體處理設備,含一或複數處理工具、一或複數腔室、用於處理的一或複數工作台、及/或特定處理元件(晶圓底座、氣流系統等)。該等系統可與電子裝置整合,以於半導體晶圓或基板之處理前、處理期間、及處理後控制其操作。可將該等電子裝置稱為「控制器」,其可控制一或複數系統的各種元件或子部件。依據處理之規格及/或系統之類型,可將控制器230程式化以控制本文中所揭示之處理的任一者,包含處理氣體之輸送、溫度設定(如:加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流動速率設定、流體輸送設定、位置及操作設定、進出工具及連接至特定系統或與特定系統介面接合的其他傳送工具及/或負載鎖之晶圓傳送。
廣泛而言,可將控制器230定義為具有接收指令、發送指令、控制操作、允許清潔操作、允許端點量測等之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。該積體電路可包含儲存程式指令的韌體形式之晶片、數位信號處理器(DSPs)、定義為特殊應用積體電路(ASICs)之晶片、及/或執行程式指令(如軟體)之一或更多的微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)之形式傳送到控制器的指令,其定義用以在半導體晶圓上、或針對半導體晶圓、或對系統執行特定處理的操作參數。在一些實施中,該等操作參數可為由製程工程師所定義之配方的部分,該配方係用以在基板之一或更多的膜層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶粒的製造期間,完成一或更多的處理步驟。
在一些實施例中,控制器230可為電腦的部分或耦接至電腦,該電腦係與系統整合、耦接至系統、或透過網路連接至系統、或上述之組合。例如,控制器230係可位於「雲端」、或為晶圓廠主機電腦系統的全部或部分,其可允許基板處理之遠端存取。該電腦能達成對該系統之遠端存取,以監視製造操作之目前進度、查看過去製造操作之歷史、查看來自多個製造操作之趨勢或性能指標,俾改變目前處理之參數,以設定處理步驟而接續目前的處理、或開始新的處理。在一些範例中,遠端電腦(如伺服器)可透過網路將處理配方提供給系統,該網路可包含區域網路或網際網路。該遠端電腦可包含可達成參數及/或設定之輸入或編程的使用者介面,該等參數或設定接著自該遠端電腦傳送至該系統。在一些範例中,控制器接收資料形式之指令,在一或更多的操作期間,其針對該待執行的處理步驟之各者而指定參數。應理解,該等參數可特定於待執行之處理的類型、及工具(控制器係配置成與該工具介面接合或控制該工具)的類型。因此,如上所述,控制器可分散,例如藉由包含一或更多的分離的控制器,其透過網路連接在一起並朝共同的目標而作業,例如本文中所敘述之處理及控制。用於此類目的之分開的控制器之範例可為腔室上之一或更多的積體電路,其與位於遠端(例如為平台等級、或為遠端電腦的部分)之一或更多的積體電路連通,其結合以控制該腔室上的處理。
範例系統可包含(但不限於)電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、潔淨腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、徑跡腔室或模組、及可與半導體晶圓之製造及/或生產有關或用於其中的任何其他半導體處理系統。
如上所述,依據將藉由工具執行之(複數)處理步驟,控制器230可與半導體製造工廠中之下列一或更多者進行通訊:其他工具電路或模組、其他工具元件、群集工具、其他工具介面、鄰接之工具、鄰近之工具、遍布工廠的工具、主電腦、另一控制器、或材料運輸中所使用之工具,該材料運輸中所使用之工具將晶圓容器輸送往返於工具位置及/或裝載埠。
可將處理腔室201整合於如圖3所示之多站工具中。各站可用以處理不同的操作。例如,一站可用以執行預氧化,而另一站用以執行金屬摻雜含碳材料之選擇性蝕刻。所揭示之實施例可在不破壞真空之情況下執行、且可在相同設備中相同。
圖3描繪具有真空模組的半導體處理群集工具架構,其與真空傳送模組338 (VTM)接合。用以在多儲存設施與處理模組間「傳送」晶圓的傳送模組之配置可稱為「群集工具架構」系統。在VTM 338中顯示氣室330(亦稱為負載鎖或傳送模組)、以及四個處理模組320a-320d,該等處理模組可係個別最佳化以執行各種製造處理。舉例而言,可實施處理模組320a-320d以執行基板蝕刻、沉積、離子植入、晶圓清潔、濺射、及/或其他半導體處理。可如本文所揭示而實施基板蝕刻處理模組(320a-320d之任一者)之一或多者,亦即用於執行預氧化、選擇性移除金屬摻雜含碳材料、及依據所揭示之實施例的其他適當功能。氣室330及處理模組320可稱為「站」。各站具有使站接合至VTM 338的小平面336。在各小平面內部,感測器1‑18係用以在晶圓326於各站間移動時偵測其通過。
機器人322在複數站間傳送晶圓326。在一實施例中,機器人322具有一手臂,而在另一實施例中,機器人322具有兩手臂,其中各手臂具有末端作用器324以拾取諸如晶圓326之晶圓俾用於傳輸。大氣傳送模組(ATM)340中之前端機器人332係用於將晶圓326從負載埠模組(LPM)342中的晶舟盒或前開式晶圓傳送盒(FOUP)334傳送至氣室330。處理模組320內的模組中心328為用於放置晶圓326的位置。ATM 340中的對準器344係用以對準晶圓。
在一例示性處理方法中,將晶圓放置於LPM 342中的FOUPs 334中。前端機器人332將晶圓從FOUP 334傳送至對準器344,其容許晶圓326在受到蝕刻或處理之前被適當地置中。晶圓326在對準之後被前端機器人332移動進入氣室330。由於氣室模組具有使ATM與VTM之間的環境匹配的能力,故晶圓326能夠在兩壓力環境之間移動,而不受到損害。機器人322將晶圓326從氣室模組330移動通過VTM 338而進入處理模組320a-320d之其中一者。為了達成此晶圓移動,機器人322在其手臂之各者上使用末端作用器324。一旦晶圓326經處理,機器人322將其從處理模組320a-320d移動至氣室模組330。從此處,前端機器人332可將晶圓326移動至FOUPs 334之其中一者、或移動至對準器344。
控制晶圓移動的電腦可在集群架構本地、或可位在製造樓層中之集群架構的外部、或位在遠端位置並經由網路連接至集群架構。對於圖2而描述的上述控制器可與圖3中的工具一同實行。實驗
實驗1
對於具有鎢摻雜碳硬遮罩之基板進行一實驗,該鎢摻雜碳硬遮罩係用於在基板中圖案化高深寬比介層孔。在具有介於0.5 Torr至6.0 Torr之間之壓力的腔室中將基板加熱至介於200°C至400°C之間的溫度,且將鎢摻雜碳硬遮罩暴露於氧氣與氯氣之混合物,其中氯氣為總流量的約10%至40%。在不使用偏壓之情況下(施加至偏壓的功率 = 0W)以約2000W至5000W的功率點燃電漿。約1微米的鎢摻雜碳硬遮罩受到蝕刻,表面上僅有少量缺陷且鎢摻雜碳硬遮罩被移除乾淨。 實驗2
對於三種不同材料(矽氧化物、矽氮化物、及矽)上的33%摻雜之鎢摻雜碳硬遮罩及63%摻雜之鎢摻雜碳硬遮罩進行一實驗。33%及63%之鎢摻雜薄膜的剝除速率約為95 nm/分。針對該六個試驗判定蝕刻選擇性,且圖4提供結果的圖表。
該等結果表明:相對於矽氧化物材料而移除鎢摻雜碳硬遮罩可達到將近1000: 1的蝕刻選擇性、且相對於矽氮化物與矽材料亦可達到高蝕刻選擇性。 實驗3
對於無噴淋頭之情況下蝕刻基板上的鎢摻雜碳硬遮罩,進行一實驗。針對底座之兩z位置的影響而收集實驗數據 – 一者在底座與處理腔室的單一孔洞噴淋頭之間具有0.5英吋的間隙,而一者具有2.3英吋的間隙。在圖5及下列表格中描述結果。圖5中的各數據點係以包含下列各者的實驗性試驗表示:底座位置、腔室壓力、氣體總流量、及氣體總流量之氯氣量百分比,其結果為表1之最後一欄中所描述的鎢摻雜碳硬遮罩之平均蝕刻速率。例如,數據點501代表在與腔室中之單一孔洞噴淋頭設為相離2.3英吋之底座上的基板、將該腔室設為約300 mTorr之壓力、並具有約800 sccm的氣體總流量、其中氣體總流量之0%為氯氣,其結果為0 nm/min的平均蝕刻速率。在另一範例中,數據點503代表設為不同位置但使用相同之總流量及壓力進行處理的兩基板。因此,數據點503之設置於與單一孔洞噴淋頭相離2.3英吋之底座上的基板,包含約750 mTorr之腔室壓力、約800 sccm的總流量,其中總流量之氯氣百分比為40%,且鎢摻雜碳硬遮罩之平均蝕刻速率為70 nm/min。在下列表1中描述該等實驗的結果,其中數據點係對應於圖5。 表1. 底座之兩z位置的影響
如該等結果所示,增加之壓力引致較快的蝕刻速率。結論
雖然前述之實施例已針對清楚理解的目的而相當詳細地加以描述,但吾人將明白,某些改變與修改可在隨附之申請專利範圍的範疇內實施。應注意,存在許多實行本實施例之處理、系統、及設備的替代方法。據此,本實施例應考量成說明性而非限制性,且該等實施例不應受限於本文中所提供之細節。
101‧‧‧操作
103‧‧‧操作
107‧‧‧操作
109‧‧‧操作
111‧‧‧操作
200‧‧‧設備
201‧‧‧處理腔室
202‧‧‧上子腔室
203‧‧‧下子腔室
211‧‧‧窗部
217‧‧‧夾頭
219‧‧‧晶圓
221‧‧‧匹配電路
222‧‧‧埠
223‧‧‧RF電源供應器
225‧‧‧連接部
227‧‧‧連接部
230‧‧‧控制器
233‧‧‧線圈
239‧‧‧匹配電路
240‧‧‧泵浦
241‧‧‧RF電源供應器
243‧‧‧連接部
245‧‧‧連接部
249‧‧‧法拉第屏蔽
250‧‧‧噴淋頭/電漿柵
260‧‧‧氣流入口
270‧‧‧側氣流入口
320‧‧‧處理模組
320a-d‧‧‧處理模組
322‧‧‧機器人
324‧‧‧末端作用器
326‧‧‧晶圓
328‧‧‧模組中心
330‧‧‧氣室
332‧‧‧前端機器人
334‧‧‧前開式晶圓傳送盒(FOUP)
336‧‧‧小平面
338‧‧‧真空傳送模組(VTM)
340‧‧‧大氣傳送模組(ATM)
342‧‧‧負載埠模組(LPM)
344‧‧‧對準器
501‧‧‧數據點
503‧‧‧數據點
505‧‧‧數據點
507‧‧‧數據點
509‧‧‧數據點
511‧‧‧數據點
513‧‧‧數據點
515‧‧‧數據點
圖1為一製程流程圖,其描繪依據所揭示之某些實施例而執行之方法的操作。
圖2為用於執行所揭示之某些實施例的範例處理腔室之示意圖。
圖3為用於執行所揭示之某些實施例的範例處理工具之示意圖。
圖4為描繪依據所揭示之某些實施例而進行之實驗的蝕刻選擇性之圖表。
圖5為描繪依據所揭示之某些實施例而進行之實驗的實驗結果之圖表。
Claims (20)
- 一種處理具有金屬摻雜含碳材料之半導體基板的方法,該方法包含: 將具有該金屬摻雜含碳材料之基板提供至一處理腔室; 將該基板加熱至介於約200°C至約500°C之間的溫度;以及 將該金屬摻雜含碳材料暴露於電漿以蝕刻該金屬摻雜含碳材料,該電漿係由包含第一蝕刻氣體與第二蝕刻氣體之氣體混合物所產生, 其中該第一蝕刻氣體與該金屬摻雜含碳材料的碳形成第一揮發性副產物,以及 其中該第二蝕刻氣體與該金屬摻雜含碳材料的金屬形成第二揮發性副產物。
- 如申請專利範圍第1項之處理具有金屬摻雜含碳材料之半導體基板的方法,其中該第二蝕刻氣體係選自由下列各者所組成的群組:氮、三氟化氮、氯、氟、溴、碘、氫、六氟化硫、及其組合。
- 如申請專利範圍第1項之處理具有金屬摻雜含碳材料之半導體基板的方法,更包含在將該第一蝕刻氣體或該第二蝕刻氣體之任一者導入之前,將該基板暴露於預氧化氣體、並點燃電漿以保護該基板上的暴露矽表面。
- 如申請專利範圍第3項之處理具有金屬摻雜含碳材料之半導體基板的方法,其中該預氧化氣體為氧及氮之混合物。
- 如申請專利範圍第1項之處理具有金屬摻雜含碳材料之半導體基板的方法,其中該金屬摻雜含碳材料的金屬係選自由下列各者所組成的群組:鎢、鈦、鉭、其氮化物、其矽化物、及其組合。
- 如申請專利範圍第5項之處理具有金屬摻雜含碳材料之半導體基板的方法,其中該金屬摻雜含碳材料包含一金屬摻雜物,且該金屬摻雜含碳材料中的該金屬摻雜物之密度為介於約33%至約66%之間的原子百分比。
- 如申請專利範圍第1-6項之任一者之處理具有金屬摻雜含碳材料之半導體基板的方法,其中以每站介於約500W至約10000W之間的功率點燃該電漿。
- 如申請專利範圍第1-6項之任一者之處理具有金屬摻雜含碳材料之半導體基板的方法,其中在未將偏壓施加至該基板置於其上的底座之情況下蝕刻該金屬摻雜含碳材料。
- 如申請專利範圍第1-6項之任一者之處理具有金屬摻雜含碳材料之半導體基板的方法,其中將該處理腔室設定為介於約100 mTorr至約4000 mTorr之間的腔室壓力。
- 如申請專利範圍第1-6項之任一者之處理具有金屬摻雜含碳材料之半導體基板的方法,其中該金屬摻雜含碳材料中的金屬之百分比為介於約5%至約95%之間。
- 如申請專利範圍第1-6項之任一者之處理具有金屬摻雜含碳材料之半導體基板的方法,其中該金屬摻雜含碳材料為經圖案化之硬遮罩,其用以在該基板上形成特徵部,且該金屬摻雜含碳材料相對於選自由下列各者所組成的群組之材料的蝕刻選擇性為至少約1000: 1:矽氧化物、矽氮化物、經摻雜之矽氧化物、經摻雜之矽氮化物、鎢、及其組合。
- 如申請專利範圍第1-6項之任一者之處理具有金屬摻雜含碳材料之半導體基板的方法,其中該金屬摻雜含碳材料為經圖案化之硬遮罩,其用以在該基板上形成特徵部,且該金屬摻雜含碳材料相對於選自由下列各者所組成的群組之材料的蝕刻選擇性為至少約1000: 1:矽氧化物、矽氮化物、矽、其摻雜衍生物、及其組合。
- 如申請專利範圍第1-6項之任一者之處理具有金屬摻雜含碳材料之半導體基板的方法,其中該金屬摻雜含碳材料為經圖案化之硬遮罩,其用以在該基板上形成特徵部,且該金屬摻雜含碳材料相對於選自由下列各者所組成的群組之材料的蝕刻選擇性為介於約10: 1至約30: 1之間:矽氧化物、矽氮化物、矽、鍺、其摻雜衍生物、及其組合。
- 如申請專利範圍第1-6項之任一者之處理具有金屬摻雜含碳材料之半導體基板的方法,其中該金屬摻雜含碳材料為經圖案化之硬遮罩,其用以在該基板上形成特徵部,且該金屬摻雜含碳材料相對於選自由下列各者所組成的群組之材料的蝕刻選擇性為介於約10: 1至約30: 1之間:矽氧化物、鈷、氮化鉭、銅、及低k(介電常數)介電質、及其組合。
- 如申請專利範圍第1-6項之任一者之處理具有金屬摻雜含碳材料之半導體基板的方法,其中在用以傳送該氣體混合物的噴淋頭之上游點燃該電漿。
- 如申請專利範圍第1-6項之任一者之處理具有金屬摻雜含碳材料之半導體基板的方法,其中該金屬摻雜含碳材料係在介於約30 nm/min至約1000 nm/min之蝕刻速率下蝕刻。
- 一種蝕刻基板上之鎢摻雜碳硬遮罩的方法,該方法包含: 將具有該鎢摻雜碳硬遮罩之該基板提供至一處理腔室; 將該基板加熱至介於約200°C至約500°C之間的溫度;以及 將該鎢摻雜碳硬遮罩暴露於由氧及氯所產生的電漿,以藉由形成氯化鎢或氧氯化鎢而蝕刻該鎢摻雜碳硬遮罩。
- 如申請專利範圍第17項之蝕刻基板上之鎢摻雜碳硬遮罩的方法,其中使用每站介於約500W至約10000W之間的功率點燃該電漿。
- 如申請專利範圍第17項之蝕刻基板上之鎢摻雜碳硬遮罩的方法,其中將該鎢摻雜碳硬遮罩暴露於該電漿時,流入該處理腔室的氣體之總流量的組成包含至少約10%之氯。
- 如申請專利範圍第17項之蝕刻基板上之鎢摻雜碳硬遮罩的方法,其中將該處理腔室設定為介於約100 mTorr至約4000 mTorr之間的腔室壓力。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201762517717P | 2017-06-09 | 2017-06-09 | |
US62/517,717 | 2017-06-09 | ||
US15/640,345 US11062897B2 (en) | 2017-06-09 | 2017-06-30 | Metal doped carbon based hard mask removal in semiconductor fabrication |
US15/640,345 | 2017-06-30 |
Publications (1)
Publication Number | Publication Date |
---|---|
TW201921499A true TW201921499A (zh) | 2019-06-01 |
Family
ID=64563692
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW107119567A TW201921499A (zh) | 2017-06-09 | 2018-06-07 | 半導體製造中的金屬摻雜碳基硬遮罩移除 |
Country Status (5)
Country | Link |
---|---|
US (1) | US11062897B2 (zh) |
JP (1) | JP7241705B2 (zh) |
KR (1) | KR102653066B1 (zh) |
TW (1) | TW201921499A (zh) |
WO (1) | WO2018226594A1 (zh) |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI764369B (zh) * | 2019-11-12 | 2022-05-11 | 美商應用材料股份有限公司 | 減少氫沉積製程 |
US11702738B2 (en) | 2021-05-17 | 2023-07-18 | Applied Materials, Inc. | Chamber processes for reducing backside particles |
Families Citing this family (14)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN110476239B (zh) | 2017-04-07 | 2023-10-13 | 应用材料公司 | 使用反应性退火的间隙填充 |
JP6833657B2 (ja) * | 2017-11-07 | 2021-02-24 | 東京エレクトロン株式会社 | 基板をプラズマエッチングする方法 |
US10395925B2 (en) * | 2017-12-28 | 2019-08-27 | International Business Machines Corporation | Patterning material film stack comprising hard mask layer having high metal content interface to resist layer |
GB201813368D0 (en) | 2018-08-16 | 2018-10-03 | Lam Res Ag | Etchant composition |
JP7180847B2 (ja) * | 2018-12-18 | 2022-11-30 | 東京エレクトロン株式会社 | カーボンハードマスク、成膜装置、および成膜方法 |
US11264249B2 (en) | 2018-12-18 | 2022-03-01 | Mattson Technology, Inc. | Carbon containing hardmask removal process using sulfur containing process gas |
KR20220002748A (ko) | 2019-05-29 | 2022-01-06 | 램 리써치 코포레이션 | 고 전력 펄싱된 저 주파수 rf에 의한 고 선택도, 저 응력, 및 저 수소 다이아몬드-유사 탄소 하드 마스크들 |
CN114270476A (zh) * | 2019-06-24 | 2022-04-01 | 朗姆研究公司 | 选择性碳沉积 |
US11664226B2 (en) | 2020-06-29 | 2023-05-30 | Applied Materials, Inc. | Methods for producing high-density carbon films for hardmasks and other patterning applications |
US11664214B2 (en) | 2020-06-29 | 2023-05-30 | Applied Materials, Inc. | Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications |
US11769671B2 (en) * | 2020-09-11 | 2023-09-26 | Applied Materials, Inc. | Systems and methods for selective metal compound removal |
KR20230078588A (ko) * | 2020-09-25 | 2023-06-02 | 램 리써치 코포레이션 | 견고한 애싱 가능한 하드 마스크 (robust ashable hard mask) |
US11631589B2 (en) * | 2021-05-04 | 2023-04-18 | Applied Materials, Inc. | Metal etch in high aspect-ratio features |
WO2024006088A1 (en) * | 2022-06-27 | 2024-01-04 | Lam Research Corporation | Integrated high aspect ratio etching |
Family Cites Families (33)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5756400A (en) * | 1995-12-08 | 1998-05-26 | Applied Materials, Inc. | Method and apparatus for cleaning by-products from plasma chamber surfaces |
JP3524763B2 (ja) * | 1998-05-12 | 2004-05-10 | 株式会社日立製作所 | エッチング方法 |
US6440870B1 (en) * | 2000-07-12 | 2002-08-27 | Applied Materials, Inc. | Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures |
US7816188B2 (en) * | 2001-07-30 | 2010-10-19 | Sandisk 3D Llc | Process for fabricating a dielectric film using plasma oxidation |
US6930048B1 (en) | 2002-09-18 | 2005-08-16 | Lam Research Corporation | Etching a metal hard mask for an integrated circuit structure |
US8298933B2 (en) * | 2003-04-11 | 2012-10-30 | Novellus Systems, Inc. | Conformal films on semiconductor substrates |
JP4177192B2 (ja) * | 2003-08-05 | 2008-11-05 | 株式会社日立ハイテクノロジーズ | プラズマエッチング装置およびプラズマエッチング方法 |
KR100560821B1 (ko) * | 2004-08-17 | 2006-03-13 | 삼성전자주식회사 | 반도체 소자의 캐패시터 형성 방법 |
US7812381B2 (en) * | 2005-01-24 | 2010-10-12 | Samsung Electronics Co., Ltd. | Image sensor with light receiving region having different potential energy according to wavelength of light and electronic product employing the same |
US7235492B2 (en) * | 2005-01-31 | 2007-06-26 | Applied Materials, Inc. | Low temperature etchant for treatment of silicon-containing surfaces |
JP4919871B2 (ja) * | 2007-02-09 | 2012-04-18 | 東京エレクトロン株式会社 | エッチング方法、半導体装置の製造方法および記憶媒体 |
US7666474B2 (en) | 2008-05-07 | 2010-02-23 | Asm America, Inc. | Plasma-enhanced pulsed deposition of metal carbide films |
US8435419B2 (en) * | 2010-06-14 | 2013-05-07 | Applied Materials, Inc. | Methods of processing substrates having metal materials |
US20110303639A1 (en) * | 2010-06-14 | 2011-12-15 | Applied Materials, Inc. | Methods for processing substrates having metal hard masks |
US20120258261A1 (en) | 2011-04-11 | 2012-10-11 | Novellus Systems, Inc. | Increasing etch selectivity of carbon films with lower absorption co-efficient and stress |
JP2014007370A (ja) * | 2012-06-01 | 2014-01-16 | Tokyo Electron Ltd | プラズマエッチング方法 |
US9312220B2 (en) * | 2013-03-12 | 2016-04-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure and method for a low-K dielectric with pillar-type air-gaps |
KR102170144B1 (ko) * | 2013-08-23 | 2020-10-27 | 삼성전자주식회사 | 휨 제어 막을 이용한 반도체 소자 형성 방법 및 관련된 소자 |
KR102222909B1 (ko) * | 2013-10-10 | 2021-03-04 | 삼성전자주식회사 | 반도체 소자의 제조방법 |
US9412613B2 (en) | 2014-01-08 | 2016-08-09 | Applied Materials, Inc. | Development of high etch selective hardmask material by ion implantation into amorphous carbon films |
US9418867B2 (en) * | 2014-01-10 | 2016-08-16 | Applied Materials, Inc. | Mask passivation using plasma |
US10322495B2 (en) * | 2014-01-31 | 2019-06-18 | Suzhou Superior Industrial Technology Co. Ltd. | Cemented tungsten carbide bodies having a cobalt-boron alloy matrix |
US20150345642A1 (en) * | 2014-05-29 | 2015-12-03 | Caterpillar Inc. | Thin film coating on mechanical face seals |
US9624577B2 (en) | 2014-07-22 | 2017-04-18 | Applied Materials, Inc. | Deposition of metal doped amorphous carbon film |
US9520295B2 (en) | 2015-02-03 | 2016-12-13 | Lam Research Corporation | Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems |
US9852923B2 (en) * | 2015-04-02 | 2017-12-26 | Applied Materials, Inc. | Mask etch for patterning |
US9362292B1 (en) | 2015-04-17 | 2016-06-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Two-port SRAM cell structure for vertical devices |
US9806252B2 (en) | 2015-04-20 | 2017-10-31 | Lam Research Corporation | Dry plasma etch method to pattern MRAM stack |
US9865459B2 (en) | 2015-04-22 | 2018-01-09 | Applied Materials, Inc. | Plasma treatment to improve adhesion between hardmask film and silicon oxide film |
US9754793B2 (en) * | 2015-06-12 | 2017-09-05 | Toshiba Memory Corporation | Method for manufacturing semiconductor device |
KR102443695B1 (ko) | 2015-08-25 | 2022-09-15 | 삼성전자주식회사 | 반도체 소자의 제조 방법 |
US10504838B2 (en) * | 2016-09-21 | 2019-12-10 | Micron Technology, Inc. | Methods of forming a semiconductor device structure including a stair step structure |
US10454029B2 (en) * | 2016-11-11 | 2019-10-22 | Lam Research Corporation | Method for reducing the wet etch rate of a sin film without damaging the underlying substrate |
-
2017
- 2017-06-30 US US15/640,345 patent/US11062897B2/en active Active
-
2018
- 2018-06-04 KR KR1020207000636A patent/KR102653066B1/ko active IP Right Grant
- 2018-06-04 WO PCT/US2018/035878 patent/WO2018226594A1/en active Application Filing
- 2018-06-04 JP JP2019567605A patent/JP7241705B2/ja active Active
- 2018-06-07 TW TW107119567A patent/TW201921499A/zh unknown
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI764369B (zh) * | 2019-11-12 | 2022-05-11 | 美商應用材料股份有限公司 | 減少氫沉積製程 |
CN114830299A (zh) * | 2019-11-12 | 2022-07-29 | 应用材料公司 | 减少氢沉积工艺 |
US11702738B2 (en) | 2021-05-17 | 2023-07-18 | Applied Materials, Inc. | Chamber processes for reducing backside particles |
TWI836428B (zh) * | 2021-05-17 | 2024-03-21 | 美商應用材料股份有限公司 | 用於減少背側顆粒之腔室製程 |
Also Published As
Publication number | Publication date |
---|---|
US11062897B2 (en) | 2021-07-13 |
KR20200006628A (ko) | 2020-01-20 |
JP2020523785A (ja) | 2020-08-06 |
WO2018226594A1 (en) | 2018-12-13 |
KR102653066B1 (ko) | 2024-03-29 |
US20180358220A1 (en) | 2018-12-13 |
JP7241705B2 (ja) | 2023-03-17 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR102653066B1 (ko) | 반도체 제조시 금속 도핑된 탄소계 하드마스크 제거 | |
US10784086B2 (en) | Cobalt etch back | |
US11355353B2 (en) | Tin oxide mandrels in patterning | |
TWI828619B (zh) | 半導體裝置製造中之氧化錫膜 | |
KR102644442B1 (ko) | 고 종횡비 실린더 에칭을 위해 금속-함유 측벽 패시베이션을 증착하기 위한 기법 | |
US11742212B2 (en) | Directional deposition in etch chamber | |
US11270890B2 (en) | Etching carbon layer using doped carbon as a hard mask | |
US10615169B2 (en) | Selective deposition of SiN on horizontal surfaces | |
KR20170028259A (ko) | 고종횡비 유전체 에칭을 위한 마스크 축소층 | |
US20230093011A1 (en) | Atomic layer etching of molybdenum | |
KR20160095631A (ko) | 기판 프로세싱 시스템들에서 하드마스크들로서 사용된 비정질 탄소 및 실리콘 막들의 금속 도핑 | |
US20230035732A1 (en) | Efficient cleaning and etching of high aspect ratio structures | |
US20220238349A1 (en) | Polymerization protective liner for reactive ion etch in patterning | |
WO2024006088A1 (en) | Integrated high aspect ratio etching | |
TW202335032A (zh) | 高深寬比電漿蝕刻中的含金屬表面之改質 |