KR20230149711A - 고 종횡비 플라즈마 에칭을 위한 금속 기반 라이너 보호 - Google Patents

고 종횡비 플라즈마 에칭을 위한 금속 기반 라이너 보호 Download PDF

Info

Publication number
KR20230149711A
KR20230149711A KR1020227045601A KR20227045601A KR20230149711A KR 20230149711 A KR20230149711 A KR 20230149711A KR 1020227045601 A KR1020227045601 A KR 1020227045601A KR 20227045601 A KR20227045601 A KR 20227045601A KR 20230149711 A KR20230149711 A KR 20230149711A
Authority
KR
South Korea
Prior art keywords
plasma
feature
protective film
deposition
substrate
Prior art date
Application number
KR1020227045601A
Other languages
English (en)
Inventor
그레고리 클링턴 베버
슈앙 피
태너 오젤
에릭 에이. 허드슨
칭 수
메렛 웡
아밋 무코파디아이
월터 토마스 랠스턴
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20230149711A publication Critical patent/KR20230149711A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32366Localised processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32908Utilities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • H01L21/32053Deposition of metallic or metal-silicide layers of metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

고 종횡비 피처들은 에칭 프로세스 및 증착 프로세스를 사용하여 기판에 형성된다. 부분적으로 에칭된 피처는 플라즈마 에칭 챔버에서 플라즈마에 노출에 의해 형성된다. 금속-기반 라이너가 동일한 플라즈마 에칭 챔버를 사용하여 부분적으로 에칭된 피처 내에 후속하여 증착된다. 금속-기반 라이너는 견고하고 후속 에칭 동작들에서 측방향 에칭을 방지한다. 금속-기반 라이너는 에칭 프로세스들을 위한 온도들 또는 압력들과 유사한 온도들 또는 압력들에서 증착될 수도 있다. 금속-기반 라이너는 부분적으로 에칭된 피처의 특정한 부분들에 국부화될 수도 있다. 에칭은 금속-기반 라이너가 증착되는 영역들에서 측방향 에칭 없이 증착 후 피처 내에서 진행된다.

Description

고 종횡비 플라즈마 에칭에 대한 금속-기반 라이너 보호
반도체 디바이스들의 제조 동안 빈번하게 채용된 일 프로세스는 에칭된 피처의 형성이다. 이러한 프로세스가 발생할 수도 있는 예시적인 맥락들은 이로 제한되는 것은 아니지만, 메모리 애플리케이션들을 포함한다. 반도체 산업이 발전하고 디바이스 치수들이 더 작아짐에 따라, 이러한 피처들은 특히 좁은 폭들 및/또는 깊은 깊이들을 갖는 고 종횡비 피처들에 대해 균일한 방식으로 에칭하기가 점점 더 어려워진다.
본 명세서에 제공된 배경기술은 본 개시의 맥락을 일반적으로 제시할 목적들이다. 이 배경기술에 기술되는 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원 시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술 (description) 의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
참조로서 인용
PCT 신청 양식은 본 출원의 일부로서 본 명세서와 동시에 제출되었다. 본 출원이 동시에 제출된 PCT 신청 양식에서 식별된 바와 같이 우선권 또는 이익을 주장하는 출원 각각은 전체가 모든 목적들을 위해 참조로서 인용되었다.
피처의 측벽들 상에 보호 막을 증착하는 방법이 본 명세서에 제공된다. 방법은: (a) 플라즈마 에칭 챔버에서 제 1 플라즈마를 생성하고, 그리고 기판의 피처를 부분적으로 에칭하도록 기판을 제 1 플라즈마에 노출하는 단계; (b) 단계 (a) 후에, 하나 이상의 증착 반응 물질들을 사용하여 플라즈마 에칭 챔버의 피처의 측벽들 상에 보호 막을 증착하는 단계로서, 보호 막은 금속을 포함하는, 보호 막을 증착하는 단계; 및 (c) 단계 (b) 후에, 플라즈마 에칭 챔버 내에서 제 2 플라즈마를 생성하고, 그리고 기판의 피처를 부가적으로 에칭하도록 기판을 제 2 플라즈마에 노출하는 단계를 포함하고, 보호 막이 증착되는 영역들에서 보호 막은 단계 (c) 동안 피처의 측방향 에칭을 실질적으로 방지한다.
일부 구현 예들에서, 증착은 약 100 ℃ 이하의 증착 온도에서 발생한다. 증착 온도는 약 -100 ℃ 내지 약 -10 ℃일 수도 있다. 제 1 플라즈마에 대한 기판의 노출 동안 에칭 온도는 증착 온도와 동일하거나 실질적으로 동일할 수도 있다. 일부 구현 예들에서, 금속은 텅스텐을 포함한다. 일부 구현 예들에서, 피처는 단계 (c) 후에 약 5 이상의 종횡비를 갖는다. 일부 구현 예들에서, 하나 이상의 증착 반응 물질들은 금속-함유 가스, 환원제, 불활성 가스, 및 불소-함유 가스를 포함한다. 금속-함유 가스는 텅스텐 헥사플루오라이드 (WF6), 레늄 헥사플루오라이드 (ReF6), 몰리브덴 헥사플루오라이드 (MoF6), 탄탈룸 펜타플루오라이드 (TaF5), 및 바나듐 플루오라이드 (VF5) 로 구성된 그룹으로부터 선택될 수도 있다. 환원제는 수소 (H2), 과산화수소 (H2O2), 메탄 (CH4), 실란 (SiH4), 보란 (BH3), 및 암모니아 (NH3) 로 구성된 그룹으로부터 선택될 수도 있다. 불소-함유 가스는 질소 트리플루오라이드 (NF3), 황 헥사플루오라이드 (SF6), 탄소 테트라플루오라이드 (CF4), 및 실리콘 테트라플루오라이드 (SiF4) 로 구성된 그룹으로부터 선택될 수도 있다. 일부 구현 예들에서, 피처의 측벽들 상의 보호 막의 국부화는 불소-함유 가스의 농도 및 RF 전력 중 하나 또는 모두에 적어도 부분적으로 기초한다. 일부 구현 예들에서, 피처의 측벽들 상의 보호 막의 두께 및 국부화 중 하나 또는 모두는 다음 증착 조건들: 노출 시간, 압력, 온도, 총 플로우 레이트, RF 전력, 환원제의 농도, 상기 불활성 가스의 농도, 및 상기 금속-함유 가스의 농도 중 하나 이상에 적어도 부분적으로 기초한다. 일부 구현 예들에서, 보호 막을 증착하는 단계는 하나 이상의 증착 반응 물질들을 포함하는 제 3 플라즈마를 생성하는 단계, 및 피처의 측벽들 상에 보호 막을 증착하도록 기판을 제 3 플라즈마에 노출하는 단계를 포함한다. 제 3 플라즈마는 저주파수 RF 컴포넌트를 사용하여 약 100 ㎑ 내지 약 2 ㎒의 저 주파수에서 생성될 수도 있다. 제 1 플라즈마는 하나 이상의 제 1 에칭 반응 물질들을 포함할 수도 있고, 여기서 제 3 플라즈마의 하나 이상의 증착 반응 물질들은 제 1 플라즈마의 하나 이상의 제 1 에칭 반응 물질들과 상이하다. 기판을 제 3 플라즈마에 노출할 때 RF 전력 및 노출 시간은 기판을 제 1 플라즈마에 노출할 때 RF 전력 및 노출 시간과 상이할 수도 있다. 일부 구현 예들에서, 기판은 상기 기판에서 에칭될 재료들의 하나 이상의 층들 위에 마스크를 포함하고, 상기 보호 막은 상기 마스크 상에 증착되지 않고 상기 피처의 상기 측벽들의 상당한 부분을 따라 컨포멀하게 증착된다. 일부 구현 예들에서, 보호 막은 피처의 측벽들의 중간 부분을 따라 컨포멀하게 증착된다. 일부 구현 예들에서, 방법은: (d) 피처의 최종 깊이에 도달할 때까지 단계 (b) 및 단계 (c) 를 반복하는 단계를 더 포함한다.
피처의 측벽들 상에 보호 막을 증착하는 방법이 또한 본 명세서에 제공된다. 방법은: (a) 플라즈마 에칭 챔버에서 제 1 플라즈마를 생성하고, 그리고 기판의 피처를 부분적으로 에칭하도록 기판을 제 1 플라즈마에 노출하는 단계; (b) 단계 (a) 후에, 하나 이상의 증착 반응 물질들을 사용하여 플라즈마 에칭 챔버의 피처의 측벽들 상에 보호 막을 증착하는 단계로서, 하나 이상의 증착 반응 물질들은 금속-함유 가스, 환원제, 불활성 가서, 및 불소-함유 가스를 포함하는, 보호 막을 증착하는 단계; 및 (c) 단계 (b) 후에, 플라즈마 에칭 챔버 내에서 제 2 플라즈마를 생성하고, 그리고 기판의 피처를 부가적으로 에칭하도록 기판을 제 2 플라즈마에 노출하는 단계를 포함하고, 보호 막이 증착되는 영역들에서 보호 막은 단계 (c) 동안 피처의 측방향 에칭을 실질적으로 방지한다. 일부 구현 예들에서, 금속-함유 가스는 텅스텐 헥사플루오라이드 (WF6), 레늄 헥사플루오라이드 (ReF6), 몰리브덴 헥사플루오라이드 (MoF6), 탄탈룸 펜타플루오라이드 (TaF5), 및 바나듐 플루오라이드 (VF5) 로 구성된 그룹으로부터 선택된다. 일부 구현 예들에서, 환원제는 수소 (H2), 과산화수소 (H2O2), 메탄 (CH4), 실란 (SiH4), 보란 (BH3), 및 암모니아 (NH3) 로 구성된 그룹으로부터 선택된다. 일부 구현 예들에서, 불소-함유 가스는 질소 트리플루오라이드 (NF3), 황 헥사플루오라이드 (SF6), 탄소 테트라플루오라이드 (CF4), 및 실리콘 테트라플루오라이드 (SiF4) 로 구성된 그룹으로부터 선택된다. 일부 구현 예들에서, 피처의 측벽들 상의 보호 막의 국부화는 불소-함유 가스의 농도 및 RF 전력 중 하나 또는 모두에 적어도 부분적으로 기초한다. 일부 구현 예들에서, 보호 막을 증착할 때 증착 온도는 약 100 ℃ 이하이다. 일부 구현 예들에서, 피처는 단계 (c) 후에 약 5 이상의 종횡비를 갖는다.
피처의 측벽들 상에 보호 막을 증착하기 위한 장치가 또한 본 명세서에 제공된다. 장치는 플라즈마 에칭 챔버, 기판을 지지하기 위한 플라즈마 에칭 챔버 내의 기판 지지부, 및 제어기를 포함한다. 제어기는 다음의 동작들: (a) 플라즈마 에칭 챔버 내에서 제 1 플라즈마를 생성하고, 기판의 피처를 부분적으로 에칭하도록 기판을 제 1 플라즈마에 노출시키는 동작; (b) 상기 동작 (a) 후에, 하나 이상의 증착 반응 물질들을 사용하여 플라즈마 에칭 챔버의 피처의 측벽들 상에 보호 막을 증착하는 동작, 보호 막은 금속을 포함함; 및 동작 (a) 후에, 하나 이상의 증착 반응 물질들을 사용하여 플라즈마 에칭 챔버 내의 피처의 측벽들 상에 보호 막을 증착하는 동작을 수행하기 위한 인스트럭션들로 구성되고, 여기서 보호 막은 금속을 포함한다.
일부 구현 예들에서, 보호 막을 증착하기 위한 인스트럭션들로 구성된 제어기는 약 100 ℃ 이하의 증착 온도에서 보호 막을 증착하기 위한 인스트럭션들로 구성된다. 일부 구현 예들에서, 하나 이상의 증착 반응 물질들은 금속-함유 가스, 환원제, 불활성 가스, 및 불소-함유 가스를 포함한다. 일부 구현 예들에서, 보호 막을 증착하기 위한 인스트럭션들로 구성된 제어기는 하나 이상의 증착 반응 물질들을 포함하는 제 3 플라즈마를 생성하고, 피처의 측벽들 상에 보호 막을 증착하도록 기판을 제 3 플라즈마에 노출시키기 위한 인스트럭션들로 구성된다. 일부 구현 예들에서, 피처는 단계 (c) 후에 약 5 이상의 종횡비를 갖는다.
피처의 측벽들 상에 보호 막을 증착하기 위한 장치가 또한 본 명세서에 제공된다. 장치는 플라즈마 에칭 챔버, 기판을 지지하기 위한 플라즈마 에칭 챔버 내의 기판 지지부, 및 제어기를 포함한다. 제어기는 다음 동작들: (a) 플라즈마 에칭 챔버 내에 제 1 플라즈마를 생성하고, 기판의 피처를 부분적으로 에칭하도록 기판을 제 1 플라즈마에 노출하는 동작, (b) 동작 (a) 후에, 하나 이상의 증착 반응 물질들을 사용하여 플라즈마 에칭 챔버 내의 피처의 측벽들 상에 보호 막을 증착하는 동작, 하나 이상의 증착 반응 물질들은 금속-함유 가스, 환원제, 불활성 가스, 및 불소-함유 가스를 포함하고, 보호 막은 금속을 포함함; 및 (c) 동작 (b) 후에, 플라즈마 에칭 챔버 내에 제 2 플라즈마를 생성하고, 기판의 피처를 부가적으로 에칭하도록 기판을 제 2 플라즈마에 노출하는 동작을 수행하기 위한 인스트럭션들로 구성되고, 동작 (b) 에서 증착된 보호 막은 동작 (c) 동안 보호 막이 증착되는 영역들에서 피처의 측방향 에칭을 실질적으로 방지한다.
도 1은 측벽들의 오버-에칭으로 인해 바람직하지 않은 보우를 갖는 에칭된 피처의 단면 개략도를 도시한다.
도 2는 기판 내에 에칭된 피처를 형성하는 방법에 대한 흐름도를 도시한다.
도 3a 내지 도 3e는 기판 내에 에칭된 피처를 형성하는 다양한 프로세싱 스테이지들의 단면 개략도들을 도시한다.
도 4는 일부 구현 예들에 따라 기판 내에 에칭된 피처를 형성하는 예시적인 방법의 흐름도를 예시한다.
도 5a 내지 도 5d는 일부 구현 예들에 따라 금속-기반 라이너를 사용하여 에칭된 피처를 형성하는 다양한 프로세싱 스테이지들의 단면 개략도들을 도시한다.
도 6a 및 도 6b는 일부 구현 예들에 따라 개선된 테이퍼를 위해 금속-기반 라이너를 사용하여 에칭된 피처를 형성하는 다양한 프로세싱 스테이지들의 단면 개략도들을 도시한다.
도 7은 일부 구현 예들에 따라 에칭된 피처의 측벽들 상에 형성된 금속-기반 라이너를 갖는 에칭된 피처의 단면 개략도를 도시한다.
도 8a 내지 도 8c는 일부 구현 예들에 따라 본 명세서에 기술된 플라즈마 에칭 프로세스 및 플라즈마 증착 프로세스를 수행하도록 사용될 수도 있는 반응 챔버를 예시한다.
도 9는 일부 구현 예들에 따라 본 명세서에 기술된 플라즈마 에칭 프로세스 및 플라즈마 증착 프로세스를 수행하도록 사용될 수도 있는 반응 챔버를 예시한다.
도 10은 본 명세서에 기술된 다양한 플라즈마 에칭 동작 및 플라즈마 증착 동작의 구현에 적합한 예시적인 멀티-스테이션 프로세싱 툴의 개략적인 예시를 도시한다.
도 11은 본 명세서에 기술된 프로세스들의 구현 예들에 적합한, 이송 모듈과 인터페이싱하는 플라즈마 에칭 모듈들을 갖는 예시적인 반도체 프로세스 클러스터 툴 아키텍처의 개략도를 도시한다.
본 개시 (disclosure) 에서, 용어들 "반도체 웨이퍼", "웨이퍼", "기판", "웨이퍼 기판", 및 "부분적으로 제조된 집적 회로 (partially fabricated integrated circuit)"는 상호 교환 가능하게 사용된다. 당업자는 용어 "부분적으로 제조된 집적 회로"가 집적 회로 제조의 많은 스테이지들 중 임의의 스테이지 동안의 실리콘 웨이퍼를 지칭할 수 있다는 것을 이해할 것이다. 반도체 디바이스 산업계에서 사용된 웨이퍼 또는 기판은 통상적으로 200 ㎜, 또는 300 ㎜, 또는 450 ㎜의 직경을 갖는다. 이하의 상세한 기술 (description) 은 본 개시가 웨이퍼 상에서 구현된다는 것을 가정한다. 그러나, 본 개시는 이렇게 제한되지 않는다. 워크피스 (work piece) 는 다양한 형상들, 사이즈들, 및 재료들일 수도 있다. 반도체 웨이퍼들에 더하여, 본 발명의 장점을 취할 수도 있는 다른 워크피스들은 인쇄 회로 기판들, 자기 기록 매체, 자기 기록 센서들, 미러들, 광학 엘리먼트들, 마이크로-기계 디바이스들, 등과 같은 다양한 물품들을 포함한다.
특정한 반도체 디바이스들의 제조는 재료들의 하나 이상의 층들 내로 피처들을 에칭하는 것을 수반한다 (involve). 하나 이상의 층들은 재료의 단일 층 또는 재료들의 스택일 수도 있다. 일부 경우들에서 스택은 유전체 재료의 교번하는 층들 예컨대, 실리콘 나이트라이드 및 실리콘 옥사이드의 교번하는 층들을 포함한다. 에칭된 피처는 고 종횡비를 가질 수도 있다. 일 예시적인 에칭된 피처는 실린더이다. 이러한 에칭된 피처들의 종횡비가 계속해서 상승함에 따라, 재료들의 하나 이상의 층들의 피처들을 에칭하는 것이 점점 더 어려워진다. 고 종횡비 피처들의 에칭 동안 발생하는 일 문제는 불균일한 에칭 프로파일이다. 즉, 피처들은 곧은 하향 (straight downward) 방향으로 에칭되지 않는다. 대신, 피처들의 측벽들은 종종 에칭된 피처의 중간 부분이 피처의 상단 부분 및/또는 하단 부분보다 넓도록 (즉, 더 에칭되도록) 보우한다 (bow). 피처들의 중간 부분 근방에서 이 오버-에칭은 남아 있는 재료의 절충된 구조적 및/또는 전자적 무결성을 발생시킬 수 있다. 외향으로 보잉하는 피처의 부분은 총 피처 깊이의 상대적으로 작은 부분, 또는 상대적으로 더 큰 부분을 점유할 수도 있다. 외향으로 보잉하는 피처의 부분은 피처의 임계 치수 (critical dimension; CD) 가 최대인 곳이다. 임계 치수는 미리 결정된 (given) 스팟 (spot) 에서 피처의 직경에 대응한다. 피처의 다른 곳에서, 예를 들어 피처의 하단부에서 또는 하단부 근방의 CD와 거의 동일한 피처의 최대 CD가 대체로 바람직하다.
어떠한 이론 또는 작용 메커니즘에도 얽매이지 않고, 실린더형 또는 다른 피처의 중간 부분에서의 오버-에칭은 실린더의 측벽들이 에칭으로부터 불충분하게 보호되기 때문에 적어도 부분적으로 발생한다고 여겨진다. 이하의 논의는 때때로 실린더형들을 지칭하지만, 개념들은 직사각형들, 및 다른 다각형들과 같은 다른 피처 형상들에 적용된다. 종래의 에칭 화학 물질은 종종 재료들의 하나 이상의 층들에 피처들을 형성하기 위해 플루오로카본 에천트들을 활용한다. 플루오로카본 에천트들은 플라즈마 노출에 의해 여기되고, 이는 예를 들어 CF, CF2, 및 CF3를 포함하는 다양한 플루오로카본 단편들의 형성을 발생시킨다. 반응성 플루오로카본 단편들은 이온들의 도움으로 피처의 하단부에서 재료들의 하나 이상의 층들을 에칭 제거한다 (etch away). 다른 플루오로카본 단편들은 에칭될 피처의 측벽들 상에 증착되어, 보호 폴리머 측벽 코팅을 형성한다. 이 보호 측벽 코팅은 피처의 측벽들과 반대로 피처의 하단부에서 우선적인 에칭을 촉진한다. 이 측벽 보호 없이, 피처는 측벽 보호가 불충분한 더 넓은 에칭/피처 폭을 갖는 불균일한 프로파일을 가정하기 시작한다.
측벽 보호는 특히 고 종횡비 피처들에서 달성하기 어렵다. 이 어려움에 대한 일 이유는 기존의 플루오로카본-기반 프로세스들이 에칭될 피처 내에서 깊은 보호 폴리머 측벽 코팅을 형성할 수 없다는 것이다.
도 1은 측벽들의 오버-에칭으로 인해 바람직하지 않은 보우를 갖는 에칭된 피처의 단면 개략도를 도시한다. 피처 (102) 는 패터닝된 마스크 층 (106) 으로 코팅된 기판 층 (103) 내에 에칭된다. 보호 폴리머 측벽 코팅 (104) 은 피처 (102) 의 상단 부분 근방에 집중된다. CxFy 화학 물질은 피처 (102) 를 수직으로 에칭하기 위한 에칭 반응 물질(들), 뿐만 아니라 보호 폴리머 측벽 코팅 (104) 을 형성하는 반응 물질(들) 모두를 제공한다. 보호 폴리머 측벽 코팅 (104) 이 피처 (102) 내로 깊게 연장하지 않기 때문에 (즉, 측벽 상에 불충분한 증착이 있음), 피처 (102) 의 중간 부분은 피처 (102) 의 상단 부분보다 더 넓어진다. 피처 (102) 의 더 넓은 중간 부분은 보우 (105) 로 지칭된다. 보우 (105) 는 보우 영역에서의 피처 (102) 의 임계 치수와 보우 영역 아래의 피처 (102) 의 임계 치수 사이의 비교의 관점에서 수치적으로 기술될 수 있다. 보우 (105) 는 거리 (예를 들어, 피처 (102) 의 가장 넓은 부분의 임계 치수 - 보우 아래 피처 (102) 의 가장 좁은 부분의 임계 치수) 의 면에서 또는 비/백분율 (피처 (102) 의 가장 넓은 부분의 임계 치수/보우 (105) 아래 피처 (102) 의 가장 좁은 부분의 임계 치수) 의 면에서 수치적으로 보고될 수도 있다. 이 보우 (105) 및 관련된 불균일한 에칭 프로파일은 바람직하지 않다. 이 타입의 에칭 프로세스에서 종종 사용되는 고 이온 에너지들 때문에, 고 종횡비들의 피처들을 에칭할 때 보우들이 종종 생성된다. 일부 애플리케이션들에서, 약 5만큼 낮은 종횡비들에서도 보우들이 생성된다. 이와 같이, 종래의 플루오로카본 에칭 화학 물질은 통상적으로 재료들의 하나 이상의 층들에 상대적으로 낮은 종횡비 피처들을 형성하는 것으로 제한된다. 일부 최신 애플리케이션들은 종래의 에칭 화학 물질로 달성될 수 있는 것보다 더 높은 종횡비들을 갖는 피처들을 필요로 한다.
기판 내에 피처들을 에칭하는 것은 일반적으로 플라즈마-기반 에칭 프로세스들을 수반한다. 피처 형성은 스테이지들: 재료들의 하나 이상의 층들의 에칭으로 지향된 일 스테이지 및 재료들의 하나 이상의 층들을 실질적으로 에칭하지 않고 보호 측벽 코팅 형성으로 지향된 또 다른 스테이지에서 발생할 수도 있다. 보호 측벽 코팅은 측벽들을 패시베이팅하고 피처가 오버-에칭되는 것을 방지한다. 즉, 보호 측벽 코팅은 피처의 측방향 에칭을 방지한다.
2 개의 주요 프로세싱 스테이지들 (에칭 및 증착) 은 피처가 최종 깊이로 에칭될 때까지 반복될 수도 있다. 이들 2 스테이지들을 순환시킴으로써, 피처의 직경은 피처의 전체 깊이에 걸쳐 제어될 수 있고, 이에 따라 더 균일한 직경들 및 개선된 프로파일들을 갖는 피처들을 형성한다.
피처는 기판 표면의 리세스이다. 피처들은 실린더형들, 직사각형들, 정사각형들, 다른 다각형 리세스들, 트렌치들, 홀들, 그루브들 (grooves), 등을 포함하지만, 이로 제한되지 않는, 많은 상이한 형상들을 가질 수 있다.
종횡비들은 피처의 임계 치수 (종종 폭/직경) 에 대한 피처의 깊이의 비교이다. 예를 들어, 2 ㎛의 깊이 및 50 ㎚의 폭을 갖는 실린더형은 40:1의 종횡비를 갖고, 종종 40으로 더 간단하게 언급된다. 피처가 피처의 깊이에 걸쳐 불균일한 임계 치수를 가질 수도 있기 때문에, 종횡비는 측정되는 곳에 따라 가변할 수 있다. 예를 들어, 때때로 에칭된 실린더는 상단 부분 및 하단 부분보다 더 넓은 중간 부분을 가질 수도 있다. 이 더 넓은 중간 섹션은 보우로 지칭될 수도 있다. 실린더의 상단부 (즉, 넥) 의 임계 치수에 기초하여 측정된 종횡비는 실린더의 더 넓은 중간/보우의 임계 치수에 기초하여 측정된 종횡비보다 더 높을 것이다. 본 명세서에 사용된 바와 같이, 종횡비들은 달리 언급되지 않는 한, 피처의 개구부에 근접한 임계 치수에 기초하여 측정된다.
본 개시의 방법들을 통해 형성된 피처들은 고 종횡비 피처들일 수도 있다. 일부 애플리케이션들에서, 고 종횡비 피처는 적어도 약 5:1, 적어도 약 10:1, 적어도 약 20:1, 적어도 약 30:1, 적어도 약 40:1, 적어도 약 50:1, 적어도 약 60:1, 적어도 약 80:1, 또는 적어도 약 100:1의 종횡비를 갖는 피처이다. 본 개시의 방법들을 통해 형성된 피처들의 임계 치수는 약 200 ㎚ 이하, 예를 들어 약 100 ㎚ 이하, 약 50 ㎚ 이하, 또는 약 20 ㎚ 이하일 수도 있다.
피처가 형성되는 재료들의 하나 이상의 층들은 유전체 재료, 전도성 재료, 및/또는 반도체 재료를 포함할 수도 있다. 유전체 재료들의 비-제한적인 예들은 실리콘 옥사이드들, 실리콘 나이트라이드들, 실리콘 카바이드들, 옥시나이트라이드들, 옥시카바이드들, 카보-나이트라이드들, 이들 재료들의 도핑된 (예를 들어, 붕소, 인, 등으로 도핑된) 버전들, 및 이들 재료들의 임의의 조합들로부터의 라미네이트들을 포함한다. 특정한 예시적인 재료들은 SiO2, SiN, SiON, SiOC, SiCN, 등의 화학량론적 및 비-화학량론적 제제들 (formulations) 을 포함한다. 본 개시의 방법들에 대한 일 애플리케이션은 DRAM 디바이스를 형성하는 맥락에 있다. 피처는 주로 실리콘 옥사이드 또는 2 개의 실리콘 나이트라이드 층들 사이에 샌드위치된 (sandwich) 실리콘 옥사이드 층에서 에칭될 수도 있다. 개시된 방법들에 대한 또 다른 애플리케이션은 수직 NAND (VNAND, 또한 3D NAND로 지칭됨) 디바이스 형성의 맥락에 있다. 피처는 옥사이드 (예를 들어, SiO2) 및 나이트라이드 (예를 들어, SiN) 의 교번하는 층들, 또는 옥사이드 (예를 들어, SiO2) 및 폴리실리콘의 교번하는 층들에 에칭될 수도 있다.
도 2는 기판 내에 에칭된 피처를 형성하는 방법에 대한 흐름도를 도시한다. 블록 (201) 에서, 피처는 재료들의 하나 이상의 층들 및 패터닝된 마스크 층을 갖는 기판에서 제 1 깊이로 에칭된다. 이 제 1 깊이는 피처의 최종 목표된 깊이의 분율 (fraction) 일 뿐이다. 일부 구현 예들에서, 피처를 에칭하기 위해 사용된 화학 물질은 플루오로카본-기반 화학물질 (CxFy) 일 수도 있다. 그러나, 다른 에칭 화학 물질들이 사용될 수도 있다. 블록 (201) 에서의 에칭 동작은 제 1 측벽 코팅의 형성을 발생시킬 수도 있다. 제 1 측벽 코팅은 도 1과 관련하여 기술된 바와 같이, 폴리머 측벽 코팅일 수도 있다. 제 1 측벽 코팅은 제 1 깊이를 향해 연장할 수도 있지만, 제 1 측벽 코팅은 통상적으로 피처의 하단부에 실제로 도달하지 않는다.
플루오로카본-기반 코팅은 피처의 측벽들 상에 특정한 플루오로카본 종/단편들이 증착될 때 플루오로카본-기반 화학 물질로부터 간접적으로 형성될 수도 있다 (예를 들어, 특정한 플루오로카본 종은 측벽 코팅을 위한 전구체들이다). 어떠한 이론에도 제한되지 않고, 제 1 측벽 코팅이 피처의 하단에 도달하지 않는 일 이유는 제 1 측벽 코팅을 형성하는 전구체들의 부착 계수와 관련될 수도 있다. 특정한 에천트들에 대해, 제 1 측벽 코팅 전구체들의 부착 계수가 너무 높고, 이는 실질적으로 대부분의 전구체 분자들로 하여금 피처에 들어간 직후 측벽들에 부착되게 한다고 여겨진다. 이와 같이, 소수의 측벽 코팅 전구체 분자들은 측벽 보호가 유리한 피처 내로 깊이 침투할 수 있다. 따라서 제 1 측벽 코팅은 피처의 측벽들의 오버-에칭에 대한 부분적인 보호만을 제공한다.
에칭을 위해 사용된 반응 챔버는 예를 들어 CA, Fremont 소재의 Lam Research Corporation으로부터 입수 가능한 2300® Flex™ 제품군으로부터의 Flex™ 반응 챔버일 수도 있다.
프로세스 (200) 는 에칭 프로세스가 중단되는 블록 (203) 에서 계속된다. 에칭이 중단된 후, 제 2 측벽 코팅이 블록 (205) 에서 증착된다. 일부 경우들에서, 제 2 측벽 코팅은 제 1 측벽 코팅보다 더 효과적일 수도 있다. 증착은 이로 제한되는 것은 아니지만, 플라즈마 보조될 수도 있고 아닐 수도 있는 CVD (chemical vapor deposition) 방법 및 ALD (atomic layer deposition) 방법을 포함하는 다양한 반응 메커니즘들을 통해 발생할 수도 있다. ALD 방법들은 피처들의 측벽들을 라이닝하는 컨포멀한 막들을 형성하는데 특히 잘 맞을 수도 있다. 예를 들어, ALD 방법들은 이러한 방법들의 흡착-구동된 특성으로 인해 피처들 내로 깊이 반응 물질들을 전달하는데 유용하다. 제 2 측벽 코팅을 증착하도록 선택된 방법은 보호 막이 에칭된 피처 내로 깊이 형성되게 해야 한다.
다양한 경우들에서, 제 2 측벽 코팅은 컨포멀한 막을 발생시키는 순환적 프로세스들을 통해 형성될 수도 있다. 제 2 측벽 코팅이 플라즈마 보조된 ALD를 통해 증착되는 일부 실시 예들에서, 블록 (205) 에서의 증착은 (a) 반응 챔버 내로 저 부착 계수 반응 물질을 흘리고, 반응 물질로 하여금 기판의 표면 상에 흡착하게 하여, 흡착된 전구체 층을 형성하는 단계, (b) 선택 가능하게 (optionally) 반응 챔버를 퍼지하는 단계, (예를 들어, 퍼지 가스로 스윕핑하거나, 반응 챔버를 배기하거나, 모두에 의해), (c) 제 2 측벽 코팅의 층을 형성하기 위해 표면 반응을 구동하도록 산소-함유 및/또는 질소-함유 반응 물질로부터 생성된 플라즈마에 기판을 노출하는 단계, (d) 선택 가능하게 반응 챔버를 퍼지하는 단계, 및 (e) 제 2 측벽 코팅의 부가적인 층들을 형성하도록 단계 (a) 내지 단계 (d) 를 반복하는 단계를 포함할 수도 있다. 전구체 흡착 및 막 형성은 목표된 두께를 갖는 막을 형성하도록 여러 번 순환될 수도 있다.
다른 경우들에서, 제 2 측벽 코팅은 CVD를 통해 증착될 수도 있다. 이러한 경우들에서, 블록 (205) 에서의 증착은 선택 가능하게 기판을 플라즈마에 노출하는 동안, 선택 가능하게 공-반응 물질 (예를 들어, 산소-함유 반응 물질, 질소-함유 반응 물질, 탄소-함유 반응 물질, 붕소-함유 반응 물질, 등) 과 함께 반응 챔버 내로 반응 물질을 흘리는 것을 포함할 수도 있다. 플라즈마는 제 2 측벽 코팅의 증착을 발생시키는 가스상 (gas phase) 반응을 구동할 수도 있다.
제 2 측벽 코팅을 증착하도록 사용된 하나 이상의 반응 물질들은 특히 낮은 부착 계수 및/또는 손실 계수를 가질 수도 있다. 종래의 에칭 프로세스들에 채용된 것과 같은 플루오로카본 종은 상대적으로 높은 부착 계수들을 갖고, 따라서 측벽들에 처음으로 충돌하는 피처의 상단부 근방에 더 집중된다. 이에 비해, 더 낮은 부착 계수들을 갖는 종은 이들이 측벽들의 상단 근방의 표면 상에 충돌하더라도, 충돌 각각 동안 흡착될 가능성이 적고, 따라서 피처의 하단 부분에 도달할 확률이 더 크다.
흡착-기반 ALD 방법들은 반응 물질이 피처의 전체 측벽들을 실질적으로 코팅할 때까지 전달될 수 있기 때문에 에칭된 피처의 하단에 도달하는 제 2 측벽 코팅을 형성하는데 특히 적합하다. 반응 물질의 단일 층 (monolayer) 만이 통상적으로 사이클 각각 동안 표면 상에 흡착하기 때문에, 반응 물질은 피처의 상단부 근방에 축적되지 (build up) 않는다. 또한, (플라즈마 증착 방법들과 반대되는) 열 증착 방법들은 일반적으로 기판에 걸쳐보다 균일한 증착 결과들을 달성하고, 피처 내에서 더 컨포멀한 결과들을 달성하기 때문에 유리하다.
증착을 위해 사용된 반응 챔버는 모두 Lam Research Corporation으로부터 입수 가능한 Vector® 제품군 또는 Altus® 제품군으로부터의 챔버일 수도 있다. 제 2 측벽 코팅을 증착하도록 사용된 반응 챔버는 ALTUS® 제품군 (이로 제한되는 것은 아니지만 ALTUS® DirectFill™ Max 또는 ALTUS® ICE를 포함함) 으로부터의 반응기일 수도 있다.
프로세스 (200) 는 증착 프로세스가 중단되는 블록 (207) 에서 계속된다. 이어서 프로세스 (200) 는 블록 (211) (블록 (201) 과 유사) 에서 기판의 피처를 부분적으로 에칭하고, 블록 (213) (블록 (203) 과 유사) 에서, 에칭을 중단하고, 블록 (215) (블록 (205) 와 유사) 에서 부분적으로 에칭된 피처의 측벽들 상에 보호 코팅을 증착하고, 블록 (217) (블록 (207) 과 유사) 에서 증착을 중단하는 동작을 반복한다. 다음에, 블록 (219) 에서 피처가 완전히 에칭되었는지 여부가 결정된다. 피처가 완전히 에칭되지 않으면, 프로세스 (200) 는 보호 코팅들의 부가적인 에칭 및 증착을 사용하여 블록 (211) 의 동작으로부터 반복된다. 일단 피처가 완전히 에칭되면, 프로세스 (200) 가 완료된다.
블록 (201) 에서의 에칭 및 블록 (205) 에서의 보호 측벽 코팅 증착은 여러 번 순환적으로 반복될 수도 있다. 예를 들어, 이들 동작들은 각각 적어도 2 회, 예를 들어 적어도 3 회, 또는 적어도 5 회 발생할 수도 있다. 에칭 동작이 발생할 때마다, 에칭 깊이가 증가한다. 증착 동작 각각에서 증착된 제 2 측벽 코팅의 두께는 사이클들 사이에서 균일할 수도 있고, 또는 이러한 코팅들의 두께는 가변할 수도 있다. 사이클 각각 동안 제 2 측벽 코팅에 대한 예시적인 두께들은 약 1 ㎚ 내지 약 10 ㎚의 범위일 수도 있다. 일부 구현 예들에서, 제 2 측벽 코팅은 이중 층 (bilayer) 으로서 증착될 수도 있고, 이중 층의 서브 층들은 상이한 조성들을 가질 수도 있다.
현재 측벽 패시베이션 기법들은 특정한 종횡비들로 제한될 수도 있고 바람직하지 않은 스캘럽핑 (scalloping) 또는 계면 노칭 (interface notching) 을 야기할 수도 있다. 상기 논의된 바와 같이, 측벽 코팅 (예를 들어, 제 1 측벽 코팅) 의 증착은 에칭 프로세스 (예를 들어, 블록 (201)) 동안 발생할 수도 있다. 측벽 코팅은 특정한 종횡비까지만 효과적일 수도 있는 에칭된 피처들의 측벽들 상의 폴리머 종 또는 플루오로카본-기반 종을 포함할 수도 있다. 전구체 분자들은 피처의 상단 부분 근방에서 흡착하고 피처의 중간 부분 및/또는 하단 부분에서 보호를 거의 제공하지 않는다. 따라서, 폴리머 종 및/또는 플루오로카본-기반 종의 증착은 더 높은 종횡비들이 목표될 때 보잉에 대해 적절히 보호하지 못한다. 더욱이, 현재 에칭 프로세스들에서, 유해한 디바이스 수율들을 발생시킬 수 있는, 특정한 계면들에서 노치 결함 형성의 진전이 있다.
현재 측벽 패시베이션 기법들은 다단계 프로세싱 및 부가적인 장비 또는 챔버들을 필요로 할 수도 있고, 이에 따라 통합 복잡성을 증가시킨다. 상기 논의된 바와 같이, 측벽 코팅 (예를 들어, 제 2 측벽 코팅) 이 증착 프로세스 (예를 들어, 블록 (205)) 동안 발생할 수도 있다. 측벽 코팅은 CVD 프로세스 또는 ALD 프로세스를 사용하여 형성될 수도 있다. 이 측벽 코팅은 폴리머 종 또는 플루오로카본 종/단편들에 기초한 측벽 코팅보다 더 탄력적 (resilient) 일 수도 있지만, 이 측벽 코팅은 보통 고온들 및 압력들에서 형성되고, 일반적으로 엑스 시츄 (ex situ) 형성된다. 엑스 시츄 측벽 코팅은 에칭 챔버와 분리된 반응 챔버에서 형성된다. 즉, 이 측벽 코팅의 증착은 에칭이 에칭 챔버에서 수행되는 동안 증착 챔버에서 수행되고, 이에 따라 복수의 챔버들 및 이송들을 필요로 한다. 증착 및 에칭을 위한 별도의 챔버들의 사용은 프로세싱 시간, 프로세싱 단계들, 및 비용들을 증가시켜, 쓰루풋에 부정적인 영향을 준다. 더욱이, 분리된 챔버들의 사용은 일 챔버로부터 또 다른 챔버로 기판들을 이송하는 것을 필요로 하고, 이는 진공 파괴를 수반하고 (entail) 대기에 대한 노출 가능성을 상승시켜 표면 특성들의 개질을 유발한다. 이는 기판 상의 재료 기능성 및 무결성의 손실을 발생시킬 수도 있다.
인 시츄 (In Situ) 금속-기반 라이너 증착
고 종횡비 피처들의 플라즈마-기반 에칭 동안 몇몇 문제들이 발생할 수도 있다. 발생하는 일 문제는 불균일한 에칭 프로파일이다. 즉, 피처들은 곧은 하향 방향으로 에칭되지 않는다. 대신, 에칭 프로파일은 트위스트되고 줄무늬들을 갖고, 피처들의 하단부를 향해 더 두드러지는 고르지 않은 프로파일을 발생시킨다. 발생하는 또 다른 문제는 국부적인 임계 치수 불균일성이다. 트위스팅 (twisting), 줄무늬, 파형 (waviness), 표면 거칠기 및 보잉을 갖는 불균일한 에칭 프로파일들은 국부적인 임계 치수의 변동들을 유발할 수도 있다. 상기 제시된 바와 같은 현재 패시베이션 방법들은 에칭 프로파일을 "동결"시키고 오버-에칭의 효과들을 제한하기 위해 보호 측벽 코팅들을 증착함으로써 전술한 문제들을 해결하려고 시도한다. 그러나, 현재 패시베이션 방법들은 이러한 문제들을 완화하는데 효과적이지 않을 수도 있고 심지어 문제들의 일부를 악화시킬 수도 있다.
도 3a 내지 도 3e는 기판 내에 에칭된 피처를 형성하는 다양한 프로세싱 스테이지들의 단면 개략도들을 도시한다. 도 3a 내지 도 3e는 심지어 현재의 패시베이션 방법들도 여전히 불균일한 에칭 프로파일 및 국부적인 임계 치수 불균일성을 발생시킬 수 있다는 것을 도시한다. 도 3a에서, 기판 (300) 은 패터닝된 마스크 층 (302) 을 포함한다. 도 3b에서, 부분적으로 에칭된 피처 (304) 가 에칭 후에 형성된다. 에칭이 상대적으로 등방성이면 스캘럽핑이 발생할 수도 있다. 계면 노칭은 에칭 프론트가 상이한 재료들의 계면에 접근하고 국부적인 언더 컷팅을 발생시킨다면 발생할 수도 있다. 도 3c에서, 보호 막 (306) 은 부분적으로 에칭된 피처 (304) 의 측벽들을 따라 그리고 패터닝된 마스크 층 (302) 의 노출된 표면들을 따라 증착된다. 일반적으로, 보호 막 (306) 은 보호 막 (306) 이 원치 않은 재료와 비교하여 훨씬 더 느리게 에칭되도록 후속하는 에칭 동작에 사용된 에칭 화학 물질에 내성이 있는 재료로 이루어진다. 도 3d에서, 보호 막 (306) 의 부분들은 에칭의 결과로서 패터닝된 마스크 층 (302) 의 상단 표면들 및 부분적으로 에칭된 피처 (304) 의 하단 부분에서 제거될 수도 있다. 에칭은 상대적으로 이방성 (수직) 에칭일 수도 있고, 부분적으로 에칭된 피처의 측벽들 상 및 패터닝된 마스크 층 (302) 의 측벽들 상에 보호 막 (306) 을 남긴다. 도 3e에서, 에칭은 기판 (300) 의 부분적으로 에칭된 피처 (304) 를 통해 진행된다. 보호 막 (306) 은 아래에 놓인 재료들의 섀도잉을 유발할 수도 있어서, 부분적으로 에칭된 피처 (304) 의 불균일한 에칭 프로파일을 강조한다 (accentuate).
본 개시의 양태들은 측벽 패시베이션을 위해 인 시츄 금속-기반 라이너를 사용하는 고 종횡비 피처들의 플라즈마-기반 에칭에 관한 것이다. 인 시츄 금속-기반 라이너는 별도의 증착 챔버 대신 플라즈마 에칭 챔버 내에 증착된다. 동일한 플라즈마 에칭 챔버가 고 종횡비 피처를 에칭하고 금속-기반 라이너를 증착하도록 사용된다. 금속-기반 라이너는 피처의 측벽들의 부분들을 따라 컨포멀하게 증착될 수도 있고 측방향 에칭에 내성이 있을 수도 있다. 금속-기반 라이너는 에칭을 수행하기 위해 유사한 (comparable) 온도들에서 증착될 수도 있다. 일부 구현 예들에서, 금속-기반 라이너는 약 100 ℃ 이하의 온도 또는 심지어 극저온에서 증착될 수도 있다. 금속-기반 라이너의 국부화는 하나 이상의 증착 조건들을 조정함으로써 제어될 수도 있다. 예를 들어, 금속-기반 라이너의 국부화는 불소-함유 가스의 농도 및/또는 RF 전력(들)에 적어도 부분적으로 기초할 수도 있다. 일부 구현 예들에서, 금속-기반 라이너는 텅스텐을 포함한다. 금속-기반 라이너의 에칭 및 인 시츄 증착 사이클들은 피처의 목표된 깊이에 도달할 때까지 반복될 수도 있다.
도 4는 일부 구현 예들에 따라 기판 내에 에칭된 피처를 형성하는 예시적인 방법의 흐름도를 예시한다. 프로세스 (400) 의 동작들은 상이한 순서들로 그리고/또는 상이한, 더 적은 또는 부가적인 동작들과 함께 수행될 수도 있다. 프로세스 (400) 의 동작들은 도 8a 내지 도 8c 및 도 9에 도시된 바와 같이 플라즈마 에칭 장치 또는 플라즈마 에칭 챔버를 사용하여 수행될 수도 있고, 플라즈마 에칭 챔버는 도 10 및 도 11에 도시된 임의의 툴 아키텍처들로 구현될 수도 있다. 일부 구현 예들에서, 프로세스 (400) 의 동작들은 하나 이상의 비-일시적 컴퓨터 판독 가능 매체에 저장된 소프트웨어에 따라 적어도 부분적으로 구현될 수도 있다.
프로세스 (400) 의 블록 (410) 에서, 제 1 플라즈마는 플라즈마 에칭 챔버 내에서 선택 가능하게 생성되고 기판은 기판 내의 피처를 부분적으로 에칭하도록 제 1 플라즈마에 노출된다. 피처는 달성될 최종 깊이의 분율일 뿐인 제 1 깊이로 에칭된다. 기판은 에칭될 재료들의 하나 이상의 층들을 가질 수도 있다. 이러한 재료들은 유전체 재료들, 전도성 재료들, 반도체 재료들, 또는 이들의 조합들을 포함할 수도 있다. 예를 들어, 재료들의 하나 이상의 층들은 옥사이드 (oxide) 와 나이트라이드 (nitride) 의 교번하는 층들, 또는 옥사이드와 폴리실리콘의 교번하는 층들을 포함할 수 있다. 기판은 피처가 에칭될 위치를 규정하는 위에 놓인 마스크 층을 가질 수도 있다. 일부 구현 예들에서, 마스크 층은 실리콘 마스크와 같은 실리콘-함유 마스크이다. 일부 구현 예들에서, 마스크 층은 비정질 탄소 마스크와 같은 탄소-함유 마스크이다. 일부 구현 예들에서, 마스크 층은 텅스텐-함유 마스크와 같은 금속-함유 마스크이다.
제 1 플라즈마는 불소-기반 화학 물질로부터 생성될 수도 있다. 대안적으로, 제 1 플라즈마는 불소-기반 화학 물질을 포함하지 않을 수도 있다.
에칭은 에천트 화합물(들)을 플라즈마 에칭 챔버 내로 (종종 샤워헤드를 통해) 흘리고 에천트 화합물(들)로부터 제 1 플라즈마를 생성하는 것을 수반하는 반응성 이온 에칭 프로세스일 수도 있다. 일부 구현 예들에서, 제 1 플라즈마는 에천트 화합물(들)을 중성 종 및 이온 종 (예를 들어, CF, CF2, CF3과 같은 대전된 재료 또는 중성 재료) 으로 해리한다. 제 1 플라즈마는 용량 결합 플라즈마 (capacitively coupled plasma) 일 수도 있지만, 다른 타입들의 플라즈마가 적절하게 사용될 수도 있다. 제 1 플라즈마의 이온들은 기판을 향해 지향되고 재료들의 하나 이상의 층들로 하여금 충돌시 에칭 제거되게 한다. 제 1 플라즈마의 이온들은 재료들의 하나 이상의 층들을 통한 수직 에칭을 촉진한다.
일 예에서, 에칭 화학 물질은 하나 이상의 플루오로카본들 및/또는 수소를 포함한다. 비-통상적인 화학 물질들과 같이, 다른 종래의 에칭 화학 물질들이 사용될 수도 있다. 플루오로카본들은 약 0 sccm 내지 약 500 sccm, 예컨대 약 10 sccm 내지 약 200 sccm의 레이트로 흐를 수도 있다. 수소는 약 0 sccm 내지 약 500 sccm, 예컨대 약 100 sccm 내지 약 300 sccm의 레이트로 흐를 수도 있다. 본 명세서의 플로우 레이트들은 상이한 사이즈들의 에칭 챔버들에 대해 적절하게 스케일링될 수도 있고, 상이한 사이즈들의 기판들에 대한 기판 면적에 기초하여 선형으로 스케일링될 수도 있다.
일부 구현 예들에서, 에칭 동안 기판 온도는 약 100 ℃ 미만, 약 0 ℃ 미만, 또는 약 -150 ℃ 내지 약 100 ℃이다. 일부 구현 예들에서, 에칭 동안 압력은 약 5 mTorr 내지 약 400 mTorr 또는 약 10 mTorr 내지 약 100 mTorr이다. 다양한 경우들에서, 듀얼-주파수 RF 전력이 제 1 플라즈마를 생성하도록 사용된다. 따라서, RF 전력은 저주파수 컴포넌트 (예를 들어, 400 ㎑) 및 고주파수 컴포넌트 (예를 들어, 60 ㎒) 를 포함할 수도 있다. 상이한 전력들이 주파수 컴포넌트 각각에 제공될 수도 있다. 예를 들어, 저주파수 컴포넌트 (예를 들어, 400 ㎑) 는 약 0 ㎾ 내지 약 100 ㎾ 또는 약 2 ㎾ 내지 약 50 ㎾의 전력이 제공될 수도 있고, 고주파수 컴포넌트 (예를 들어, 60 ㎒) 는 더 낮은 전력, 예컨대 약 0 ㎾ 내지 약 80 ㎾ 또는 약 1 ㎾ 내지 약 10 ㎾의 전력이 제공될 수도 있다. 이들 전력 레벨들은 RF 전력이 단일 300 ㎜ 웨이퍼로 전달된다고 가정한다. 전력 레벨들은 부가적인 기판들 및/또는 다른 사이즈들의 기판들에 대한 기판 면적에 기초하여 선형으로 스케일링될 수 있다 (따라서 기판에 전달된 균일한 전력 밀도를 유지한다).
에칭 프로세스의 사이클 각각은 재료들의 하나 이상의 층들을 어느 정도 에칭한다. 사이클 각각 동안 에칭된 거리는 약 10 ㎚ 내지 약 2000 ㎚ 또는 약 50 ㎚ 내지 약 500 ㎚일 수도 있다.
에칭 프로세스는 일부 경우들에서 폴리머 측벽 코팅과 같은 최초 측벽 코팅을 생성할 수도 있다. 이러한 폴리머 측벽 코팅은 상기 기술되었다. 최초 측벽 코팅은 에칭 프로세스와 동시에 증착될 수도 있다. 최초 측벽 코팅은 피처의 측벽들의 부분들을 따라 증착될 수도 있고, 최초 측벽 코팅은 흡착된 플루오로카본 종/단편들로부터 형성될 수도 있다. 그러나, 최초 측벽 코팅의 깊이는 피처의 상부 부분 근방의 영역들로 제한될 수도 있고 또는 에칭된 피처의 특정한 길이들을 커버하지 않는 영역들로 제한될 수도 있다. 최초 측벽 코팅은 금속-기반 라이너만큼 다양한 에칭 화학 물질에 내성이 없을 수도 있다.
도 5a는 제 1 에칭 후 기판의 부분적으로 에칭된 피처의 단면 개략도를 도시한다. 부분적으로 에칭된 피처 (502) 가 기판 (500) 을 통해 형성될 수도 있다. 부분적으로 에칭된 피처 (502) 는 고 종횡비를 가질 수도 있고, 여기서 부분적으로 에칭된 피처 (502) 는 약 5:1 이상, 약 10:1 이상, 약 20:1 이상, 약 50:1 이상, 또는 약 100:1 이상의 종횡비를 갖는다. 부분적으로 에칭된 피처 (502) 는 플라즈마 에칭 챔버에서 플라즈마-기반 에칭 프로세스를 사용하여 형성될 수도 있다. 플라즈마-기반 에칭은 불소-기반 화학 물질을 사용할 수도 있다. 일부 구현 예들에서, 에칭 부산물들 (504) 은 부분적으로 에칭된 피처 (502) 의 측벽들의 부분들을 따라 형성될 수도 있다. 에칭 부산물들 (504) 은 플루오르화된 폴리머들과 같은 하나 이상의 폴리머들을 포함할 수도 있다. 에칭 부산물들 (504) 은 부분적으로 에칭된 피처 (502) 의 측벽들을 따라 불균일하게 증착될 수도 있다. 그러나, 에칭 부산물들 (504) 이 측벽들을 따라 증착되지 않거나 에칭 부산물들이 측벽들을 충분히 보호하지 않는 일부 부분들에서, 보잉이 발생할 수도 있다. 도 5a에 도시된 바와 같이, 에칭 부산물들 (504) 은 측벽들의 상단 부분 및 하단 부분을 따른 에칭을 견디도록 (resist) 측벽 코팅을 형성할 수도 있다. 보우 (506) 는 부분적으로 에칭된 피처 (502) 로 하여금 상단 부분 및 하단 부분에서보다 중간 부분에서 더 넓게 하도록 측벽들의 중간 부분에 형성될 수도 있다. 부분적으로 에칭된 피처 (502) 는 측벽들의 하단 부분에서 테이퍼질 수도 있다. 부분적으로 에칭된 피처 (502) 는 기판 (500) 내의 콘택트 플러그 (508) 에 도달하도록 연장하지 않는다.
도 4를 다시 참조하면, 일부 구현 예들에서, 폴리머 측벽 코팅이 선택 가능하게 제거되는 프로세스 (400) 가 계속된다. 블록 (410) 에서 피처를 형성하기 위한 에천트 화학 물질과 상이한 에천트 화학 물질이 폴리머 측벽 코팅을 제거하도록 도포된다. 에천트 화학 물질은 기판의 재료들의 하나 이상의 층들을 제거하지 않고 플루오로카본 종/단편들을 선택적으로 제거할 수도 있다. 피처는 폴리머 측벽 코팅을 선택적으로 제거하기 위해 플라즈마 에칭 챔버 내에서 플라즈마에 노출될 수도 있다. 그러나, 일부 구현 예들에서 프로세스 (400) 는 블록 (410) 에 예시된 바와 같이 폴리머 측벽 코팅의 제거 동작, 또는 제 1 플라즈마를 사용한 부분적인 에칭 동작 및 폴리머 측벽 코팅의 제거 동작을 포함하지 않을 수도 있다는 것이 이해될 것이다. 오히려, 달성될 최종 깊이의 분율인 제 1 깊이로 리세스된 피처를 포함하는, 플라즈마 에칭 챔버 내에 기판이 제공되는, 프로세스 (400) 가 시작될 수도 있다. 리세스된 피처를 갖는 기판은 인입하는 전처리된 기판으로서 플라즈마 에칭 챔버에 의해 수용될 수도 있다.
도 5b는 에칭 부산물들의 제거 후 부분적으로 에칭된 피처의 단면 개략도를 도시한다. 도 5b에서, 에칭 부산물들 (504) 은 부분적으로 에칭된 피처 (502) 내의 금속-기반 라이너의 증착 전에 선택 가능하게 제거된다. 에칭 부산물들 (504) 은 부분적으로 에칭된 피처 (502) 의 측벽들로부터 선택적으로 제거될 수도 있다. 에칭 부산물들 (504) 의 선택적인 제거 동안, 부분적으로 에칭된 피처 (502) 는 더 이상 에칭되지 않는다.
도 4를 다시 참조하면, 프로세스 (400) 의 블록 (420) 에서, 보호 막이 하나 이상의 증착 반응 물질들을 사용하여 플라즈마 에칭 챔버 내 피처의 측벽들 상에 증착되고, 여기서 보호 막은 금속을 포함한다. 일부 구현 예들에서, 증착은 약 100 ℃ 이하의 증착 온도에서 발생할 수도 있다. 일부 예들에서, 증착 온도는 에칭 온도와 동일하거나 유사할 수도 있다. 예를 들어, 증착 온도는 심지어 약 -100 ℃ 내지 약 0 ℃일 수도 있다. "증착 온도"는 증착 동안 유지되는 기판 지지부 온도, 페데스탈 온도, 또는 정전 척 온도를 지칭하는 것으로 이해될 수도 있다. 피처를 에칭하기 위해 사용된 플라즈마 에칭 챔버는 보호 막을 증착하기 위한 플라즈마 에칭 챔버와 동일하다. 따라서, 에칭 및 증착은 인 시츄 수행되고, 이는 증착 및 에칭이 동일한 반응 챔버 내에서 수행된다는 것을 의미한다. 보호 막은 또한 인 시츄 보호 막, 보호 측벽 코팅, 금속-기반 라이너, 또는 인 시츄 금속-기반 라이너로 지칭될 수도 있다. 보호 막의 인 시츄 증착은 부가적인 기판 이송들 및 세정 시간과 연관된 프로세싱 시간 및 비용들을 감소시킨다. 보호 막의 인 시츄 증착은 기판을 원치 않은 재료들, 분위기, 및/또는 수분에 노출시킬 수도 있는, 기판 이송들 사이의 진공 브레이크를 방지한다. 독립형 증착 및 세정 툴들은 또한 보호 막의 인 시츄 증착에 의해 고 종횡비 에칭에서 제거될 수도 있다.
보호 막을 증착하기 전에, 기판은 플라즈마 에칭 챔버 내에 수용될 수도 있다. 기판은 제 1 깊이로 리세스된 피처를 포함할 수도 있다. 또는, 기판은 보호 막을 증착하기 전에 블록 (410) 에 기술된 바와 같이 플라즈마 에칭 챔버 내에서 부분적인 에칭을 겪을 수도 있다.
보호 막은 금속-함유 막이다. 금속-함유 막들은 실리콘 옥사이드, 붕소 나이트라이드, 및 하이드로카본 폴리머들과 같은 다른 타입들의 막들과 비교하여 개선된 에칭 내성/측벽 보호를 제공하는 것으로 도시되었다. 보호 막에 포함될 수도 있는 예시적인 금속들은 텅스텐 (W), 몰리브덴 (Mo), 레늄 (Re), 바나듐 (V), 및 탄탈룸 (Ta) 을 포함하지만 이로 제한되지 않는다. 일부 구현 예들에서, 보호 막은 텅스텐을 포함한다. 일부 경우들에서, 보호 막은 질소, 탄소, 실리콘, 산소, 수소, 또는 이들의 조합들을 더 포함할 수도 있다. 따라서, 보호 막은 금속 카바이드, 금속 나이트라이드, 금속 실리사이드, 또는 금속 옥사이드일 수도 있다. 일부 다른 경우들에서, 보호 막은 금속성이다. 보호 막이 금속성이면, 보호 막은 실질적으로 원소 금속을 포함하고, 보호 막의 적어도 95 atomic%는 원소 금속이다.
금속-함유 막은 많은 경우들에서 높은 정도의 컨포멀성으로 증착될 수도 있다. 다양한 금속-함유 막들이 실리콘 옥사이드 및 다른 실리콘-함유 막 및 붕소-함유 막보다 더 높은 컨포멀성으로 증착될 수 있다. 이 개선된 컨포멀성은 적어도 피처의 상단부가 증착/에칭 스테이지들 동안 차단될 가능성을 감소시키기 때문에 유리하다.
통상적으로, 보호 측벽 코팅으로서 기능하는 금속-기반 라이너들은 엑스 시츄 형성된다. 엑스 시츄 형성될 때, 금속-기반 라이너는 플라즈마 에칭 챔버로부터 분리된 증착 챔버를 사용하여 증착된다. 엑스 시츄 금속-기반 라이너들은 종종 원자 층 증착 프로세스 또는 화학적 기상 증착 프로세스에 의해 형성된다. 이러한 증착 프로세스들은 통상적으로 적어도 150 ℃, 적어도 180 ℃, 적어도 200 ℃ 또는 적어도 250 ℃인 고온에서 동작한다. 예를 들어, 엑스 시츄 증착 프로세스들은 종종 약 200 ℃ 내지 약 600 ℃ 또는 약 200 ℃ 내지 약 400 ℃에서 실행된다. 이러한 엑스 시츄 증착 프로세스들은 기판 가열 제어들을 필요로 할 수도 있고, 이는 하드웨어 비용들 및 복잡성을 부가할 수 있다.
에칭 온도들은 일반적으로 증착 온도들보다 더 낮다. 본 개시에서, 보호 막은 에칭 온도들과 유사한 온도에서 플라즈마 에칭 챔버 내에 형성될 수도 있다. 이러한 온도들은 부가적인 기판 가열 제어들로부터 보조 없이 달성될 수도 있다. 보호 막은 약 150 ℃ 이하, 약 100 ℃ 이하, 또는 약 40 ℃ 이하, 또는 약 0 ℃ 미만의 온도에서 플라즈마 에칭 챔버 내에서 형성된다. 상기 논의된 바와 같이, 이러한 온도는 기판을 지지하는 기판 지지부, 페데스탈, 또는 정전 척에서 유지된 온도에 따라 측정될 수도 있다. 일부 구현 예들에서, 보호 막은 극저온에서 플라즈마 에칭 챔버 내에 형성된다. 예를 들어, 보호 막은 약 -100 ℃ 내지 약 -10 ℃의 온도에서 형성된다.
일반적으로 말하면, 엑스 시츄 형성된 금속-기반 라이너는 인 시츄 형성된 금속-기반 라이너보다 더 높은 챔버 압력들을 필요로 할 수도 있다. 엑스 시츄 형성된 금속-기반 라이너는 적어도 약 400 mTorr의 압력으로 증착될 수도 있다. 그러나, 본 개시의 금속-기반 라이너는 에칭 프로세스들과 유사한 압력들로 인 시츄 형성된다. 일부 구현 예들에서, 보호 막의 인 시츄 증착 동안 압력은 약 5 mTorr 내지 약 400 mTorr, 약 5 mTorr 내지 약 300 mTorr, 약 5 mTorr 내지 약 200 mTorr, 또는 약 10 mTorr 내지 약 50 mTorr이다.
본 개시의 보호 막은 하나 이상의 증착 반응 물질들 또는 증착 전구체들을 사용하여 피처의 측벽들 상에 증착된다. 증착은 플라즈마-기반 프로세스일 수도 있다. 결과적으로, 보호 막을 증착하는 단계는 하나 이상의 증착 반응 물질들의 플라즈마를 생성하는 단계, 및 피처의 측벽들 상에 보호 막을 증착하도록 기판을 플라즈마에 노출하는 단계를 포함할 수도 있다. 일부 구현 예들에서, 플라즈마는 용량 결합 플라즈마일 수도 있다. 일부 다른 구현 예들에서, 플라즈마는 유도 결합 플라즈마, 리모트로 생성된 플라즈마, 마이크로파 플라즈마, 등일 수도 있다. 일부 구현 예들에서, 플라즈마는 저주파수 (LF) 컴포넌트들 및 고주파수 (HF) 컴포넌트들과 같은 듀얼-주파수 컴포넌트들을 사용하여 생성될 수도 있다. 제 1 에칭이 제 1 플라즈마를 사용하여 수행되고 측벽 패시베이션 후 제 2 에칭이 제 2 플라즈마를 사용하여 수행되는 경우, 보호 막의 증착을 위해 형성된 플라즈마는 "제 3 플라즈마"로 지칭될 수도 있다.
블록 (420) 에서의 증착 화학 물질은 블록 (410) 에서의 에칭 화학 물질과 상이하다. 제 1 플라즈마가 하나 이상의 에칭 반응 물질들을 포함하는 경우, 보호 막을 증착하기 위한 플라즈마의 하나 이상의 증착 반응 물질들은 하나 이상의 에칭 반응 물질들과 상이하다. 일부 구현 예들에서, 하나 이상의 증착 반응 물질들은 금속 플루오라이드와 같은 금속-함유 가스를 포함한다. 예시적인 금속-함유 가스들은 텅스텐 헥사플루오라이드 (WF6), 레늄 헥사플루오라이드 (ReF6), 몰리브덴 헥사플루오라이드 (MoF6), 탄탈룸 펜타플루오라이드 (TaF5), 및 바나듐 플루오라이드 (VF5) 를 포함할 수도 있지만, 이로 제한되지 않는다. 일부 구현 예들에서, 금속-함유 가스는 텅스텐 헥사플루오라이드와 같은 텅스텐-함유 가스이다. 개시된 금속-함유 가스들은 제한하는 것으로 의도되지 않는다. 다른 반응 물질들이 또한 당업자에 의해 공지된 바와 같이 사용될 수도 있다.
일부 구현 예들에서, 하나 이상의 증착 반응 물질들은 환원제를 포함한다. 어떠한 이론에도 제한되지 않고, 환원제는 금속-함유 가스를 "폴리머화 가능한 (polymerizable)" 모노머 소스로 전환하도록 (turn) 역할한다 (serve). 환원제는 중간 라디칼들을 형성하도록 금속-함유 가스를 환원시키고, 중간 라디칼들은 원소 금속 및/또는 금속-함유 나이트라이드, 실리사이드, 옥사이드, 또는 카바이드를 형성하도록 더 환원된다. 일 예로서, 텅스텐 헥사플루오라이드는 텅스텐 금속으로 환원될 수도 있다. 예시적인 환원제들은 이로 제한되는 것은 아니지만, 수소 (H2), 과산화수소 (H2O2), 메탄 (CH4), 실란 (SiH4), 보란 (BH3), 및 암모니아 (NH3) 를 포함할 수도 있다. 일부 구현 예들에서, 환원제는 수소를 포함한다. 개시된 환원제들은 제한하는 것으로 의도되지 않는다. 다른 환원제들이 또한 당업자에 의해 공지된 바와 같이 사용될 수도 있다.
일부 구현 예들에서, 하나 이상의 증착 반응 물질들은 불활성 가스 종을 포함한다. 불활성 가스 종은 금속-함유 가스 및 환원제와 함께 흐를 수도 있다. 일부 구현 예들에서, 보호 막의 증착은 불활성 가스 종 없이 발생할 수도 있다는 것이 이해될 것이다. 이온화된 불활성 가스 종은 보호 막의 증착을 위해 플라즈마에서 생성된다. 이온화된 불활성 가스 종은 보호 막의 이온 보조된 증착을 용이하게 할 수도 있다. 예시적인 불활성 가스 종은 아르곤 (Ar), 네온 (Ne), 크립톤 (Kr), 및 크세논 (Xe) 을 포함할 수도 있지만 이로 제한되지 않는다. 일부 구현 예들에서, 불활성 가스 종은 아르곤을 포함한다. 개시된 불활성 가스 종은 제한하는 것으로 의도되지 않는다. 다른 불활성 가스 종이 또한 당업자에 의해 공지된 바와 같이 사용될 수도 있다.
일부 구현 예들에서, 하나 이상의 증착 반응 물질들은 불소-함유 가스를 포함한다. 불소-함유 가스는 금속-함유 가스 및 환원제와 함께 흐를 수도 있다. 일부 구현 예들에서, 보호 막의 증착은 불소-함유 가스 없이 발생할 수도 있다는 것이 이해될 것이다. 일부 예들에서, 불소-함유 가스의 농도를 튜닝하는 것은 보호 막이 피처 내에서 형성되는 깊이 또는 위치에 영향을 준다. 불소-함유 가스들의 예들은 질소 트리플루오라이드 (NF3), 황 헥사플루오라이드 (SF6), 탄소 테트라플루오라이드 (CF4), 및 실리콘 테트라플루오라이드 (SiF4) 를 포함할 수도 있지만 이로 제한되지 않는다. 일부 구현 예들에서, 불소-함유 가스는 질소 트리플루오라이드를 포함한다. 개시된 불소-함유 가스들은 제한하는 것으로 의도되지 않는다. 다른 불소-함유 가스들이 또한 당업자에게 공지된 바와 같이 사용될 수도 있다.
하나 이상의 증착 반응 물질들의 플로우 레이트들은 플라즈마 에칭 챔버 내에서 보호 막의 증착을 최적화하도록 튜닝될 (tune) 수도 있다. 보호 막의 인 시츄 증착 동안, 금속-함유 가스의 플로우 레이트는 약 0.1 sccm 내지 약 20 sccm 또는 약 0.5 sccm 내지 약 10 sccm일 수도 있다. 환원제의 플로우 레이트는 약 10 sccm 내지 약 500 sccm 또는 약 20 sccm 내지 약 200 sccm일 수도 있다. 불활성 가스 종의 플로우 레이트는 약 0 sccm 내지 약 500 sccm 또는 약 0 sccm 내지 약 100 sccm일 수도 있다. 불소-함유 가스의 플로우 레이트는 약 0 sccm 내지 약 500 sccm 또는 약 0 sccm 내지 약 50 sccm일 수도 있다.
본 명세서에 사용된 바와 같이, 하나 이상의 증착 반응 물질들의 플로우 레이트들은 하나 이상의 증착 반응 물질들의 농도와 상호 교환 가능하게 사용될 수도 있다.
불소-함유 가스의 농도는 보호 막의 국부화를 제어하도록 튜닝될 수도 있다. 즉, 피처의 측벽들 상의 보호 막의 국부화는 불소-함유 가스의 농도에 적어도 부분적으로 기초할 수도 있다. 국부화는 피처의 측벽들을 따른 보호 막의 깊이 또는 포지션을 지칭할 수도 있다. 일부 경우들에서, 보호 막은 측방향 에칭으로부터 보잉이 발생하는 측벽들의 부분들을 따라 증착될 수도 있다. 이러한 방식으로, 보호 막은 추가의 측방향 에칭을 방지하도록 피처의 영역들 내에 포지셔닝되고/국부화될 수도 있다. 일부 경우들에서, 불소-함유 가스의 농도는 마스크 층 상에 보호 막의 증착을 허용하도록 제어될 수도 있다.
다양한 플라즈마 파라미터들은 플라즈마 에칭 챔버에서 보호 막의 증착을 최적화하도록 튜닝될 수도 있다. RF 전력 소스의 매칭 네트워크에 공급된 전력 및 주파수는 하나 이상의 증착 반응 물질들에 대한 플라즈마를 생성하기에 충분할 수도 있다. 플라즈마는 적어도 고주파수 컴포넌트를 사용하여 생성될 수도 있고, 고주파수 컴포넌트는 일반적으로 약 2 ㎒ 내지 약 60 ㎒ 또는 약 5 ㎒ 내지 약 60 ㎒일 수도 있다. 일부 구현 예들에서, 플라즈마는 또한 저주파수 컴포넌트를 사용하여 생성될 수도 있고, 여기서 저주파수 컴포넌트는 약 100 ㎑ 내지 약 2 ㎒ 또는 약 200 ㎑ 내지 약 1 ㎒이다. 일부 구현 예들에서, 플라즈마는 고주파수 컴포넌트 및 저주파수 컴포넌트 모두를 사용하여 생성된다. 일부 구현 예들에서, 저주파수 컴포넌트의 RF 전력은 약 0 W 내지 약 10,000 ㎾, 약 0 W 내지 약 100 ㎾, 또는 약 500 W 내지 약 10 ㎾이다. 일부 구현 예들에서, 고주파수 컴포넌트의 RF 전력은 약 0 W 내지 약 8000 ㎾, 약 500 W 내지 약 100 ㎾, 또는 약 500 W 내지 약 10 ㎾이다. 이들 전력 레벨들은 RF 전력이 단일 300 ㎜ 웨이퍼로 전달된다고 가정한다. 전력 레벨들은 부가적인 기판들 및/또는 다른 사이즈들의 기판들에 대한 기판 면적에 기초하여 선형으로 스케일링될 수 있다 (따라서 기판에 전달된 균일한 전력 밀도를 유지한다). RF 전력 소스로부터, 생성된 플라즈마는 펄싱 플라즈마 또는 연속파 플라즈마일 수도 있다. 일부 구현 예들에서, 기판은 보호 막을 증착하기 충분한 지속 기간 동안 플라즈마에 노출될 수도 있다. 일부 구현 예들에서, 기판을 플라즈마에 노출하는 노출 시간은 약 0.5 초 내지 약 1000 초, 약 2 초 내지 약 500 초 또는 약 5 초 내지 약 300 초일 수도 있다. 증착 동안 기판을 플라즈마에 노출하기 위한 RF 전력(들) 및 노출 시간은 에칭 동안 기판을 플라즈마에 노출하기 위한 RF 전력(들) 및 노출 시간과 상이할 수도 있다.
일부 구현 예들에서, 보호 막의 국부화는 RF 전력(들)에 의해 적어도 부분적으로 제어될 수도 있다. 달리 말하면, 피처의 측벽들 상의 보호 막의 국부화는 플라즈마를 생성하기 위해 인가된 RF 전력(들)에 적어도 부분적으로 기초할 수도 있다. RF 전력(들)을 조정하는 것은 보호 막의 국부화에 영향을 주기 위해 불소-함유 가스의 농도를 조정하는 것에 부가하여 또는 대안으로 사용될 수도 있다.
일부 구현 예들에서, 하나 이상의 공-반응 물질들이 선택 가능하게 하나 이상의 증착 반응 물질들과 함께 흐를 수도 있다. 보호 막의 증착을 위한 플라즈마는 하나 이상의 공-반응 물질들 및 하나 이상의 증착 반응 물질들을 포함하여 생성될 수도 있다. 플라즈마는 보호 막의 증착을 발생시키는 화학 반응을 구동할 수도 있다. 예시적인 공-반응 물질들은 메탄 (CH4), 질소 (N2), 실리콘 테트라클로라이드 (SiCl4), 실리콘 테트라플루오라이드 (SiF4), 및 실란 (SiH4) 을 포함하지만 이로 제한되지 않는다. 하나 이상의 공-반응 물질들을 통합함으로써, 증착된 보호 막은 금속 카바이드, 금속 나이트라이드, 또는 금속 실리사이드일 수도 있다.
보호 막의 증착 길이 및 두께는 다양한 증착 파라미터들에 의해 제어될 수도 있다. 피처의 측벽들 상에 증착된 보호 막의 길이 및 두께는 다음 증착 조건들: 노출 시간, 압력, 온도, 총 플로우 레이트, RF 전력(들), 환원제의 농도, 불활성 가스 종의 농도, 및 금속-함유 가스의 농도 중 하나 이상에 적어도 부분적으로 기초할 수도 있다. 이들 노브들은 보호 막의 가변하는 길이들 및 두께들을 달성하도록 튜닝될 수도 있다. 일부 구현 예들에서, 보호 막의 길이는 약 0.1 ㎛ 내지 약 8 ㎛ 또는 약 0.5 ㎛ 내지 약 5 ㎛이다. 일부 구현 예들에서, 보호 막의 평균 두께는 약 1 ㎚ 내지 약 5 ㎚ 또는 약 2 ㎚ 내지 약 5 ㎚이다. 보호 막의 두께는 피처 아래로 더 테이퍼링될 수도 있다. 일부 구현 예들에서, 보호 막의 평균 두께는 테이퍼링 전에 계산된다.
보호 막의 컨포멀성은 다양한 증착 파라미터들에 의해 제어될 수도 있다. 본 명세서에 사용된 바와 같이, 컨포멀성은 T1/T2로서 계산될 수도 있고, 여기서 T1은 보호 막의 특정한 길이의 중간 지점에서 막의 두께이고 T2는 보호 막의 가장 두꺼운 부분에서 막의 두께이다 (두 두께들 모두 측벽을 따라 측정됨). 보호 막의 길이는 컨포멀성이 상이한 깊이 범위들에서 상이할 수도 있도록 깊이 범위에 따라 측정될 수도 있다. 예를 들어, 보호 막은 0 ㎛ 내지 3.5 ㎛의 깊이 범위에 대해 적어도 약 90 %의 컨포멀성을 가질 수도 있고, 보호 막은 3.5 ㎛ 내지 4 ㎛의 적어도 약 50 %의 컨포멀성을 가질 수도 있다. 일부 구현 예들에서, 보호 막은 마스크 층 상에 증착되지 않고 피처의 측벽들의 상당한 부분을 따라 컨포멀하게 증착된다. 일부 구현 예들에서, 보호 막은 피처의 측벽들의 중간 부분을 따라 컨포멀하게 증착된다. 피처의 측벽들 상에 증착된 보호 막의 컨포멀성은 다음 증착 조건들: 노출 시간, 압력, 온도, 총 플로우 레이트, RF 전력(들), 환원제의 농도, 불활성 가스 종의 농도, 금속-함유 가스의 농도, 및 불소-함유 가스의 농도 중 하나 이상에 적어도 부분적으로 기초할 수도 있다. 이들 노브들은 보호 막의 가변하는 정도의 컨포멀성을 달성하도록 튜닝될 수도 있다.
도 5c는 금속-기반 라이너의 증착 후 부분적으로 에칭된 피처의 단면 개략도를 도시한다. 도 5c에서, 금속-기반 라이너 (510) 는 부분적으로 에칭된 피처 (502) 의 중간 부분에 증착된다. 금속-기반 라이너 (510) 는 인 시츄 증착될 수도 있고, 여기서 금속-기반 라이너 (510) 는 기판 (500) 을 에칭하기 위해 동일한 플라즈마 에칭 챔버를 사용하여 증착된다. 일부 구현 예들에서, 금속-기반 라이너 (510) 는 에칭에 유사한 온도들 및/또는 압력들에서 증착된다. 예를 들어, 금속-기반 라이너 (510) 는 약 150 ℃ 이하, 약 100 ℃ 이하, 약 0 ℃ 이하, 또는 약 -100 ℃ 내지 약 -10 ℃의 온도에서 증착될 수도 있고, 그리고 금속-기반 라이너 (510) 는 약 5 mTorr 내지 약 400 mTorr 또는 약 10 mTorr 내지 약 50 mTorr의 압력에서 증착될 수도 있다. 금속-기반 라이너 (510) 는 부분적으로 에칭된 피처 (502) 내에 보우 (506) 가 형성된 곳에 증착될 수도 있다. 금속-기반 라이너 (510) 의 국부화는 증착 동안 불소-함유 가스의 농도를 튜닝하고 그리고/또는 RF 전력(들)을 튜닝함으로써 제어될 수도 있다. 예를 들어, 금속-기반 라이너 (510) 는 부분적으로 에칭된 피처 (502) 의 상단 부분으로부터 이격되어 부분적으로 에칭된 피처 (502) 내로 더 깊게 형성될 수도 있다. 일부 구현 예들에서, 금속-기반 라이너 (510) 는 텅스텐과 같은 원소 금속을 포함한다. 금속-기반 라이너 (510) 는 다양한 에칭 화학 물질에 대해 견고하고 (robust) 매우 내성일 수도 있다. 금속-기반 라이너 (510) 는 적어도 금속-기반 라이너 (510) 가 증착되는 영역들에서 부분적으로 에칭된 피처 (502) 의 측방향 에칭을 방지하거나 실질적으로 방지하도록 기능한다. 이는 측벽들 또는 측벽들의 적어도 일부분들이 보호될 수 있고 에칭 프로세스가 기판 (500) 내로 더 깊게 에칭을 계속할 수 있다는 것을 보장한다.
도 4를 다시 참조하면, 프로세스 (400) 의 블록 (430) 에서, 제 2 플라즈마가 플라즈마 에칭 챔버 내에서 생성되고 기판은 기판의 피처를 부가적으로 에칭하기 위해 제 2 플라즈마에 노출되고, 보호 막이 증착되는 영역들에서 에칭 동안 보호 막은 피처의 측방향 에칭을 실질적으로 방지한다. 일부 구현 예들에서, 피처는 에칭 후 약 5 이상, 에칭 후 약 10 이상, 에칭 후 약 30 이상, 에칭 후 약 40 이상, 에칭 후 약 50 이상, 에칭 후 약 60 이상, 에칭 후 약 80 이상, 또는 에칭 후 약 100 이상의 종횡비를 갖는다. 제 2 플라즈마를 생성하기 위한 플라즈마 에칭 챔버는 보호 막을 증착하기 위한 그리고 제 1 플라즈마를 생성하기 위한 동일한 플라즈마 에칭 챔버이다. 증착 동작과 에칭 동작 사이에 진공 브레이크가 도입되지 않는다. 기판을 제 2 플라즈마에 노출시키는 것은 기판 내로 피처를 더 에칭하는 것을 계속한다. 피처가 목표된 최종 깊이로 완전히 에칭되지 않으면, 보호 막의 부가적인 에칭 동작 및 증착 동작이 반복될 수도 있다. 그렇지 않으면, 피처의 목표된 최종 깊이에 도달하면 에칭이 중단될 수도 있다.
제 2 플라즈마는 재료들의 하나 이상의 층들을 통해 에칭을 계속할 수도 있다. 에칭은 재료들의 하나 이상의 층들에 대해 선택적일 수도 있고 마스크 층 및 보호 막에 대해 비 선택적일 수도 있다. 제 2 플라즈마를 사용한 에칭은 약 7:1 초과, 약 10:1 초과, 또는 약 50:1 초과인 보호 막에 대한 재료들의 하나 이상의 층들에 대한 선택도를 가질 수도 있다. 보호 막은 재료들의 하나 이상의 층들이 보호 막보다 실질적으로 더 빠른 레이트로 에칭되도록 제 2 플라즈마를 사용한 에칭에 대해 강하게 내성이 있다.
에칭은 에천트 화합물(들)을 플라즈마 에칭 챔버 내로 (종종 샤워헤드를 통해) 흘리고 에천트 화합물 (들)로부터 제 2 플라즈마를 생성하는 것을 수반하는 반응성 이온 에칭 프로세스일 수도 있다. 제 2 플라즈마는에천트 화합물(들)을 중성 종 및 이온 종으로 해리시킨다. 제 2 플라즈마의 이온들은 기판을 향해 지향되고 재료들의 하나 이상의 층들로 하여금 충돌시 에칭 제거되게 한다. 제 2 플라즈마의 이온들은 재료들의 하나 이상의 층들을 통한 수직 에칭을 촉진한다.
보호 막이 에칭에 강하게 내성이기 때문에, 제 2 플라즈마를 사용한 에칭은 매우 공격적일 수도 있다. 이는 보호 막의 증착 후 에칭을 위해 더 큰 프로세스 윈도우들을 개방한다. 따라서, 제 2 플라즈마를 사용한 에칭은 제 1 플라즈마를 사용한 에칭보다 더 공격적인 화학 물질, 더 높은 온도, 더 높은 압력, 및/또는 더 높은 RF 전력(들)을 가질 수도 있다.
제 2 플라즈마는 불소-기반 화학 물질로부터 생성될 수도 있다. 예를 들어, 제 2 플라즈마는 하나 이상의 플루오로카본들, 하나 이상의 공-반응 물질들, 수소-함유 반응 물질, 또는 이들의 조합들로부터 생성될 수도 있다. 일부 구현 예들에서, 제 2 플라즈마의 에천트 화학 물질은 제 1 플라즈마의 에천트 화학 물질과 상이할 수도 있다. 또는, 제 2 플라즈마의 에천트 화학 물질은 제 1 플라즈마의 에천트 화학 물질과 동일할 수도 있다. 부가적으로 또는 대안적으로, 제 2 플라즈마의 에천트 화학 물질은 더 높은 농도의 더 공격적인 반응 물질들을 가질 수도 있다. 예를 들어, 제 2 플라즈마의 에천트 화학 물질은 더 높은 농도의 더 공격적인 반응 물질들을 포함할 수도 있고 그리고/또는 제 2 플라즈마의 에천트 화합물(들)의 플로우 레이트들은 제 1 플라즈마의 에천트 화합물(들)의 플로우 레이트들과 상이할 수도 있다. 제 2 플라즈마는 더 큰 수직 에칭 레이트를 제공하도록 바이어스될 수도 있고, 마스크 층이 상대적으로 느린 레이트로 에칭되도록 마스크 층에 대해 매우 선택적일 수도 있다.
일부 구현 예들에서, 제 2 플라즈마를 사용한 에칭 동안 기판 지지부 온도는 제 1 플라즈마를 사용한 에칭 동안과 동일하거나 상이할 수도 있다. 예를 들어, 기판 지지부 온도는 제 2 플라즈마를 사용한 에칭 동안 더 높을 수도 있다. 일부 구현 예들에서, 제 2 플라즈마를 사용한 에칭 동안 압력은 제 1 플라즈마를 사용한 에칭 동안과 동일하거나 상이할 수도 있다. 예를 들어, 압력은 제 2 플라즈마를 사용한 에칭 동안 더 높을 수도 있다. 일부 구현 예들에서, 제 2 플라즈마를 사용한 에칭 동안 RF 전력(들)은 제 1 플라즈마를 사용한 에칭 동안과 동일하거나 상이할 수도 있다. 구체적으로, 저주파수 컴포넌트 및 고주파수 컴포넌트 모두에 대한 RF 전력(들)은 제 2 플라즈마를 사용한 에칭 동안 더 높을 수도 있다.
일부 구현 예들에서, 제 2 플라즈마를 사용한 에칭은 피처를 목표된 최종 깊이로 연장할 수도 있다. 제 2 플라즈마로 에칭된 거리는 제 1 플라즈마로 에칭된 거리보다 더 클 수도 있다. 총 에칭 깊이는 특정한 애플리케이션에 종속될 것이다. DRAM 경우들에 대해, 총 에칭 깊이는 약 1 ㎛ 내지 약 3 ㎛일 수도 있다. VNAND 경우들에 대해, 총 에칭 깊이는 약 2 ㎛ 내지 약 7 ㎛ 이상일 수도 있다.
일부 구현 예들에서, 제 2 플라즈마를 사용한 에칭은 목표된 최종 깊이에 도달하지 않고 피처를 부분적으로 연장할 수도 있다. 따라서, 프로세스 (400) 는 피처의 최종 깊이에 도달할 때까지 블록 (420) 에서 증착 및 블록 (430) 에서 에칭을 반복함으로써 블록 (440) 으로 진행할 수도 있다. 일부 구현 예들에서, 증착 사이클 및 에칭 사이클은 피처의 최종 깊이에 도달할 때까지 적어도 1 회, 적어도 2 회, 또는 적어도 3 회 반복된다. 일부 구현 예들에서, 제 2 플라즈마를 사용한 에칭은 에칭 정지부와 같은 재료들의 부가적인 층들을 통한 오버-에칭을 포함할 수도 있다. 일부 구현 예들에서, 제 2 플라즈마를 사용한 에칭은 피처의 더 깊은 에칭을 촉진할 수도 있고 또한 보호 막이 증착되지 않는 영역들에서 일부 측방향 에칭을 촉진할 수도 있다. 이러한 예들에서, 피처의 하단 또는 다른 곳에서 CD가 증가될 수도 있다.
도 5d는 제 2 에칭 후 기판의 완전히 에칭된 피처의 단면 개략도를 도시한다. 피처 (512) 는 기판 (500) 을 통해 목표된 깊이로 형성될 수도 있다. 피처 (512) 는 약 10:1 이상, 약 20:1 이상, 약 50:1 이상, 또는 약 100:1 이상의 고 종횡비 피처를 달성하도록 종횡비를 확장할 수도 있다. 피처 (512) 는 플라즈마 에칭 챔버에서 플라즈마-기반 에칭 프로세스를 사용하여 도 5a 내지 도 5c의 부분적으로 에칭된 피처 (502) 로부터 연장함으로써 형성된다. 금속-기반 라이너 (510) 는 플라즈마-기반 에칭 프로세스에 내성이 있고 피처 (512) 의 측방향 에칭을 제한한다. 금속-기반 라이너 (510) 는 평활-벽 피처가 형성되게 하도록 피처 (512) 의 프로파일을 효과적으로 "동결"시킨다. 금속-기반 라이너 (510) 는 제 2 에칭이 진행됨에 따라 스캘럽핑, 보잉, 및 계면 노칭이 발생하는 것을 방지하거나 그렇지 않으면 제한할 수도 있다. 도 5d에 도시된 바와 같이, 제 2 에칭은 콘택트 플러그 (508) 가 피처 (512) 의 하단부에서 노출되도록 콘택트 플러그 (508) 에 도달한다. 금속-기반 라이너 (510) 는 선택적인 에칭 정지 층 또는 콘택트 플러그 (508) 상의 오버-에칭 동안 테이퍼 프로파일을 개선한다. 금속-기반 라이너 (510) 가 증착되면, 측방향 에칭이 제한되거나 실질적으로 방지된다. 이는 제 2 에칭으로 하여금 피처 (512) 내로 더 깊게 진행되게 하면서 또한 금속-기반 라이너 (510) 가 증착되지 않는 곳에서 측방향 에칭을 허용한다. 일부 구현 예들에서, 피처 (512) 의 하단부에서 CD를 개방하도록 피처 (512) 의 측방향 에칭이 하단부에서 진행될 수도 있다는 것이 이해될 것이다.
도 6a 및 도 6b는 일부 구현 예들에 따라 개선된 테이퍼를 위해 금속-기반 라이너를 사용하여 에칭된 피처를 형성하는 다양한 프로세싱 스테이지들의 단면 개략도들을 도시한다. 도 6a에서, 인 시츄 라이너 (610) 는 부분적으로 에칭된 피처 (602) 의 측벽들을 따라 증착된다. 인 시츄 라이너 (610) 는 부분적으로 에칭된 피처 (602) 를 에칭하기 위해 동일한 챔버를 사용하여 증착된다. 따라서, 통상적인 플라즈마 에칭 동작 범위들을 넘어서 온도 범위를 확장할 필요가 없고, 부가적인 미세 가공 단계들, 이송들, 또는 세정들을 도입할 필요가 없다. 인 시츄 라이너 (610) 는 에칭 부산물들 (604) 의 플루오로카본 폴리머 증착물들보다 더 견고하고 측방향 에칭에 내성이 있다. 이는 스캘럽핑, 보잉, 또는 계면 노칭 없이 더 높은 종횡비 에칭을 허용한다. 인 시츄 라이너 (610) 의 국부화 및 컨포멀성은 증착 가스 화학 물질 또는 다른 조건들을 튜닝함으로써 제어될 수도 있다. 이는 부분적으로 에칭된 피처 (602) 의 상단 근방에서 막히거나 과도하게 증착되지 않고 더 균일한 증착을 허용한다. 인 시츄 라이너 (610) 는 텅스텐과 같은 금속을 포함할 수도 있다. 인 시츄 라이너 (610) 는 부분적으로 에칭된 피처 (602) 의 측벽들을 따라 컨포멀하게 증착될 수도 있고 후속 에칭 동안 보호 측벽 코팅으로서 역할한다. 도 6b에서, 오버-에칭이 기판 (600) 을 통해 에칭을 계속하고 콘택트 플러그 (608) 를 노출하도록 수행된다. 고 종횡비 피처 (612) 가 오버-에칭 후에 형성된다. 인 시츄 라이너 (610) 는 오버-에칭 동안 측방향 에칭을 제한한다. 이는 인 시츄 라이너 (610) 없이 달리 형성될 피처보다 고 종횡비 피처 (612) 에서 더 균일하게 수직인 개선된 테이퍼링 및 개선된 프로파일을 제공한다.
도 7은 일부 구현 예들에 따라 에칭된 피처의 측벽들 상에 형성된 금속-기반 라이너를 갖는 에칭된 피처의 단면 개략도를 도시한다. 에칭된 피처 (702) 는 임계 치수 (예를 들어, 폭 또는 직경) 보다 실질적으로 더 큰 깊이를 갖는 고 종횡비 피처이다. 에칭된 피처 (702) 는 깊이가 적어도 2 ㎛일 수도 있고 임계 치수가 약 100 ㎚ 미만일 수도 있다. 에칭된 피처 (702) 는 마스크 (704) 에 의해 규정된 패턴에 따라 기판 (700) 내에 형성된다. 금속-기반 라이너 (710) 가 에칭된 피처 (702) 의 측벽들을 따라 형성된다. 도 7에 도시된 바와 같이, 금속-기반 라이너 (710) 는 마스크 (704) 상에 증착되지 않는다. 그러나, 증착 화학 물질, RF 전력(들), 또는 다른 증착 파라미터들은 마스크 (704) 상에 금속-기반 라이너 (710) 를 증착하도록 튜닝될 수도 있고, 이에 따라 마스크 보호를 제공한다. 금속-기반 라이너 (710) 의 증착은 에칭 프로세스들과 인 시츄 수행될 수도 있다. 금속-기반 라이너 (710) 는 텅스텐과 같은 금속을 포함할 수도 있다. 금속-기반 라이너 (710) 의 국부화는 불소-함유 가스와 같은 하나 이상의 증착 반응 물질들의 농도들을 튜닝함으로써 제어될 수도 있다. 금속-기반 라이너 (710) 의 국부화는 RF 전력(들)을 튜닝함으로써 부가적으로 또는 대안적으로 제어될 수도 있다. 금속-기반 라이너 (710) 의 깊이, 두께, 및 컨포멀성은 에칭 시간 및 압력, 온도, 총 플로우 레이트, RF 전력(들), 환원제의 플로우 레이트, 불활성 가스 종의 플로우 레이트, 금속-함유 가스의 플로우 레이트, 및 불소-함유 가스의 플로우 레이트와 같은 다른 증착 파라미터들에 의해 제어될 수도 있다. 금속-기반 라이너 (710) 가 대체로 컨포멀하지만, 금속-기반 라이너 (710) 의 두께는 특정한 깊이 후에 테이퍼링될 수도 있다.
장치
본 명세서에 기술된 방법들은 임의의 적합한 장치 또는 장치들의 조합에 의해 수행될 수도 있다. 적합한 장치는 프로세스 동작들을 달성하기 위한 하드웨어 및 본 발명에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 갖는 시스템 제어기를 포함한다. 예를 들어, 일부 실시 예들에서, 하드웨어는 프로세스 툴에 포함된 하나 이상의 프로세스 스테이션들을 포함할 수도 있다. 적어도 하나의 프로세스 스테이션은 에칭 스테이션이다. 에칭 및 증착은 본 개시에서 단일 스테이션/챔버에서 발생할 수도 있다.
도 8a 내지 도 8c는 일부 구현 예들에 따라 본 명세서에 기술된 플라즈마 에칭 프로세스 및 플라즈마 증착 프로세스를 수행하도록 사용될 수도 있는 반응 챔버를 예시한다. 반응 챔버는 본 명세서에 기술된 에칭 동작 및 증착 동작을 수행하기 위해 사용될 수도 있는 조정 가능한 갭 (gap) 용량 커플링 한정된 (confine) RF 플라즈마 반응기 (800) 의일 수도 있다. 도시된 바와 같이, 진공 챔버 (802) 는 하부 전극 (806) 을 하우징하는 내부 공간을 둘러싸는, 챔버 하우징 (804) 을 포함한다. 챔버 (802) 의 상부 부분에서 상부 전극 (808) 은 하부 전극 (806) 으로부터 수직으로 이격된다. 상부 전극 (808) 및 하부 전극 (806) 의 평면 표면들은 실질적으로 평행하고 전극들 사이의 수직 방향에 직교한다. 바람직하게 상부 전극 (808) 및 하부 전극 (806) 은 원형이고 수직 축에 대해 동축이다. 상부 전극 (808) 의 하부 표면은 하부 전극 (806) 의 상부 표면과 대면한다 (face). 이격된 대면 전극 표면들은 그 사이에 조정 가능한 갭 (810) 을 규정한다. 동작 동안, 하부 전극 (806) 은 RF 전력 공급부 (매칭부) (820) 에 의해 RF 전력이 공급된다. RF 전력은 RF 공급 도관 (822), RF 스트랩 (824) 및 RF 전력 부재 (826) 를 통해 하부 전극 (806) 에 공급된다. 접지 차폐부 (836) 는 하부 전극 (806) 에 더 균일한 RF 필드를 제공하도록 RF 전력 부재 (826) 를 둘러쌀 수도 있다. 전체 내용이 본 명세서에 참조로서 인용된, 공동으로 소유된 미국 특허 제 7,732,728 호에 기술된 바와 같이, 웨이퍼는 웨이퍼 포트 (882) 를 통해 삽입되고 프로세싱을 위해 하부 전극 (806) 상의 갭 (810) 내에 지지되고, 프로세스 가스가 갭 (810) 으로 공급되고 RF 전력에 의해 플라즈마 상태로 여기된다. 상부 전극 (808) 은 전력 공급되거나 (powered) 접지될 수 있다.
도 8a 내지 도 8c에 도시된 구현 예에서, 하부 전극 (806) 은 하부 전극 지지 플레이트 (816) 상에 지지된다. 하부 전극 (806) 과 지지 플레이트 (816) 사이에 개재된 (interpose) 절연체 링 (814) 은 지지 플레이트 (816) 로부터 하부 전극 (806) 을 절연한다.
RF 바이어스 하우징 (830) 은 RF 바이어스 하우징 보울 (bowl) (832) 상의 하부 전극 (806) 을 지지한다. 보울 (832) 은 RF 바이어스 하우징 (830) 의 암 (arm) (834) 에 의해 챔버 벽 플레이트 (818) 의 개구부를 통해 도관 지지 플레이트 (838) 에 연결된다. 일 구현 예에서, RF 바이어스 하우징 보울 (832) 및 RF 바이어스 하우징 암 (834) 은 일 컴포넌트로서 일체로 형성되지만, 암 (834) 및 보울 (832) 은 또한 함께 볼트 결합되거나 결합된 2 개의 별개의 컴포넌트들일 수 있다.
RF 바이어스 하우징 암 (834) 은 RF 전력 및 설비들, 예컨대 하부 전극 (806) 의 후면 상의 공간에서 진공 챔버 (802) 외부로부터 진공 챔버 (802) 내부로 가스 냉각제, 액체 냉각제, RF 에너지, 리프트 핀 제어를 위한 케이블들, 전기적 모니터링 및 작동 신호들을 통과시키기 위한 하나 이상의 중공형 통로들을 포함한다. RF 공급 도관 (822) 은 RF 바이어스 하우징 암 (834) 으로부터 절연되고, RF 바이어스 하우징 암 (834) 은 RF 전력 공급부 (820) 로의 RF 전력을 위한 리턴 경로를 제공한다. 설비 도관 (840) 은 설비 컴포넌트들을 위한 통로를 제공한다. 설비 컴포넌트들의 추가 상세들은 미국 특허 제 5,948,704 호 및 제 7,732,728 호는 기술의 간략함을 위해 본 명세서에 도시되지 않았다. 갭 (810) 은 바람직하게 한정 링 어셈블리 또는 슈라우드 (미도시) 에 의해 둘러싸이고, 그 세부 사항들은 본 명세서에 참조로서 인용된, 공동으로 소유된 공개된 미국 특허 제 7,740,736 호에서 발견될 수 있다. 진공 챔버 (802) 의 내부는 진공 포털 (880) 을 통해 진공 펌프에 연결함으로써 저압으로 유지된다.
도관 지지 플레이트 (838) 는 작동 메커니즘 (842) 에 부착된다. 작동 메커니즘의 세부 사항들은 본 명세서에 상기에 인용된, 공동으로 소유된 미국 특허 제 7,732,728 호에 기술된다. 서보 기계 모터, 스텝퍼 모터 등과 같은, 작동 메커니즘 (842) 은 예를 들어, 볼 스크루 및 볼 스크루를 회전시키기 위한 모터와 같은 스크루 기어 (846) 에 의해 수직 선형 베어링 (844) 에 부착된다. 갭 (810) 의 사이즈를 조정하기 위한 동작 동안, 작동 메커니즘 (842) 은 수직 선형 베어링 (844) 을 따라 이동한다. 도 8a는 작동 메커니즘 (842) 이 작은 갭 (810a) 을 발생시키는 선형 베어링 (844) 상의 높은 포지션에 있을 때의 배열을 예시한다. 도 8b는 작동 메커니즘 (842) 이 선형 베어링 (844) 상의 중간 포지션에 있을 때의 배열을 예시한다. 도시된 바와 같이, 하부 전극 (806), RF 바이어스 하우징 (830), 도관 지지 플레이트 (838), RF 전력 공급부 (820) 는 모두 챔버 하우징 (804) 및 상부 전극 (808) 에 대해 하부로 이동하여, 중간 사이즈의 갭 (810b) 을 발생시킨다.
도 8c는 작동 메커니즘 (842) 이 선형 베어링 상의 낮은 포지션에 있을 때 큰 갭 (810c) 을 예시한다. 바람직하게, 상부 전극 (808) 및 하부 전극 (806) 은 갭 조정 동안 동축으로 유지되고 그리고 갭에 걸친 상부 전극 및 하부 전극의 대면 표면들은 평행하게 유지된다.
이 구현 예는 예를 들어, 300 ㎜ 웨이퍼들 또는 평판 디스플레이들과 같은 큰 직경의 기판에 걸쳐 균일한 에칭을 유지하기 위해, 조정될 다단계 프로세스 레시피들 (BARC, HARC, 및 STRIP 등) 동안 CCP 챔버 (802) 내 하부 전극 (806) 과 상부 전극 (808) 사이의 갭 (810) 을 허용한다. 특히, 이 챔버는 하부 전극 (806) 과 상부 전극 (808) 사이에 조정 가능한 갭을 제공하기 위해 필요한 선형 운동을 허용하는 기계적 배열에 속한다.
도 8a는 도관 지지 플레이트 (838) 에 대한 근위 단부에서 그리고 챔버 벽 플레이트 (818) 의 단차진 (step) 플랜지 (828) 에 대해 원위 단부에서 시일링된 (seal), 측방향으로 편향된 (deflect) 벨로우즈 (850) 를 예시한다. 단차진 플랜지의 내경은 RF 바이어스 하우징 암 (834) 이 통과하는 챔버 벽 플레이트 (818) 내에 개구부 (812) 를 규정한다. 벨로우즈 (850) 의 원위 단부는 클램프 링 (852) 에 의해 클램핑된다.
측방향으로 편향된 벨로우즈 (850) 는 RF 바이어스 하우징 (830), 도관 지지 플레이트 (838) 및 작동 메커니즘 (842) 의 수직 이동을 허용하는 동안 진공 시일을 제공한다. RF 바이어스 하우징 (830), 도관 지지 플레이트 (838) 및 작동 메커니즘 (842) 은 캔틸레버 어셈블리 (cantilever assembly) 로 지칭될 수 있다. 바람직하게, RF 전력 공급부 (820) 는 캔틸레버 어셈블리와 함께 이동하고, 도관 지지 플레이트 (838) 에 부착될 수 있다. 도 8b는 캔틸레버 어셈블리가 중간 포지션에 있을 때 중립 포지션에 있는 벨로우즈 (850) 를 도시한다. 도 8c는 캔틸레버 어셈블리가 낮은 포지션에 있을 때 측방향으로 편향된 벨로우즈 (850) 를 도시한다.
래비린스 (labyrinth) 시일 (848) 이 벨로우즈 (850) 와 플라즈마 프로세싱 챔버 하우징 (804) 의 내부 사이에 입자 배리어를 제공한다. 고정된 차폐부 (856) 는 이동식 차폐 플레이트 (858) 가 캔틸레버 어셈블리의 수직 이동을 수용하도록 수직으로 이동하는 래비린스 그루브 (groove) (860) (슬롯) 을 제공하기 위해 챔버 벽 플레이트 (818) 에서 챔버 하우징 (804) 의 내측 벽 내부에 고정되게 부착된다. 이동식 차폐 플레이트 (858) 의 외측 부분은 하부 전극 (806) 의 모든 수직 포지션들에서 슬롯 내에 남아 있다.
도시된 구현 예에서, 래비린스 시일 (848) 은 래비린스 그루브 (860) 을 규정하는 챔버 벽 플레이트 (818) 내의 개구부 (812) 의 주변부에서 챔버 벽 플레이트 (818) 의 내측 표면에 부착된 고정된 차폐부 (856) 를 포함한다. 이동식 차폐 플레이트 (858) 는 부착되고 RF 바이어스 하우징 암 (834) 으로부터 방사상으로 연장하고, 여기서 하우징 암 (834) 은 챔버 벽 플레이트 (818) 내의 개구부 (812) 를 통과한다. 이동식 차폐 플레이트 (858) 는 제 1 갭만큼 고정된 차폐부 (856) 로부터 이격되고 그리고 제 2 갭만큼 챔버 벽 플레이트 (818) 의 내부 표면으로부터 이격되는 동안 래비린스 그루브 (860) 내로 연장하여, 캔틸레버 어셈블리로 하여금 수직으로 이동하게 한다. 래비린스 시일 (848) 은 진공 챔버 내부 (805) 로 벨로우즈 (850) 로부터 쪼개진 (spall) 입자들의 마이그레이션 (migration) 을 차단하고, 라디칼들이 후속하여 쪼개지는 증착물들을 형성할 수 있는 벨로우즈 (850) 로 프로세스 가스 플라즈마로부터의 라디칼들이 마이그레이팅하는 것을 차단한다.
도 8a는 캔틸레버 어셈블리가 높은 포지션에 있을 때 (작은 갭 (810a)) RF 바이어스 하우징 암 (834) 위의 래비린스 그루브 (860) 의 더 높은 포지션에 있는 이동식 차폐 플레이트 (858) 를 도시한다. 도 8c는 캔틸레버 어셈블리가 낮은 포지션에 있을 때 (큰 갭 (810c)) RF 바이어스 하우징 암 (834) 위의 래비린스 그루브 (860) 의 더 낮은 포지션에 있는 이동식 차폐 플레이트 (858) 를 도시한다. 도 8b는 캔틸레버 어셈블리가 중간 포지션에 있을 때 (중간 갭 (810b)) 래비린스 그루브 (860) 내의 중립 또는 중간 포지션에 있는 이동식 차폐 플레이트 (858) 를 도시한다. 래비린스 시일 (848) 이 RF 바이어스 하우징 암 (834) 을 중심으로 대칭인 것으로 도시되지만, 다른 구현 예들에서, 래비린스 시일 (848) 은 RF 바이어스 암 (834) 을 중심으로 비대칭적일 수도 있다.
도 9는 본 명세서에 기술된 에칭 방법 및 증착 방법을 구현하기 위해 구성된 다양한 반응기 컴포넌트들을 도시하는 단순한 블록도를 제공한다. 도시된 바와 같이, 반응기 (900) 는 반응기의 다른 컴포넌트들을 둘러싸고 접지된 히터 블록 (920) 과 함께 작동하는 샤워헤드 (914) 를 포함하는 용량-방전 타입 시스템에 의해 생성된 플라즈마를 담도록 기능하는 프로세스 챔버 (924) 를 포함한다. 고주파수 (high frequency; HF) 무선 주파수 (radio frequency; RF) 생성기 (904) 및 저 주파수 (low frequency; LF) 무선 주파수 (radio frequency; RF) 생성기 (902) 는 매칭 네트워크 (906) 및 샤워헤드 (914) 에 연결될 수도 있다. 매칭 네트워크 (906) 에 의해 공급된 전력 및 주파수는 프로세스 챔버 (924) 로 공급된 프로세스 가스들로부터 플라즈마를 생성하기에 충분할 수도 있다. 예를 들어, 매칭 네트워크 (906) 는 50 W 내지 500 W (예를 들어, 700 내지 7,100 W/㎡) 의 HFRF 전력을 제공할 수도 있다. 일부 예들에서, 매칭 네트워크 (906) 는 100 W 내지 5000 W (예를 들어, 1,400 내지 71,000 W/㎡) 의 HFRF 전력 및 100 W 내지 5000 W (예를 들어, 1,400 내지 71,000 W/㎡) 의 LFRF 전력 총 에너지를 제공할 수도 있다. 통상적인 프로세스에서, HFRF 컴포넌트는 일반적으로 5 ㎒ 내지 60 ㎒, 예를 들어, 일부 경우들에서 13.56 ㎒, 약 27 ㎒, 또는 약 60 ㎒일 수도 있다. LF 컴포넌트가 있는 동작들에서, LF 컴포넌트는 약 100 ㎑ 내지 2 ㎒, 예를 들어, 일부 경우들에서 약 430 ㎑ 또는 약 2 ㎒일 수도 있다.
반응기 내에서, 웨이퍼 페데스탈 (918) 은 기판 (916) 을 지지할 수도 있다. 웨이퍼 페데스탈 (918) 은 특정한 동작들 동안 그리고 증착 및/또는 플라즈마 처리 반응들 사이에 기판을 홀딩하고 이송하기 위해 척, 포크, 또는 리프트 핀들 (미도시) 을 포함할 수도 있다. 척은 정전 척, 기계식 척, 또는 산업계에서 및/또는 연구를 위해 사용될 수 있는 다양한 다른 타입들의 척일 수도 있다.
다양한 프로세스 가스들이 유입구 (912) 를 통해 도입될 수도 있다. 복수의 소스 가스 라인들 (910) 은 매니폴드 (908) 에 연결된다. 가스들은 미리 혼합될 수도 있고 또는 미리 혼합되지 않을 수도 있다. 적절한 밸브 및 질량 유량 제어 메커니즘들은 프로세스의 증착 및 플라즈마 에칭 페이즈들 동안 올바른 프로세스 가스들이 전달되는 것을 보장하도록 채용될 수도 있다. 화학적 전구체(들)가 액체 형태로 전달되는 경우, 액체 플로우 제어 메커니즘들이 채용될 수도 있다. 이어서 이러한 액체들은 기화될 수도 있고 그리고 증착 챔버에 도달하기 전에 액체 형태로 공급된 화학적 전구체의 기화 지점 이상으로 가열된 매니폴드에서 이송 동안 프로세스 가스들과 혼합될 수도 있다.
프로세스 가스들은 유출구 (922) 를 통해 챔버 (924) 를 나갈 수도 있다. 진공 펌프, 예를 들어, 1 또는 2 스테이지 기계적 건조 펌프 및/또는 터보 분자 펌프 (940) 는 프로세스 챔버 (924) 로부터 프로세스 가스들을 인출하고 쓰로틀 밸브 또는 펜듈럼 밸브와 같은 폐루프 제어된 플로우 제한 디바이스를 사용함으로써 프로세스 챔버 (924) 내에서 적절하게 저압을 유지하도록 사용될 수도 있다.
상기 논의된 바와 같이, 본 명세서에 논의된 증착 및 에칭 기법들은 멀티-스테이션 또는 단일 스테이션 툴 상에서 구현될 수도 있다. 특정한 구현 예들에서, 4-스테이션 증착 스킴을 갖는 300 ㎜ Lam VectorTM 툴 또는 6-스테이션 증착 스킴을 갖는 200 ㎜ SequelTM 툴이 사용될 수도 있다. 일부 구현 예들에서, 450 ㎜ 웨이퍼들을 프로세싱하기 위한 툴들이 사용될 수도 있다. 다양한 구현 예들에서, 웨이퍼들은 매 증착 및/또는 증착 후 플라즈마 처리 후에 인덱싱될 수도 있고, 또는 에칭 챔버들 또는 스테이션들이 또한 동일한 툴의 일부인 경우, 에칭 동작들 후에 인덱싱될 수도 있고, 또는 복수의 증착들 및 처리들이 웨이퍼를 인덱싱하기 전에 단일 스테이션에서 수행될 수도 있다.
일부 구현 예들에서, 본 명세서에 기술된 기법들을 수행하도록 구성된 장치가 제공될 수도 있다. 적합한 장치는 다양한 프로세스 동작들을 수행하기 위한 하드웨어, 뿐만 아니라 개시된 실시 예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 갖는 시스템 제어기 (930) 를 포함할 수도 있다. 시스템 제어기 (930) 는 통상적으로 하나 이상의 메모리 디바이스들 및 다양한 프로세스 제어 장비, 예를 들어, 밸브들, RF 생성기들, 웨이퍼 핸들링 시스템들, 등과 통신 가능하게 연결되고, 장치가 개시된 실시 예들에 따른 기법을 수행하도록 인스트럭션들을 실행하게 구성된 하나 이상의 프로세서들을 포함할 것이다. 본 개시에 따른 프로세스 동작들을 제어하기 위한 인스트럭션을 포함하는 머신-판독가능 매체가 시스템 제어기 (930) 에 커플링될 수도 있다. 시스템 제어기 (930) 는 본 명세서에 기술된 바와 같이 증착 동작 및 에칭 동작과 연관된 다양한 프로세스 파라미터들의 제어를 용이하게 하기 위해 다양한 하드웨어 디바이스들, 예를 들어, 질량 유량 제어기들, 밸브들, RF 생성기들, 진공 펌프들, 등과 통신 가능하게 연결될 수도 있다.
일부 구현 예들에서, 시스템 제어기 (930) 는 반응기 (900) 의 모든 액티비티들을 제어할 수도 있다. 시스템 제어기 (930) 는 대용량 저장 디바이스에 저장되고, 메모리 디바이스 내로 로딩되고, 그리고 프로세서 상에서 실행되는 시스템 제어 소프트웨어를 실행할 수도 있다. 시스템 제어 소프트웨어는 가스 플로우들의 타이밍, 웨이퍼 이동, RF 생성기 활성화, 등을 제어하기 위한 인스트럭션들, 뿐만 아니라 가스들의 혼합물, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 지지부 온도, 타깃 전력 레벨들, RF 전력 레벨들, 기판 페데스탈, 척 및/또는 서셉터 (susceptor) 포지션, 및 반응기 장치 (900) 에 의해서 수행되는 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 시스템 제어 소프트웨어는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브루틴들 (subroutines) 또는 제어 객체들은 다양한 프로세스 툴 프로세스들을 수행하기 위해 필요한 프로세스 툴 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 시스템 제어 소프트웨어가 임의의 적합한 컴퓨터 판독 가능 프로그래밍 언어로 코딩될 수도 있다.
시스템 제어기 (930) 는 통상적으로 장치가 본 개시에 따른 기법을 수행하도록 인스트럭션들을 실행하게 구성된 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 수도 있다. 개시된 실시 예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션을 포함하는 머신-판독가능 매체가 시스템 제어기 (930) 에 커플링될 수도 있다.
하나 이상의 프로세스 스테이션들이 멀티-스테이션 프로세싱 툴에 포함될 수도 있다. 도 10은 인바운드 로드 록 (load lock) (1002) 및 아웃바운드 로드 록 (1004) 을 갖는 멀티-스테이션 프로세싱 툴 (1000) 의 실시 예의 개략도를 도시하고, 인바운드 로드 록 (1002) 및 아웃바운드 로드 록 (1004) 중 하나 또는 모두는 리모트 플라즈마 소스를 포함할 수도 있다. 대기압에서 로봇 (1006) 은, 카세트로부터 포드 (pod) (1008) 를 통해 로딩된 웨이퍼들을 대기 포트 (1010) 를 통해 인바운드 로드 록 (1002) 으로 이동시키도록 구성된다. 웨이퍼는 인바운드 로드 록 (1002) 내의 페데스탈 (1012) 상에 로봇 (1006) 에 의해 배치되고, 대기 포트 (1010) 는 폐쇄되고, 로드 록은 펌핑 다운된다 (pump down). 인바운드 로드 록 (1002) 이 리모트 플라즈마 소스를 포함하면, 웨이퍼는 프로세싱 챔버 (1014) 내로 도입되기 전에 로드 록 내에서 리모트 플라즈마 처리에 노출될 수도 있다. 또한, 웨이퍼는 또한 예를 들어, 수분 및 흡착된 가스들을 제거하기 위해 인바운드 로드 록 (1002) 내에서 가열될 수도 있다. 다음에, 프로세싱 챔버 (1014) 로의 챔버 이송 포트 (1016) 가 개방되고, 또 다른 로봇 (미도시) 이 프로세싱을 위한 반응기 내에 도시된 제 1 스테이션의 페데스탈 상의 반응기 내로 웨이퍼를 배치한다. 도시된 실시 예는 로드록들을 포함하지만, 일부 실시 예들에서, 웨이퍼의 프로세스 스테이션으로의 직접적인 진입이 제공될 수도 있다는 것이 인식될 것이다.
도시된 프로세싱 챔버 (1014) 는 도 10에 도시된 실시 예에서 1 내지 4로 번호가 붙여진, 4 개의 프로세스 스테이션들을 포함한다. 스테이션 각각은 가열된 페데스탈 (스테이션 1에 대해 (1018) 로 도시됨), 및 가스 라인 유입구들을 갖는다. 일부 구현 예들에서, 프로세스 스테이션 각각이 상이한 목적 또는 복수의 목적들을 가질 수도 있다는 것이 인식될 것이다. 예를 들어, 프로세스 스테이션들 (1 내지 4) 각각은 ALD, CVD, CFD, 또는 에칭 (이들 중 임의의 것은 플라즈마 보조될 수도 있음) 중 하나 이상을 수행하기 위한 챔버일 수도 있다. 일 구현 예에서, 프로세스 스테이션들 중 적어도 하나는 도 8a 내지 도 8c 또는 도 9에 도시된 바와 같은 반응 챔버를 갖는 증착 및 에칭 스테이션이다. 도시된 프로세싱 챔버 (1014) 는 4 개의 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 구현예들에서, 프로세싱 챔버는 5 개 이상의 스테이션들을 가질 수도 있는 반면, 다른 구현예들에서 프로세싱 챔버는 3 개 이하의 스테이션들을 가질 수도 있다.
도 10은 또한 프로세싱 챔버 (1014) 내에서 웨이퍼들을 이송하기 위한 웨이퍼 핸들링 시스템 (1009) 의 구현 예를 도시한다. 일부 구현 예들에서, 웨이퍼 핸들링 시스템 (1009) 은 다양한 프로세스 스테이션들 사이 그리고/또는 프로세스 스테이션과 로드록 사이에서 웨이퍼들을 이송할 수도 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 채용될 수도 있다는 것이 인식될 것이다. 비-제한적인 예들은 웨이퍼 캐로절들 (carousels) 및 웨이퍼 핸들링 로봇들을 포함한다. 도 10은 또한 프로세스 툴 (1000) 의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (1050) 의 실시 예를 도시한다. 시스템 제어기 (1050) 는 하나 이상의 메모리 디바이스들 (1056), 하나 이상의 대용량 저장 디바이스들 (1054), 및 하나 이상의 프로세서들 (1052) 을 포함할 수도 있다. 프로세서 (1052) 는 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어 보드들, 등을 포함할 수도 있다.
일부 구현 예들에서, 제어기는 상기 기술된 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱을 위한 플랫폼 또는 플랫폼들 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자 장치 (electronics) 와 통합될 수도 있다. 전자 장치는 시스템들 또는 시스템의 서브 파트들 또는 다양한 컴포넌트들을 제어할 수도 있는 "제어기 (controller)"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정들 (예를 들어, 가열 및/또는 냉각), 압력 설정들, 진공 설정들, 전력 설정들, 무선 주파수 (RF) 생성기 설정들, RF 매칭 회로 설정들, 주파수 설정들, 플로우 레이트 설정들, 유체 전달 설정들, 포지션 및 동작 설정들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드 록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 가능하게 하고, 엔드포인트 측정들을 가능하게 하는, 등을 하는 다양한 집적 회로들, 로직, 메모리 및/또는 소프트웨어를 갖는 전자 장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달된 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 동작들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현 예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 그렇지 않으면 시스템에 네트워킹되거나, 또는 이들의 조합인 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드 (cloud)"내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현재 진행을 모니터링하고, 과거 제조 동작들의 이력을 검토하고, 복수의 제조 동작들로부터 경향들 또는 성능 메트릭들 (metrics) 을 검토하고, 현재 프로세싱의 파라미터들을 변경하고, 현재 프로세싱을 따르는 프로세싱 동작들을 설정하고, 또는 새로운 프로세스를 시작하도록 시스템에 대한 원격 액세스를 가능하게 할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 통신될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안 수행될 프로세싱 동작들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나 인터페이싱하도록 (interface) 구성되는 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서 상기 기술된 바와 같이, 제어기는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공통 목적을 향해 함께 네트워킹되고 작동하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 일 예는 챔버 상의 프로세스를 제어하도록 조합되는 원격으로 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 (spin-rinse) 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 (bevel edge) 에칭 챔버 또는 모듈, PVD (Physical Vapor Deposition) 챔버 또는 모듈, CVD (Chemical Vapor Deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (Atomic Layer Etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 동작 또는 동작들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들 (load ports) 로 및 툴 위치들 및/또는 로드 포트들로부터 웨이퍼들의 컨테이너들을 가져오는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신할 수도 있다.
특정한 구현 예들에서, 제어기는 도 4와 관련하여 도시되고 기술된 동작들을 수행하기 위한 인스트럭션들을 갖는다. 예를 들어, 제어기는 순환적으로 또는 비-순환적으로 (a) 기판 상의 피처를 부분적으로 에칭하도록 플라즈마 에칭 챔버를 사용하여 에칭 동작을 수행하고, (b) 기판을 실질적으로 에칭하지 않고 동일한 플라즈마 에칭 챔버를 사용하여 에칭된 피처 내에 보호 측벽 코팅을 증착하기 위한 인스트럭션들을 가질 수도 있다. 보호 측벽 코팅은 텅스텐과 같은 금속을 포함할 수도 있다. 인스트럭션들은 개시된 반응 조건들을 사용하여 이들 프로세스들을 수행하는 것과 관련될 수도 있다. 일부 구현 예들에서, 측벽 보호 코팅의 증착은 약 150 ℃ 이하, 약 100 ℃ 이하, 약 0 ℃ 이하, 또는 약 -100 ℃ 내지 약 -10 ℃의 온도에서 발생할 수도 있다. 일부 구현 예들에서, 측벽 보호 코팅의 증착은 금속-함유 가스, 환원제, 불활성 가스, 및 불소-함유 가스를 포함하는 하나 이상의 증착 반응 물질들을 사용하여 발생할 수도 있다.
도 10을 다시 참조하면, 일부 실시 예들에서, 시스템 제어기 (1050) 는 프로세스 툴 (1000) 의 모든 액티비티들을 제어한다. 시스템 제어기 (1050) 는 대용량 저장 디바이스 (1054) 에 저장되고 메모리 디바이스 (1056) 내로 로딩되어 프로세서 (1052) 상에서 실행되는 시스템 제어 소프트웨어 (1058) 를 실행한다. 대안적으로, 제어 로직은 시스템 제어기 (1050) 에 하드코딩될 수도 있다. ASICs (applications specific integrated circuits), PLDs (programmable logic devices) (예를 들어, field-programmable gate arrays, 또는 FPGAs) 등이 이들 목적들을 위해 사용될 수도 있다. 이하의 논의 에서, "소프트웨어" 또는 "코드"가 사용될 때마다, 기능적으로 유사한 하드코딩된 로직이 그 자리에 사용될 수도 있다. 시스템 제어 소프트웨어 (1058) 는 타이밍, 가스의 혼합물, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 지지부 온도, 타깃 전력 레벨들, RF 전력 레벨들, RF 노출 시간, 기판 페데스탈, 척 및/또는 서셉터 (susceptor) 포지션, 및 프로세스 툴 (1000) 에 의해서 수행된 특정한 프로세스의 다른 파라미터들을 포함할 수도 있다. 시스템 제어 소프트웨어 (1058) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브루틴들 (subroutines) 또는 제어 객체들은 다양한 프로세스 툴 프로세스들을 수행하기 위해 필요한 프로세스 툴 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 시스템 제어 소프트웨어 (1058) 는 임의의 적합한 컴퓨터 판독 가능 프로그래밍 언어로 코딩될 수도 있다.
일부 실시 예들에서, 시스템 제어 소프트웨어 (1058) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (input/output control) 시퀀싱 인스트럭션들을 포함할 수도 있다. 예를 들어, 증착/에칭 프로세스의 페이즈 각각은 시스템 제어기 (1050) 에 의한 실행을 위한 하나 이상의 인스트럭션들을 포함할 수도 있다.
시스템 제어기 (1050) 와 연관된 대용량 저장 디바이스 (1054) 및/또는 메모리 디바이스 (1056) 에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시 예들에서 채용될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 (1018) 상에 기판을 로딩하고 기판과 프로세스 툴 (1000) 의 다른 부분들 사이의 간격을 제어하도록 사용된 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램은 가스 조성 및 플로우 레이트들을 제어하기 위한 코드 및 선택 가능하게 프로세스 스테이션 내 압력을 안정화시키기 위해 증착 전에 하나 이상의 프로세스 스테이션들 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 일부 실시 예들에서, 제어기는 다양한 프로세스 가스들의 플로우에 대한 적절한 인스트럭션들을 포함하여 순환적으로 또는 비-순환적으로 (a) 리세스된 피처들을 에칭하고, 그리고 (b) 부분적으로 에칭된 피처들의 측벽들 상에 금속-함유 보호 층을 인 시츄 증착하기 위한 인스트럭션들을 포함한다.
압력 제어 프로그램이 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브를 조절함으로써, 프로세스 스테이션 내 압력, 프로세스 스테이션 내로 가스 플로우, 등을 제어하기 위한 코드를 포함할 수도 있다. 일부 실시 예들에서, 압력 제어 프로그램은 본 명세서에 기술된 바와 같이 에칭/증착 방법들의 다양한 스테이지들 동안 적절한 압력 레벨들로 반응 챔버(들)를 유지하기 위한 인스트럭션들을 포함할 수도 있다.
히터 제어 프로그램은 기판을 가열하도록 사용되는 가열 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 가열기 제어 프로그램은 기판으로의 헬륨과 같은 열 전달 가스의 전달을 제어할 수도 있다. 특정한 구현 예들에서, 제어기는 제 1 온도에서 기판을 에칭하고 제 2 온도에서 보호 금속-함유 측벽 코팅을 증착하기 위한 인스트럭션들을 포함한다. 일부 구현 예들에서, 제 1 온도는 제 2 온도와 동일하거나 실질적으로 유사할 수도 있다.
플라즈마 제어 프로그램은 본 명세서의 구현 예들에 따라 하나 이상의 프로세스 스테이션들의 RF 전력 레벨들 및 노출 시간들을 설정하기 위한 코드를 포함할 수도 있다. 일부 구현 예들에서, 제어기는 금속-함유 보호 측벽 코팅의 에칭 및/또는 증착 동안 플라즈마 특성들을 제어하기 위한 인스트럭션들을 포함한다. 인스트럭션들은 적절한 전력 레벨들, 주파수들, 듀티 사이클들, 등과 관련될 수도 있다.
일부 실시 예들에서, 시스템 제어기 (1050) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (1050) 에 의해 조정된 파라미터들은 프로세스 조건들에 관련될 수도 있다. 비-제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, (RF 바이어스 전력 레벨들 및 노출 시간들과 같은) 플라즈마 조건들, 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (1050) 의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (1000) 의 아날로그 출력 연결부 및 디지털 출력 연결부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비-제한적인 예들은 질량 플로우 제어기들, (마노미터들 (manometers) 과 같은) 압력 센서들, 열전대들 (thermocouples), 등을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터 데이터로 사용될 수도 있다.
시스템 제어기 (1050) 는 상기 기술된 증착 프로세스들을 구현하기 위한 프로그램 인스트럭션들을 제공할 수도 있다. 프로그램 인스트럭션들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 본 명세서에 기술된 다양한 구현 예들에 따라 보호 막들의 인 시츄 증착을 동작시키도록 파라미터들을 제어할 수도 있다.
시스템 제어기는 통상적으로 장치가 개시된 실시 예들에 따른 방법을 수행하도록 인스트럭션들을 실행하게 구성된 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 개시된 실시 예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 담는 머신-판독가능, 비-일시적 매체가 시스템 제어기에 커플링될 수도 있다.
상기 기술된 다양한 하드웨어 및 방법 실시 예들은 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들 등의 제조 또는 제작을 위해 리소그래픽 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그러한 것은 아니지만, 이러한 툴들/프로세스들은 공통 제조 설비에서 함께 사용되거나 수행될 것이다.
도 11은는 진공 이송 모듈 (Vacuum Transfer Module; VTM) (1138) 과 인터페이싱하는 다양한 모듈들을 갖는 반도체 프로세스 클러스터 아키텍처를 도시한다. 복수의 저장 설비들 및 프로세싱 모듈들 사이에서 기판들을 "이송"하기 위한 이송 모듈들의 배열은 "클러스터 툴 아키텍처" 시스템으로 지칭될 수도 있다. 로드 록 또는 이송 모듈로 또한 공지된 에어록 (1130) 은 다양한 제조 프로세스들을 수행하도록 개별적으로 최적화될 수도 있는, 4 개의 프로세싱 모듈들 (1120a 내지 1120d) 을 갖는 VTM (1138) 에 도시된다. 예로서, 프로세싱 모듈들 (1120a 내지 1120d) 은 기판 에칭, 증착, 이온 주입, 기판 세정, 스퍼터링, 및/또는 다른 반도체 프로세스들뿐만 아니라 레이저 계측 및 다른 결함 검출 및 결함 식별 방법들을 수행하도록 구현될 수도 있다. 하나 이상의 프로세싱 모듈들 (1120a 내지 1120d 중 임의의 모듈) 은 본 명세서에 개시된 바와 같이, 즉, 기판들 내로 리세스된 피처들을 에칭하고, 리세스된 피처들의 측벽들 상에 보호 막들 (또는 내부의 서브-층들) 을 증착하기 위해, 그리고 개시된 실시 예들에 따른 다른 적합한 기능들을 위해 구현될 수도 있다. 에어록 (1130) 및 프로세스 모듈들 (1120a 내지 1120d) 은 "스테이션들"로 지칭될 수도 있다. 스테이션 각각은 스테이션을 VTM (1138) 에 인터페이싱하는 패싯 (facet) (1136) 을 갖는다. 패싯들 내부에서, 센서 1 내지 센서 18은 각각의 스테이션들 사이에서 이동할 때 기판 (1126) 의 통과를 검출하기 위해 사용된다.
일 예에서, 프로세싱 모듈 (1120a) 은 에칭을 위해 구성될 수도 있고 프로세싱 모듈 (1120b) 은 증착을 위해 구성될 수도 있다. 또 다른 예에서, 프로세싱 모듈 (1120a) 은 에칭을 위해 구성될 수도 있고, 프로세싱 모듈 (1120b) 은 보호 측벽 코팅의 제 1 서브-층을 증착하도록 구성될 수도 있고, 프로세싱 모듈 (1120c) 은 보호 측벽 코팅의 제 2 서브-층을 증착하도록 구성될 수도 있다.
로봇 (1122) 은 스테이션들 사이에서 기판들을 이송한다. 일 구현 예에서, 로봇은 하나의 암을 가질 수도 있고, 또 다른 구현 예에서, 로봇은 2 개의 암들을 가질 수도 있고, 암 각각은 이송을 위해 기판들을 픽킹하기 (pick) 위한 엔드 이펙터 (end effector) (1124) 를 갖는다. ATM (Atmospheric Transfer Module) (1140) 의 프론트-엔드 로봇 (1132) 은 카세트 또는 LPM (Load Port Module) (1142) 의 FOUP (Front Opening Unified Pod) (1134) 로부터 에어록 (1130) 으로 기판들을 이송하기 위해 사용될 수도 있다. 프로세스 모듈들 (1120a 내지 1120d) 내부의 모듈 중심 (1128) 은 기판을 배치하기 위한 일 위치일 수도 있다. ATM (1140) 내의 정렬기 (aligner) (1144) 는 기판들을 정렬하기 위해 사용될 수도 있다.
예시적인 프로세싱 방법에서, 기판은 LPM (1142) 내의 FOUP들 (1134) 중 하나에 배치된다. 프론트-엔드 로봇 (1132) 은 FOUP (1134) 로부터 기판 (1126) 으로 하여금 에칭되거나, 증착되거나, 달리 프로세싱되기 전에 적절히 센터링되게 하는, 정렬기 (1144) 로 기판을 이송한다. 정렬된 후, 기판은 프론트-엔드 로봇 (1132) 에 의해 에어록 (1130) 내로 이동된다. 에어록 모듈들이 ATM과 VTM 사이의 분위기를 매칭하는 능력을 갖기 때문에, 기판은 손상되지 않고 2 개의 압력 분위기들 사이에서 이동할 수 있다. 에어록 모듈 (1130) 로부터, 기판은 로봇 (1122) 에 의해 VTM (1138) 을 통해 그리고 프로세스 모듈들 (1120a 내지 1120d) 중 하나, 예를 들어 프로세스 모듈 (1120a) 내로 이동된다. 이 기판 이동을 달성하기 위해, 로봇 (1122) 은 암들 각각 상의 엔드 이펙터들 (1124) 을 사용한다. 프로세스 모듈 (1120a) 에서, 기판은 부분적으로 에칭된 피처를 형성하기 위해 본 명세서에 기술된 바와 같이 에칭을 겪는다. 기판은 본 개시에 기술된 바와 같이 프로세스 모듈 (1120a) 내에서 보호 막의 증착을 겪을 수도 있다. 부분적으로 에칭된 피처는 프로세스 모듈 (1120a) 내에서 더 에칭된다. 대안적으로, 로봇 (1122) 은 프로세싱 모듈 (1120a) 로부터 VTM (1138) 내로, 그리고 이어서 보호 막이 부분적으로 에칭된 피처의 측벽들 상에 증착되는 상이한 프로세싱 모듈 (1120b) 내로 기판을 이동시킨다. 이어서, 로봇 (1122) 은 프로세싱 모듈 (1120b) 로부터, VTM (1138) 내로, 그리고 프로세싱 모듈 (1120a) 내로 기판을 이동시키고, 부분적으로 에칭된 피처가 더 에칭된다. 에칭/증착은 피처가 완전히 에칭될 때까지 반복될 수 있다.
기판 이동을 제어하는 컴퓨터는 클러스터 아키텍처에 국부적일 수 있거나, 제작 현장에서 클러스터 아키텍처 외부에, 또는 원격 위치에 위치될 수 있고, 네트워크를 통해 클러스터 아키텍처에 연결될 수 있다는 것을 주의해야 한다.
막의 리소그래픽 패터닝은 통상적으로 동작 각각이 다수의 가능한 툴들을 사용하여 인에이블되는 이하의 동작들: (1) 스핀온 (spin-on) 툴 또는 스프레이온 (spray-on) 툴을 사용하여 워크피스, 예를 들어, 상부에 실리콘 나이트라이드 막이 형성된 기판 상에 포토레지스트를 도포하는 동작; (2) 핫 플레이트 또는 노 (furnace) 또는 다른 적합한 경화 툴을 사용하여 포토레지스트를 경화하는 동작; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광선 또는 UV 또는 x-선 광에 포토레지스트를 노출시키는 동작; (4) 습식 벤치 또는 스프레이 현상기와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 레지스트를 패터닝하도록 레지스트를 현상하는 동작; (5) 건식 또는 플라즈마 보조 에칭 툴을 사용함으로써 하부 막 또는 워크피스 내로 레지스트 패턴을 전사하는 동작; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 동작 중 일부 또는 전부를 포함한다. 일부 실시 예들에서, 애시 가능 하드 마스크 층 (예컨대 비정질 탄소 층) 및 또 다른 적합한 하드 마스크 (예컨대 반사 방지 층) 가 포토레지스트를 도포하기 전에 증착될 수도 있다.
다른 실시 예들
전술한 기술 (description) 에서, 제시된 실시 예들의 완전한 이해를 제공하기 위해 수많은 구체적 상세들이 제시되었다. 개시된 실시 예들은 이들 구체적인 상세들 일부 또는 전부 없이 실시될 수도 있다. 다른 예들에서, 잘 공지된 프로세스 동작들은 개시된 실시 예들을 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다. 개시된 실시 예들이 구체적인 실시 예들과 함께 기술되지만, 이는 개시된 실시 예들을 제한하는 것으로 의도되지 않았다는 것이 이해될 것이다.
전술한 실시 예들이 이해의 명확성의 목적들을 위해 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수도 있다는 것이 자명할 것이다. 본 실시 예들의 프로세스들, 시스템들 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 따라서, 본 실시 예들은 예시적이고, 제한적이지 않은 것으로 간주될 것이며, 실시 예들은 본 명세서에 주어진 상세들로 제한되지 않을 것이다.

Claims (26)

  1. (a) 플라즈마 에칭 챔버에서 제 1 플라즈마를 생성하고, 기판의 피처를 부분적으로 에칭하도록 상기 제 1 플라즈마에 상기 기판을 노출하는 단계;
    (b) 상기 단계 (a) 후에, 하나 이상의 증착 반응 물질들을 사용하여 상기 플라즈마 에칭 챔버의 상기 피처의 측벽들 상에 보호 막을 증착하는 단계로서, 상기 보호 막은 금속을 포함하는, 상기 보호 막을 증착하는 단계; 및
    (c) 상기 단계 (b) 후에, 상기 플라즈마 에칭 챔버 내에서 제 2 플라즈마를 생성하고 상기 기판의 상기 피처를 부가적으로 에칭하기 위해 상기 제 2 플라즈마에 상기 기판을 노출하는 단계를 포함하고, 상기 보호 막이 증착되는 영역들에서 상기 단계 (c) 동안 상기 보호 막은 상기 피처의 측방향 에칭을 실질적으로 방지하는, 방법.
  2. 제 1 항에 있어서,
    증착은 약 100 ℃ 이하의 증착 온도에서 발생하는, 방법.
  3. 제 2 항에 있어서,
    상기 증착 온도는 약 -100 ℃ 내지 약 -10 ℃인, 방법.
  4. 제 2 항에 있어서,
    상기 제 1 플라즈마에 대한 상기 기판의 노출 동안 에칭 온도는 상기 증착 온도와 동일하거나 실질적으로 동일한, 방법.
  5. 제 1 항에 있어서,
    상기 금속은 텅스텐을 포함하는, 방법.
  6. 제 1 항에 있어서,
    상기 피처는 상기 단계 (c) 후에 약 5 이상의 종횡비를 갖는, 방법.
  7. 제 1 항에 있어서,
    상기 하나 이상의 증착 반응 물질들은 금속-함유 가스, 환원제, 불활성 가스, 및 불소-함유 가스를 포함하는, 방법.
  8. 제 7 항에 있어서,
    상기 금속-함유 가스는 텅스텐 헥사플루오라이드 (WF6), 레늄 헥사플루오라이드 (ReF6), 몰리브덴 헥사플루오라이드 (MoF6), 탄탈룸 펜타플루오라이드 (TaF5), 및 바나듐 플루오라이드 (VF5) 로 구성된 그룹으로부터 선택되는, 방법.
  9. 제 7 항에 있어서,
    상기 환원제는 수소 (H2), 과산화수소 (H2O2), 메탄 (CH4), 실란 (SiH4), 보란 (BH3), 및 암모니아 (NH3) 로 구성된 그룹으로부터 선택되는, 방법.
  10. 제 7 항에 있어서,
    상기 불소-함유 가스는 질소 트리플루오라이드 (NF3), 황 헥사플루오라이드 (SF6), 탄소 테트라플루오라이드 (CF4), 및 실리콘 테트라플루오라이드 (SiF4) 로 구성된 그룹으로부터 선택되는, 방법.
  11. 제 7 항에 있어서,
    상기 피처의 상기 측벽들 상의 상기 보호 막의 국부화는 상기 불소-함유 가스의 농도 및/또는 RF 전력에 적어도 부분적으로 기초하는, 방법.
  12. 제 7 항에 있어서,
    상기 피처의 상기 측벽들 상의 상기 보호 막의 두께 및 국부화 중 하나 또는 모두는 다음 증착 조건들: 노출 시간, 압력, 온도, 총 플로우 레이트, RF 전력, 환원제의 농도, 상기 불활성 가스의 농도, 및 상기 금속-함유 가스의 농도 중 하나 이상에 적어도 부분적으로 기초하는, 방법.
  13. 제 1 항에 있어서,
    상기 보호 막을 증착하는 단계는 상기 하나 이상의 증착 반응 물질들을 포함하는 제 3 플라즈마를 생성하는 단계, 및 상기 피처의 상기 측벽들 상에 상기 보호 막을 증착하도록 상기 기판을 상기 제 3 플라즈마에 노출하는 단계를 포함하는, 방법.
  14. 제 13 항에 있어서,
    상기 제 3 플라즈마는 저주파수 RF 컴포넌트를 사용하여 약 100 ㎑ 내지 약 2 ㎒의 저 주파수에서 생성되는, 방법.
  15. 제 13 항에 있어서,
    상기 제 1 플라즈마는 하나 이상의 제 1 에칭 반응 물질들을 포함하고, 상기 제 3 플라즈마의 상기 하나 이상의 증착 반응 물질들은 상기 제 1 플라즈마의 상기 하나 이상의 제 1 에칭 반응 물질들과 상이한, 방법.
  16. 제 13 항에 있어서,
    상기 기판을 상기 제 3 플라즈마에 노출할 때 RF 전력 및 노출 시간은 상기 기판을 상기 제 1 플라즈마에 노출할 때 RF 전력 및 노출 시간과 상이한, 방법.
  17. 제 1 항에 있어서,
    상기 기판은 상기 기판에서 에칭될 재료들의 하나 이상의 층들 위에 마스크를 포함하고, 상기 보호 막은 상기 마스크 상에 증착되지 않고 상기 피처의 상기 측벽들의 상당한 부분을 따라 컨포멀하게 (conformally) 증착되는, 방법.
  18. 제 1 항에 있어서,
    상기 보호 막은 상기 피처의 상기 측벽들의 중간 부분을 따라 컨포멀하게 증착되는, 방법.
  19. 제 1 항에 있어서,
    (d) 상기 피처의 최종 깊이에 도달할 때까지 상기 단계 (b) 및 상기 단계 (c) 를 반복하는 단계를 더 포함하는, 방법.
  20. (a) 플라즈마 에칭 챔버에서 제 1 플라즈마를 생성하고, 기판의 피처를 부분적으로 에칭하도록 상기 제 1 플라즈마에 상기 기판을 노출하는 단계;
    (b) 상기 단계 (a) 후에, 하나 이상의 증착 반응 물질들을 사용하여 상기 플라즈마 에칭 챔버의 상기 피처의 측벽들 상에 보호 막을 증착하는 단계로서, 상기 하나 이상의 증착 반응 물질들은 금속-함유 가스, 환원제, 불활성 가스 및 불소-함유 가스를 포함하는, 상기 보호 막을 증착하는 단계; 및
    (c) 상기 단계 (b) 후에, 상기 플라즈마 에칭 챔버 내에서 제 2 플라즈마를 생성하고 상기 기판의 상기 피처를 부가적으로 에칭하기 위해 상기 제 2 플라즈마에 상기 기판을 노출하는 단계를 포함하고, 상기 보호 막이 증착되는 영역들에서 상기 단계 (c) 동안 상기 보호 막은 상기 피처의 측방향 에칭을 실질적으로 방지하는, 방법.
  21. 제 20 항에 있어서,
    상기 금속-함유 가스는 텅스텐 헥사플루오라이드 (WF6), 레늄 헥사플루오라이드 (ReF6), 몰리브덴 헥사플루오라이드 (MoF6), 탄탈룸 펜타플루오라이드 (TaF5), 및 바나듐 플루오라이드 (VF5) 로 구성된 그룹으로부터 선택되는, 방법.
  22. 제 20 항에 있어서,
    상기 환원제는 수소 (H2), 과산화수소 (H2O2), 메탄 (CH4), 실란 (SiH4), 보란 (BH3), 및 암모니아 (NH3) 로 구성된 그룹으로부터 선택되는, 방법.
  23. 제 20 항에 있어서,
    상기 불소-함유 가스는 질소 트리플루오라이드 (NF3), 황 헥사플루오라이드 (SF6), 탄소 테트라플루오라이드 (CF4), 및 실리콘 테트라플루오라이드 (SiF4) 로 구성된 그룹으로부터 선택되는, 방법.
  24. 제 20 항에 있어서,
    상기 피처의 상기 측벽들 상의 상기 보호 막의 국부화는 상기 불소-함유 가스의 농도 및/또는 RF 전력에 적어도 부분적으로 기초하는, 방법.
  25. 제 20 항에 있어서,
    상기 보호 막을 증착할 때 증착 온도는 약 100 ℃ 이하인, 방법.
  26. 제 20 항에 있어서,
    상기 피처는 상기 단계 (c) 후에 약 5 이상의 종횡비를 갖는, 방법.
KR1020227045601A 2021-02-24 2022-02-22 고 종횡비 플라즈마 에칭을 위한 금속 기반 라이너 보호 KR20230149711A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202163200254P 2021-02-24 2021-02-24
US63/200,254 2021-02-24
PCT/US2022/017276 WO2022182641A1 (en) 2021-02-24 2022-02-22 Metal-based liner protection for high aspect ratio plasma etch

Publications (1)

Publication Number Publication Date
KR20230149711A true KR20230149711A (ko) 2023-10-27

Family

ID=83049636

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227045601A KR20230149711A (ko) 2021-02-24 2022-02-22 고 종횡비 플라즈마 에칭을 위한 금속 기반 라이너 보호

Country Status (5)

Country Link
US (1) US20230298896A1 (ko)
JP (1) JP2024509747A (ko)
KR (1) KR20230149711A (ko)
TW (1) TW202249116A (ko)
WO (1) WO2022182641A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230109501A1 (en) * 2021-09-28 2023-04-06 Applied Materials, Inc. Tungsten gapfill using molybdenum co-flow
US20240102157A1 (en) * 2022-09-22 2024-03-28 Applied Materials, Inc. Plasma-enhanced molybdenum deposition

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5067068B2 (ja) * 2007-08-17 2012-11-07 東京エレクトロン株式会社 半導体装置の製造方法及び記憶媒体
US9620377B2 (en) * 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
CN110010464B (zh) * 2017-12-25 2023-07-14 东京毅力科创株式会社 处理基板的方法
US10903109B2 (en) * 2017-12-29 2021-01-26 Micron Technology, Inc. Methods of forming high aspect ratio openings and methods of forming high aspect ratio features
TW202046395A (zh) * 2019-02-28 2020-12-16 日商東京威力科創股份有限公司 基板處理方法及基板處理裝置

Also Published As

Publication number Publication date
WO2022182641A1 (en) 2022-09-01
US20230298896A1 (en) 2023-09-21
JP2024509747A (ja) 2024-03-05
TW202249116A (zh) 2022-12-16

Similar Documents

Publication Publication Date Title
US10431458B2 (en) Mask shrink layer for high aspect ratio dielectric etch
US10170323B2 (en) Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US9997373B2 (en) Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10297459B2 (en) Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10304693B2 (en) Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9543158B2 (en) Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10170324B2 (en) Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US11670516B2 (en) Metal-containing passivation for high aspect ratio etch
US9384998B2 (en) Technique to deposit sidewall passivation for high aspect ratio cylinder etch
KR102653066B1 (ko) 반도체 제조시 금속 도핑된 탄소계 하드마스크 제거
US20160163556A1 (en) Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US11270890B2 (en) Etching carbon layer using doped carbon as a hard mask
KR20220149611A (ko) 몰리브덴의 원자 층 에칭
US20230298896A1 (en) Metal-based liner protection for high aspect ratio plasma etch
US20220282366A1 (en) High density, modulus, and hardness amorphous carbon films at low pressure
WO2018026867A1 (en) Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US20220181141A1 (en) Etch stop layer
WO2021202070A1 (en) High aspect ratio dielectric etch with chlorine
JP2023523677A (ja) 高アスペクト比の3d nandエッチングのための側壁のノッチ低減