CN103824746A - 用于沟槽与介层洞轮廓修饰的方法与设备 - Google Patents

用于沟槽与介层洞轮廓修饰的方法与设备 Download PDF

Info

Publication number
CN103824746A
CN103824746A CN201410050265.2A CN201410050265A CN103824746A CN 103824746 A CN103824746 A CN 103824746A CN 201410050265 A CN201410050265 A CN 201410050265A CN 103824746 A CN103824746 A CN 103824746A
Authority
CN
China
Prior art keywords
etchant
substrate
open top
sacrifice layer
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201410050265.2A
Other languages
English (en)
Other versions
CN103824746B (zh
Inventor
张梅
高建德
吕新亮
葛振宾
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN103824746A publication Critical patent/CN103824746A/zh
Application granted granted Critical
Publication of CN103824746B publication Critical patent/CN103824746B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Shaping switching materials
    • H10N70/066Shaping switching materials by filling of openings, e.g. damascene method
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/231Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • H10N70/8828Tellurides, e.g. GeSbTe
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Semiconductor Memories (AREA)
  • Weting (AREA)

Abstract

本发明的实施例大致关于处理半导体基板的设备与方法。明确地说,本发明的实施例关于在填充沟槽与介层洞之前用于沟槽与介层洞轮廓的修饰的方法与设备。本发明的一实施例包括通过让沟槽结构接触蚀刻剂以形成牺牲层来夹封沟槽结构的顶部开口。一实施例中,蚀刻剂设以与第一材料反应并产生形成牺牲层的副产物而移除第一材料。

Description

用于沟槽与介层洞轮廓修饰的方法与设备
本申请是申请号为200980147109.5的发明专利申请的分案申请。
技术领域
本发明的实施例大致关于处理半导体基板的设备与方法。明确地说,本发明的实施例关于在填充沟槽与介层洞之前用于沟槽与介层洞轮廓的修饰的方法与设备。
背景技术
随着半导体器件尺寸持续缩小,制造过程中形成于半导体基板上的沟槽或介层洞结构变得越来越窄而深宽比则越来越高。窄开口与高深宽比通常造成随后材料填充处理的困难与挑战。因此,由于填充处理过程中会夹封(pinch-off)窄开口之故,空隙更可能形成于填充材料中。
问题在填充处理需要衬垫层、阻障层或籽晶层时变得更加显著。通常利用物理气相沉积(PVD)处理将衬垫层、阻障层或籽晶层沉积于沟槽或介层洞结构上。为了达成完全覆盖沟槽或介层洞表面,突出部分通常会在沟槽或介层洞的入口附近形成。突出部分使随后材料填充中的夹封作用更加恶化而造成更多空隙形成于填充物中。
图1A-1B示意性说明沟槽与介层洞填充中的问题。图1A示意性说明基板10的部分剖面侧视图。沟槽结构2形成于第一材料1中。阻障层3接着沉积于沟槽结构2上。阻障层3在沟槽结构2的入口8附近较厚并在入口8附近形成突出部分。突出部分4进一步使入口8变窄。
图1B示意性说明图1A的基板10的材料填充结果。入口8在填充沟槽结构2剩余部分前便由填充材料5所堵塞而在沟槽结构2中形成空隙6。空隙6通常是不乐见的,特别是填充材料5为互连的导电材料(铜或铝)、相变存储单元的锗-硒-碲(GST)填充、金属栅极的栅极金属填充。
传统制造处理通常在沉积填充材料5之前应用溅射处理来修饰入口8。如图1C所示,等离子体腔室中产生的正离子6(例如,正氩离子)加速朝向基板10。正离子6在加速过程中获得动量并轰击基板10的表面。离子6物理性移开突出部分4以打开入口8,如修饰的轮廓7所示。然而,离子6也轰击突出部分4以外的其他区域而伤害基板10。此外,溅射过程中产生的移开微粒需要额外的清洁处理并仍可能变成随后处理的潜在污染源。
因此,需要一种在材料填充前修饰沟槽与介层洞轮廓的效率提高且伤害减少的方法与设备。
发明内容
本发明的实施例大致关于处理半导体基板的设备与方法。明确地说,本发明的实施例关于在填充沟槽与介层洞之前用于沟槽与介层洞轮廓的修饰的方法与设备。
一实施例提供处理基板的方法,所述方法包括在基板中形成沟槽结构,其中沟槽结构的侧壁包括第一材料;通过让基板接触蚀刻剂而形成牺牲层以夹封沟槽结构的顶部开口,其中牺牲层包括蚀刻剂与第一材料间的反应的副产物;通过持续让基板接触蚀刻剂而让蚀刻剂与第一材料进一步反应;并自基板移除牺牲层。
另一实施例提供处理基板的方法,所述方法包括在基板上形成沟槽结构,其中沟槽结构的侧壁包括第一材料;拓宽沟槽结构的顶部开口,其中拓宽上方开口的步骤包括通过让基板接触蚀刻剂而形成牺牲层来夹封沟槽结构的顶部开口,其中牺牲层包括蚀刻剂与第一材料间的反应的副产物;通过持续让基板接触蚀刻剂而让蚀刻剂与第一材料进一步反应;自基板移除牺牲层;并沉积第二材料来填沟槽结构。
又另一实施例提供处理基板的方法,所述方法包括将基板置于处理腔室中,其中基板具有沟槽结构,而沟槽结构的侧壁包括第一材料;将第一处理气体流至处理腔室以形成牺牲层来夹封沟槽结构的顶部开口;在已经夹封顶部开口后持续第一处理气体的流动;并退火基板以自沟槽结构移除牺牲层。
附图说明
为了更详细地了解本发明的上述特征,可参照实施例(某些描绘于附图中)来理解本发明简短概述于上的特定描述。然而,需注意附图仅描绘本发明的典型实施例而因此不被视为其范围的限制因素,因为本发明可允许其他等效实施例。
图1A-1B示意性描述沟槽与介层洞填充中的问题。
图1C示意性描述修饰沟槽与介层洞轮廓的传统方法。
图2A-2B示意性描述根据本发明一实施例在材料填充之前修饰沟槽轮廓的方法。
图3是显示根据本发明一实施例修饰沟槽轮廓的处理的示意性流程图。
图4是根据本发明一实施例用于修饰沟槽轮廓的腔室的示意性侧视图。
图5A-5C示意性描述根据本发明另一实施例修饰沟槽轮廓的方法。
为了促进理解,尽可能应用相同的元件符号来标示图示中相同的元件。预期一实施例揭露的某些元件可有利地用于其他实施例而不需特别列举。
具体实施方式
本发明的实施例大致关于处理半导体基板的设备与方法。明确地说,本发明的实施例关于在填充沟槽与介层洞之前用于沟槽与介层洞轮廓修饰的方法与设备。
本发明的一实施例包括通过让沟槽结构接触蚀刻剂以形成牺牲层来夹封沟槽结构的顶部开口。一实施例中,蚀刻剂设以通过与第一材料反应并产生形成牺牲层的副产物而移除第一材料。牺牲层阻止蚀刻剂接近沟槽结构的侧壁而让更多沟槽结构的顶部开口附近的材料被移除。在自基板移除牺牲层后,沟槽结构的轮廓经修饰以具有变宽的开口。
与传统溅射沟槽轮廓修饰相比,本发明方法并没有让正在处理的基板受到物理伤害。一实施例中,干法蚀刻方法用来形成牺牲层。可在相同干法蚀刻腔室退火而移除牺牲层,由此提高效率并降低交叉污染。
本发明的方法与设备可用来修饰裸露的沟槽与介层洞结构、以及具有衬垫层、阻障层与/或籽晶层沉积于上的沟槽与介层洞结构的轮廓。
图2A-2B示意性说明根据本发明一实施例在材料填充之前修饰沟槽轮廓的方法。图3是显示修饰图2A-2B中的沟槽轮廓的处理30的示意性流程图。
处理30的文字块32包括如图2A所示般在基板20的底层21中形成沟槽结构22。一实施例中,底层21以及由此而来的沟槽结构的侧壁22包括第一材料。另一实施例中,形成沟槽结构22的步骤可包括沉积具有第一材料的衬垫层、阻障层或籽晶层23,其可沉积于基板20上。
如图2A所示,沟槽结构22具有形成于顶部开口24附近的突出部分24a。
处理30的文字块34包括通过让基板20接触蚀刻剂以形成牺牲层25来夹封沟槽结构22的顶部开口24。一实施例中,蚀刻剂设以通过与第一材料反应并产生来自与第一材料反应的副产物来蚀刻掉第一材料。牺牲层25包括蚀刻剂与第一材料间的反应产生的副产物。
一实施例中,形成牺牲层25的步骤包括提高第一材料与蚀刻剂间的反应速率。第一材料与蚀刻剂之间提高的反应速率造成在副产物能够均匀地形成于沟槽结构22中之前副产物快速地形成于顶部开口24附近。
一实施例中,可通过提高一或更多蚀刻剂的处理气体的流率来达成第一材料与蚀刻剂之间反应速率的提高。
一实施例中,形成牺牲层25的步骤包括根据目标沟槽轮廓确定第一材料与蚀刻剂之间的反应速率。较高的反应速率对应着沟槽结构22的上部与沟槽结构22的下部之间较高的移除数量比。较低的反应速率对应着上部与下部之间较低的移除数量比。因此,提高反应速率可提高侧壁的开口角度而降低反应速率可降侧壁的开口角度。
处理30的文字块36包括通过持续让基板20接触蚀刻剂好让蚀刻剂与第一材料进一步反应,如图2A所示。
牺牲层25夹封顶部开口之后,蚀刻剂中的活性物种26依旧能够自牺牲层25的顶表面扩散通过牺牲层25并与下方的第一材料反应。然而,扩散距离沿着沟槽结构的侧壁22有显著的变化。因此,这造成顶部开口24附近的快速蚀刻且明显地向下减少沟槽结构的侧壁22的蚀刻。
一实施例中,在牺牲层25已经夹封顶部开口24之后蚀刻剂的流率仍保持相同。另一实施例中,在夹封之后可调整蚀刻剂的流率。
一实施例中,可通过改变蚀刻时间来达成所欲的顶部开口拓宽。
处理30的文字块38包括移除牺牲层25并暴露沟槽结构22的修饰的轮廓27。
一实施例中,移除牺牲层25的步骤包括退火基板20以蒸发牺牲层25。一实施例中,移除牺牲层25的步骤可执行于形成牺牲层25与接连执行蚀刻的相同腔室中。
另一实施例中,移除牺牲层25的步骤包括将牺牲层25溶解于溶剂中。一实施例中,溶剂可为水。
虽然,图2A显示的实例的沟槽结构具有形成于顶部开口附近的突出部分,但本发明的实施例可适用于修饰不具有突出部分的沟槽结构,例如具有相对笔直壁的沟槽结构。
如上所述,任何产生可移除的副产物的蚀刻方法可与本发明的实施例一同应用。明确地说,可根据即将蚀刻的材料挑选蚀刻剂。亦可根据处理需求确定蚀刻剂的组成。
一实施例中,根据本发明的实施例应用干法蚀刻方法。本发明的实施例可应用蚀刻剂(可为含氟、氮与氢源)。
一实施例中,蚀刻剂包括三氟化氮(NF3)与氨(NH3)的混合物、三氟化氮(NF3)与氢气(H2)的混合物、三氟化氮(NF3)、氢气(H2)与氮气(N2)的混合物、三氟化氮(NH3)与氟化氢(HF)的混合物、或其他类似的含氟、氮与氢源之一者。
一实施例中,蚀刻剂包括含氟源,诸如ClF3、CH3F、CHF3与相似化合物。
本发明的一实施例包括产生干法蚀刻蚀刻剂(包括三氟化氮(NF3)与氨(NH3)的混合物)的等离子体;并接触三氟化氮与氨的等离子体以修饰侧壁包括氧化硅、硅或氮化硅的沟槽与/或介层洞结构。一实施例中,可远端产生等离子体并流至蚀刻腔室。另一实施例中,可原位地在蚀刻腔室中产生等离子体。
图4是根据本发明一实施例修饰沟槽轮廓的处理腔室100的示意性侧视图。
处理腔室100包括盖组件200,配置于腔室主体112的上端;及支撑组件300,至少部分地配置于腔室主体112中。处理腔室也包括远端等离子体产生器140,其具有U-型剖面的远端电极。处理腔室100与相关的硬件较佳由一或更多处理-相容材料所构成,诸如铝、电镀铝、镀镍铝、镀镍铝6061-T6、不锈钢、以及上述的组合与合金。
支撑组件300部分配置于腔室主体112中。支撑组件300由轴314所提高与降低,而轴314由波纹管333所包围。腔室主体112包括形成于其侧壁中的狭缝阀开口160,以进出腔室100的内部。狭缝阀开口160经选择性打开与关闭以允许晶圆运送机器人(未显示)进出腔室主体112的内部。晶圆运送机器人是本领域技术人员所熟知的,并可应用任何适当的机器人。一实施例中,可通过狭缝阀开口160将晶圆传送进入与离开处理腔室100至相邻的传送室与/或装载锁定腔室(未显示)、或群集工具中的另一腔室。示意性群集工具包括(但不限于)PRODUCERTM、CENTURATM、ENDURATM与ENDURASLTM平台,可自加州圣克拉拉市的应用材料公司取得。
腔室主体112还包括形成于其中的通道113以经此流动传热流体。传热流体可为加热流体或冷却剂,且可用来在处理与基板传送过程中控制腔室主体112的温度。腔室主体112的温度对用来避免腔室壁上气体或副产物不欲的聚集是重要的。示范性传热流体包括水、乙二醇或上述的混合物。示范性传热流体还包括氮气。
腔室主体112更包括衬垫层133,其围绕支撑组件300并可被移除好进行保养与清洁。衬垫层133较佳由例如铝的金属或陶瓷材料所构成。然而,可应用任何处理相容的材料。衬垫层133可经喷珠处理(bead blasted)以提高任何沉积于其上的材料的附着力,由此避免造成腔室100污染的材料剥落。衬垫层133通常包括一或更多形成于其中的孔135与抽吸通道129,其与真空系统流体连通。孔135提供气体进入抽吸通道129的流动路径,而抽吸通道提供通过衬垫层133的流动路径以便气体能够离开腔室100。
真空系统可包括真空泵125与节流阀127以调控腔室100中的气体流动。真空泵125耦接至配置于腔室主体112上的真空口131,并与形成于衬垫层133中的抽吸通道129流体连通。真空泵125与腔室主体112选择性由节流阀127所隔离以调控腔室100中的气体流动。除非另由明示,否则可交替应用词汇“气体(gas或gases)”以代表一或更多前驱物、反应物、催化物、载体、清除物、清洁物、上述的组合以及任何导入腔室主体112中的其他流体。
盖组件200包括多种堆迭在一起的组件。例如,盖组件200包括盖缘210、气体输送组件220与顶板250。盖缘210经设计以支撑构成盖组件200的部件的重量,并耦接至腔室主体112的上表面以提供内部腔室部件的存取。气体输送组件220耦接至盖缘210的上表面并经配置以与其达成最小的热接触。举例而言,盖组件200的部件较佳由导热性高且热阻低的材料(例如,具有高度抛光表面的铝合金)所构成。部件的热阻较佳低于约5x10-4m2K/W。
气体输送组件220可包括气体分配板225或喷头。气体供应面板(未显示)通常用来提供一或更多气体至腔室100。应用的独特气体取决于即将执行于腔室100中的处理。例如,典型气体包括一或更多前驱物、还原剂、催化剂、载体、清除物、清洁物或上述的任何混合物或组合。一般而言,将一或更多气体引导至腔室100进入盖组件200,接着通过气体输送组件220进入腔室主体112。可应用电子操控的阀与/或流量控制机制(未显示)来控制气体自气体供应器流入腔室100。
一方面中,将气体自气体供应面板输送至腔室100,气体管线于腔室100中以T字型分成两个单独气体管线,其如上述般供给气体至腔室主体112。取决于处理,可用此种方式输送任何数目的气体并可在腔室100中或在其输送至腔室100之前混合。
再度参照图4,盖组件200可进一步包括电极240,以在盖组件200中产生反应物种的等离子体。此实施例中,电极240支撑于顶板250上并与其电隔离。绝缘填充环(未显示)配置于电极240下部周围以分隔电极240与顶板250。环状绝缘体(未显示)配置于绝缘填充环上部周围并坐落于顶板250的上表面,如图3所示。环状绝缘体(未显示)接着配置于电极240上部周围以致电极240与盖组件200的其他部件电绝缘。各个这些环、绝缘填充体与环状绝缘体可由氧化铝或任何其他绝缘、处理相容的材料所构成。
电极240耦接至功率源340而气体输送组件220接地。因此,在电极240与气体输送组件220间形成的空间中击发一或更多处理气体的等离子体。等离子体也可容纳于阻隔板形成的空间中。阻隔板组件不存在的情况下,等离子体击发与容纳于电极240与气体输送组件220之间。任一实施例中,等离子体充分地局限或容纳于盖组件200中。
可应用任何能够激发气体成为反应物种并维持反应物种的等离子体的功率源。举例而言,可应用射频(RF)、直流电(DC)、交流电(AC)或微波(MW)式功率放电技术。也可通过热式技术、气体分解技术、高强度光源(例如,UV能量)、或接触X-射线源来产生激发作用。或者,可应用远端激活源(例如,远端等离子体产生器)来产生反应物种的等离子体,其接着被输送至腔室100。示范性远端等离子体产生器可自诸如MKS仪器公司与Advanced Energy工业公司的厂商取得。RF功率供应器较佳耦接至电极240。
可取决于处理气体与即将执行于腔室100中的操作来加热气体输送组件220。一实施例中,例如将加热元件270(例如,电阻式加热器)耦接至气体输送组件220。一实施例中,加热元件270是管状件且被按压进入气体输送组件220的上表面。气体输送组件220的上表面包括沟槽或凹入式通道,其宽度略小于加热元件270的外直径,以致利用过盈配合将加热元件270固持于沟槽中。
由于输送组件220的部件(包括气体输送组件220与阻挡组件230)传导性耦接于彼此,加热元件270可调控气体输送组件220的温度。处理腔室的额外详情可见于2005年2月22日申请的美国专利申请案11/063,645,其以参考资料在此并入本文中。
处理腔室100特别有用于执行等离子体辅助的干法蚀刻处理,其需要加热与冷却基板表面而不破坏真空。一实施例中,处理腔室100可用来选择性移除基板上的一或更多氧化物。
为了简化及方便描述,现将描述执行于处理腔室100中利用氨(NH3)与三氟化氮(NF3)气体混合物来移除一或更多氧化硅的示范性干法蚀刻处理。一般认为处理腔室100对任何能自基板加热与冷却两者(包括退火处理)均在单一处理环境中的等离子体处理受益的干法蚀刻处理是有利的。
参照图4,干法蚀刻处理开始于将基板110(例如,半导体基板)置于处理腔室100中。通常通过狭缝阀开口160而将基板置入腔室主体112,并将基板配置于支撑构件310的上表面。可将基板110吸附于支撑构件310的上表面。较佳通过抽真空而将基板110吸附于支撑构件310的上表面。若尚未处于处理位置中,接着将支撑构件310提高至腔室主体112中的处理位置。腔室主体112较佳维持于50℃与80℃之间的温度,更佳约65℃。通过将传热媒介流过通道113以维持腔室主体112的温度。
通过将传热媒介或冷却剂流过形成于支撑组件300中的流体通道而将基板110冷却至低于65℃,例如15℃与50℃之间。一实施例中,将基板维持低于室温下。另一实施例中,将基板维持于22℃与40℃之间的温度下。一般而言,将支撑构件310维持在低于约22℃下以达到上方指出的所欲基板温度。为了冷却支撑构件310,将冷却剂流过形成于支撑组件300中的流体通道。连续流动的冷却剂是较佳的,以便更好地控制支撑构件310的温度。冷却剂较佳是50体积百分比的乙二醇与50体积百分比的水。当然,只要可维持所欲的基板温度,可应用任何比例的水与乙二醇。
将蚀刻气体混合物引导至腔室100以选择性移除基板110表面上的不同氧化物。一实施例中,接着将氨与三氟化氮气体导入腔室100以形成蚀刻气体混合物。导入腔室中的各个气体数量是可改变的且可经调整以符合诸如即将移除的氧化物层的厚度、即将清洁的基板的几何形状、等离子体的容积量(volumecapacity)、腔室主体112的容积量、以及耦接至腔室主体112的真空系统的性能。
可预先确定蚀刻气体混合物的比例以选择性移除基板表面上的不同氧化物。一实施例中,蚀刻气体混合物中的成分比例可经调整以均匀地移除不同的氧化物,诸如热氧化物、沉积的氧化物与/或原生氧化物。一实施例中,蚀刻气体混合物中的氨相对三氟化氮的摩尔比例可经设定以均匀地移除不同的氧化物。一方面中,添加气体以提供氨相对三氟化氮的摩尔比例至少1:1的气体混合物。另一方面中,气体混合物的摩尔比例为至少约3:1(氨相对三氟化氮)。较佳以5:1(氨相对三氟化氮)至30:1的摩尔比例将气体导入腔室100。气体混合物的摩尔比例更佳为约5:1(氨相对三氟化氮)至10:1。气体混合物的摩尔比例也可位于约10:1(氨相对三氟化氮)与约20:1之间。
也可将净化气体或载气添加至蚀刻气体混合物。举例而言,可应用任何适当的清除气体/载气,诸如氩、氦、氢、氮或上述的混合物。一般而言,总的蚀刻气体混合物是约0.05%至约20%体积的氨与三氟化氮。剩余部分为载气。一实施例中,首先在活性气体之前将清除气体或载气导入腔室主体112以稳定腔室主体112中的压力。
腔室主体112中的操作压力是可以改变的。一般而言,压力维持于约500mTorr与约30Torr之间。较佳将压力维持于约1Torr与约10Torr之间。更佳将腔室主体112中的操作压力维持于约3Torr与约6Torr之间。
将约5与约600瓦特之间的RF功率施加于电极240以在容纳于气体输送组件220中的空间261、262与263中点燃气体混合物的等离子体。RF功率较佳低于100瓦特。更佳的是施加功率的频率非常低,例如低于100kHz。频率范围较佳在约50kHz至约90kHz之间。
等离子体能量解离氨与三氟化氮气体成为反应物种,其经化合而形成高度活性的气相氟化铵(NH4F)化合物与/或氟化氢铵(NH4F·HF)。这些分子接着通过气体分配板225的孔225A流过气体输送组件220以与即将处理的基板表面反应。一实施例中,首先将载气导入腔室100、产生载气的等离子体、并接着将活性气体(氨与三氟化氮)添加至等离子体。
不受限于理论,但认为蚀刻剂气体(NH4F与/或NH4F·HF)与氧化硅表面反应以形成六氟硅酸铵(NH4)2SiF6、NH3与H2O产物。NH3与H2O在处理条件下是气相的并由真空泵125自腔室100移除。明确地说,在气体通过真空口131离开腔室100进入真空泵125之前,挥发性气体通过形成于衬垫层133中的孔135流入抽吸通道129。将(NH4)2SiF6的薄膜留在基板表面上。此反应机制可概述如下:
NF3+3NH3→NH4F+NH4F·HF+N2
6NH4F+SiO2→(NH4)2SiF6+2H2O+4NH3
(NH4)2SiF6+热→2NH3+2HF+SiF4
在基板表面上形成薄膜之后,可将支撑构件310提高至相当接近加热的气体分配板225的退火位置。气体分配板225辐射的热量可分离或升华(NH4)2SiF6的薄膜成为挥发性SiF4、NH3与HF产物。接着由真空泵125而如同上述般将这些挥发性产物自腔室100移除。一般而言,75℃或更高的温度用来有效地自基板110升华与移除薄膜。较佳应用100℃或更高的温度,例如约115℃与约200℃之间。
用于将(NH4)2SiF6的薄膜分离成其挥发性成分的热能由气体分配板225对流或辐射而来。如上所述,加热元件270直接耦接至分配板225,并经启动以加热分配板225以及与其热接触的部件至约75℃与250℃之间的温度。一方面中,分配板225加热至100℃与150℃之间的温度,例如约120℃。
具有薄膜于其上的基板110上表面与分配板225之间的距离并非关键性的且为例行实验的问题。本领域技术人员可轻易确定有效率与有效地蒸发薄膜而不伤害下方基板所需的间隔。然而,认为约0.254mm(10密尔)与5.08mm(200密尔)之间的间隔是有效的。
一但已经自基板移除薄膜之后,可清除与排空处理腔室100。接着通过降低支撑组件300至传送位置、释放基板、并传送基板通过狭缝阀开口160而自腔室主体112移除处理的基板。
实施例:
蚀刻过程中,将2sccm的NF3、10sccm的NH3与2,500sccm的氩的气体混合物导入真空腔室。利用100瓦特的功率点燃气体混合物的等离子体。供应1,500sccm的氩至腔室下部以用于底部清除。供应50sccm的氩至基板支撑件的边缘部分附近以用于边缘清除。腔室压力维持于约6Torr下,而基板温度为约22℃。基板经蚀刻长达120秒。
退火过程中,基板与加热的腔室盖之间的间距为750密尔而盖温度为120℃。基板经退火长达约60秒。自基板表面移除约50埃的材料。并无发现退火效应。蚀刻速度为约0.46埃/秒(28
Figure BDA0000465807770000111
/分)。观察到的蚀刻均匀性对50蚀刻为约5%。
除非另有明示,否则本说明书与权利要求中用来表示成分数量、特性、反应条件等等的所有数字应理解为近似值。这些近似值以本发明意图得到的所欲特性与测量误差为基础,并应至少依照记述的有效数字并通过应用凑整技术而加以理解。再者,任何本文陈述的数量(包括温度、压力、间距、摩尔比例、流率等等)可进一步最佳化以达到所欲的蚀刻选择性与特定性能。
Siconi蚀刻方法与设备的额外描述可见于美国专利申请公开案2007/0123051,名称为“Oxide Etch with NH3-NF3Chemistry”,其通过参考并入本文中。
图5A-5B示意性描述根据本发明另一实施例修饰沟槽轮廓。
如图5A所示,沟槽结构52形成于基板50中。沟槽结构52形成为穿过包括第一材料的第一层53,并进入包括第二材料的第二层51。沟槽结构52的侧壁52a包括上部的第一材料与下部的第二材料。
通过让基板50接触蚀刻剂以形成牺牲层54于基板50上好夹封沟槽结构52的顶部开口52b。一实施例中,蚀刻剂设以通过与第一及第二材料反应并产生来自与第一材料及第二材料反应的副产物来蚀刻第一材料与第二材料两者。牺牲层54包括蚀刻剂与第一材料之间以及蚀刻剂与第二材料之间反应产生的副产物。
一实施例中,蚀刻剂蚀刻第一材料远快于蚀刻剂蚀刻第二材料。如图5A所示,蚀刻剂与第一材料快速地反应而牺牲层54夹封包括第一材料的顶部开口52b,而蚀刻剂与第二材料反应相对较慢而牺牲层54在沟槽结构52底部是非常薄的。
一实施例中,第一材料包括氧化硅、第二材料包括低-k材料、而蚀刻剂包括氨与三氟化氮的混合物,其蚀刻氧化硅的速度远快于其蚀刻低-k材料。
类似于上述的处理30,蚀刻剂可持续流过基板50以进一步与第一材料反应并通过扩散经过牺牲层54而与第二材料反应。因此,可蚀刻更多的材料。然而,蚀刻速率沿着侧壁52向下而减缓。一实施例中,可针对理想沟槽拓宽而改变蚀刻时间与/或蚀刻剂流率。
接下来,如图5B所示般移除牺牲层54而暴露修饰的沟槽轮廓57。原始的沟槽轮廓以图5B的虚线显示。比较原始的沟槽轮廓与修饰的沟槽轮廓57,显示出已经自沟槽结构52的上部移除更多的材料而提供变宽的顶部开口。
一实施例中,牺牲层54的形成、持续蚀刻、及牺牲层54的移除可执行于相同的处理腔室中,例如图4的处理腔室100。
图5C示意性描绘基板50接下来形成的衬垫膜55与填充材料56。拓宽的沟槽轮廓可让填充材料56形成于沟槽结构52中而不形成空隙。
虽然此处描述了一种干法蚀刻方法,但可依照本发明实施例应用任何可通过与即将蚀刻材料反应并产生副产物而作用的适当蚀刻剂。
本发明的实施例可用于任何需要沟槽与/或介层洞轮廓修饰作用的情况。例如,本发明的实施例可用来在沉积导电材料(诸如,铜或铝)之前修饰沟槽与介层洞轮廓以形成晶片互连。本发明的实施例也可在制造相变存储单元的锗-硒-碲(GST)填充之前用来修饰沟槽与介层洞轮廓。本发明的实施例还可在制造晶体管中的栅极金属填充之前用来修饰沟槽与/或介层洞轮廓。
虽然上述涉及本发明的实施例,但可在不悖离本发明的基本范围下设计出本发明的其他与更多实施例,而本发明的范围由下方的权利要求所界定。

Claims (20)

1.一种处理基板的方法,所述方法包括:
于所述基板上的底层中形成结构,其中所述结构具有底部、顶部开口和侧壁,所述侧壁从所述底部延伸至所述顶部开口,所述结构的底部和侧壁包括第一材料;
在第一流率下流动蚀刻剂而形成牺牲层来夹封所述结构的顶部开口,其中所述牺牲层包括所述蚀刻剂与所述第一材料之间的反应的副产物;
通过持续让所述基板接触所述蚀刻剂而让所述蚀刻剂与所述第一材料进一步反应;及
自所述基板移除所述牺牲层。
2.如权利要求1所述的方法,其中所述让蚀刻剂进一步反应的步骤设以通过与所述第一材料反应并产生所述副产物而移除所述第一材料。
3.如权利要求2所述的方法,其中所述流动蚀刻剂而形成牺牲层的步骤包括:
提高所述第一流率以提高所述结构的顶部开口附近的蚀刻速率与所述结构的底部附近的蚀刻速率之比。
4.如权利要求3所述的方法,其中所述蚀刻剂包括第一处理气体和第二处理气体,并且提高所述第一流率的步骤包括提高所述第一处理气体的流率。
5.如权利要求2所述的方法,其中所述第一材料包括氮化硅、氧化硅、或上述的组合之一者,而所述蚀刻剂包括含氟、氮与氢源。
6.如权利要求2所述的方法,其中所述流动蚀刻剂而形成牺牲层的步骤包括让所述基板接触由所述蚀刻剂产生的等离子体。
7.如权利要求6所述的方法,其中所述等离子体于远端产生并流至处理腔室,所述基板配置于所述处理腔室中。
8.如权利要求6所述的方法,其中所述等离子体在处理腔室中原位产生,所述基板配置于所述处理腔室中。
9.一种处理基板的方法,所述方法包括:
于所述基板上的底层中形成结构,其中所述结构具有底部、顶部开口和侧壁,所述侧壁从所述底部延伸至所述顶部开口,所述结构的底部和侧壁包括第一材料;
拓宽所述结构的顶部开口,所述拓宽顶部开口的步骤包括:
通过让所述基板接触蚀刻剂而形成牺牲层来夹封所述结构的顶部开口,其中所述牺牲层包括所述蚀刻剂与所述第一材料之间的反应的副产物;
通过持续让所述基板接触所述蚀刻剂而让所述蚀刻剂与所述第一材料进一步反应;及
自所述基板移除所述牺牲层;及
沉积第二材料以填充所述结构。
10.如权利要求9所述的方法,其中所述让蚀刻剂进一步反应的步骤设以通过与所述第一材料反应以产生所述副产物而移除所述第一材料。
11.如权利要求10所述的方法,其中所述形成牺牲层的步骤包括:
确定所述蚀刻剂的第一流率好让所述副产物夹封所述顶部开口;
在所述第一流率下流动所述蚀刻剂以夹封所述顶部开口。
12.如权利要求11所述的方法,其中所述拓宽顶部开口的步骤还包括:
提高所述第一流率以增加拓宽程度。
13.如权利要求11所述的方法,其中:
所述第一材料包括氮化硅、氧化硅、或上述的组合之一者;
所述蚀刻剂包括三氟化氮(NF3)与氨(NH3)的混合物;三氟化氮(NF3)与氢气(H2)的混合物;三氟化氮(NF3)、氢气(H2)与氮气(N2)的混合物;三氟化氮(NH3)与氟化氢(HF)的混合物;或其他类似的含氟、氮与氢源之一者。
14.如权利要求13所述的方法,其中所述第二材料包括用于互连的导电材料、用于相变存储器的锗-硒-碲(GST)填充、或用于金属栅极的栅极金属填充之一者。
15.如权利要求13所述的方法,其中所述移除牺牲层的步骤包括退火所述基板。
16.如权利要求9所述的方法,还包括:
在拓宽所述顶部开口之前,沉积衬垫层于所述基板上方,其中所述衬垫层包括所述第一材料。
17.一种处理基板的方法,所述方法包括:
将所述基板置于处理腔室中,其中所述基板具有结构,所述结构形成于所述基板上的底层中,其中所述结构具有底部、顶部开口和侧壁,所述侧壁从所述底部延伸至所述顶部开口,所述结构的底部和侧壁包括第一材料;
流动第一处理气体至所述处理腔室以形成牺牲层来夹封所述结构的顶部开口;
在已经夹封所述顶部开口之后持续所述第一处理气体的流动;及
退火所述基板以自所述结构移除所述牺牲层。
18.如权利要求17所述的方法,还包括:
确定所述第一处理气体的第一流率好让副产物夹封所述结构的顶部开口,其中所述第一处理气体设以通过与所述第一材料反应以产生所述副产物而移除所述第一材料。
19.如权利要求18所述的方法,其中所述确定第一流率的步骤包括:
提高所述第一流率以在移除所述牺牲层之后得到更加拓宽的顶部开口;及
降低所述第一流率以在移除所述牺牲层之后得到较没拓宽的顶部开口。
20.如权利要求19所述的方法,其中所述第一处理气体包括三氟化氮(NF3)与氨(NH3)的混合物;三氟化氮(NF3)与氢气(H2)的混合物;三氟化氮(NF3)、氢气(H2)与氮气(N2)的混合物;三氟化氮(NF3)与氟化氢(HF)的混合物;或其他类似的含氟、氮与氢源之一者。
CN201410050265.2A 2008-11-24 2009-11-19 用于沟槽与介层洞轮廓修饰的方法与设备 Expired - Fee Related CN103824746B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US11753108P 2008-11-24 2008-11-24
US61/117,531 2008-11-24
US12/620,799 2009-11-18
US12/620,799 US7994002B2 (en) 2008-11-24 2009-11-18 Method and apparatus for trench and via profile modification
CN200980147109.5A CN102224573B (zh) 2008-11-24 2009-11-19 用于沟槽与介层洞轮廓修饰的方法与设备

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN200980147109.5A Division CN102224573B (zh) 2008-11-24 2009-11-19 用于沟槽与介层洞轮廓修饰的方法与设备

Publications (2)

Publication Number Publication Date
CN103824746A true CN103824746A (zh) 2014-05-28
CN103824746B CN103824746B (zh) 2017-03-01

Family

ID=42196677

Family Applications (2)

Application Number Title Priority Date Filing Date
CN200980147109.5A Expired - Fee Related CN102224573B (zh) 2008-11-24 2009-11-19 用于沟槽与介层洞轮廓修饰的方法与设备
CN201410050265.2A Expired - Fee Related CN103824746B (zh) 2008-11-24 2009-11-19 用于沟槽与介层洞轮廓修饰的方法与设备

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN200980147109.5A Expired - Fee Related CN102224573B (zh) 2008-11-24 2009-11-19 用于沟槽与介层洞轮廓修饰的方法与设备

Country Status (6)

Country Link
US (2) US7994002B2 (zh)
JP (2) JP5319782B2 (zh)
KR (1) KR101148252B1 (zh)
CN (2) CN102224573B (zh)
TW (1) TWI413179B (zh)
WO (1) WO2010059868A2 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107611007A (zh) * 2017-08-24 2018-01-19 长江存储科技有限责任公司 一种深沟槽的预清洗方法及3d nand制备工艺
CN109860021A (zh) * 2017-11-30 2019-06-07 东京毅力科创株式会社 保护膜形成方法
CN113506771A (zh) * 2021-07-23 2021-10-15 长江存储科技有限责任公司 半导体结构的制作方法以及半导体结构

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009152108A2 (en) * 2008-06-10 2009-12-17 Advanced Technology Materials, Inc. GeSbTe MATERIAL INCLUDING SUPERFLOW LAYER(S), AND USE OF Ge TO PREVENT INTERACTION OF Te FROM SbXTeY AND GeXTeY RESULTING IN HIGH Te CONTENT AND FILM CRISTALLINITY
US7994002B2 (en) * 2008-11-24 2011-08-09 Applied Materials, Inc. Method and apparatus for trench and via profile modification
JP5703590B2 (ja) * 2010-05-10 2015-04-22 富士通セミコンダクター株式会社 半導体装置の製造方法
GB2487716B (en) * 2011-01-24 2015-06-03 Memsstar Ltd Vapour Etch of Silicon Dioxide with Improved Selectivity
US8334198B2 (en) * 2011-04-12 2012-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a plurality of gate structures
CN102800577B (zh) * 2011-05-26 2015-07-08 中芯国际集成电路制造(上海)有限公司 金属栅极及mos晶体管的形成方法
US8815734B2 (en) 2011-11-07 2014-08-26 International Business Machines Corporation Use of gas cluster ion beam to reduce metal void formation in interconnect structures
CN102709188A (zh) * 2012-05-22 2012-10-03 上海华力微电子有限公司 一种改善侧墙氮化硅不同区域的厚度均匀性的方法
CN102709173A (zh) * 2012-05-22 2012-10-03 上海华力微电子有限公司 一种改善侧墙氮化硅不同区域的厚度均匀性的方法
JP2015012243A (ja) * 2013-07-01 2015-01-19 東京エレクトロン株式会社 被処理体の処理方法
CN104425710B (zh) * 2013-08-20 2017-05-17 中芯国际集成电路制造(上海)有限公司 相变存储器及其形成方法
US8980758B1 (en) * 2013-09-17 2015-03-17 Applied Materials, Inc. Methods for etching an etching stop layer utilizing a cyclical etching process
JP6405958B2 (ja) * 2013-12-26 2018-10-17 東京エレクトロン株式会社 エッチング方法、記憶媒体及びエッチング装置
JP6386106B2 (ja) * 2014-06-23 2018-09-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ビア又はトレンチの中に層を堆積する方法、及び当該方法によって得られる製品
CN105742231B (zh) * 2014-12-11 2020-04-24 中国科学院微电子研究所 形成纳米线阵列的方法
CN106033714A (zh) * 2015-03-10 2016-10-19 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9502303B2 (en) * 2015-04-09 2016-11-22 United Microelectronics Corp. Method for manufacturing semiconductor device with a barrier layer having overhung portions
TWI722132B (zh) * 2016-03-13 2021-03-21 美商應用材料股份有限公司 用於間隔墊應用之氮化矽薄膜的選擇性沉積
US10229832B2 (en) * 2016-09-22 2019-03-12 Varian Semiconductor Equipment Associates, Inc. Techniques for forming patterned features using directional ions
US10269559B2 (en) * 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US11195759B2 (en) * 2018-11-30 2021-12-07 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement and method for making
JP7346218B2 (ja) 2018-12-06 2023-09-19 東京エレクトロン株式会社 エッチング処理方法及び基板処理装置
CN109545963B (zh) * 2018-12-12 2022-09-30 北京时代全芯存储技术股份有限公司 制造相变化记忆体的方法
CN109706066B (zh) * 2018-12-29 2022-08-26 赛纳生物科技(北京)有限公司 基因测序芯片微坑表面修饰方法
US11830725B2 (en) 2020-01-23 2023-11-28 Applied Materials, Inc. Method of cleaning a structure and method of depositing a capping layer in a structure
KR20220041358A (ko) 2020-09-25 2022-04-01 에스케이하이닉스 주식회사 반도체장치 및 그 제조 방법
US20230136499A1 (en) * 2021-10-31 2023-05-04 Applied Materials, Inc. Selective Passivation Of Damaged Nitride

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3613181A1 (de) * 1986-04-18 1987-10-22 Siemens Ag Verfahren zum erzeugen von graeben mit einstellbarer steilheit der grabenwaende in aus silizium bestehenden halbleitersubstraten
CN1213157A (zh) * 1997-09-30 1999-04-07 西门子公司 用于进行平面化和凹入蚀刻的方法及装置
JPH11317398A (ja) * 1998-03-04 1999-11-16 Toshiba Corp 半導体装置の製造方法及びエッチング装置
EP1087423A2 (en) * 1999-09-24 2001-03-28 Applied Materials, Inc. Method for etching films on substrates, for cleaning etch chambers, and apparatus therefore
WO2004097909A2 (en) * 2003-04-24 2004-11-11 Tokyo Electron Limited Method and apparatus for deep trench silicon etch
US20050079729A1 (en) * 2003-10-08 2005-04-14 Woo-Sung Jang High density plasma oxide film deposition apparatus having a guide ring and a semiconductor device manufacturing method using the same
CN102224573A (zh) * 2008-11-24 2011-10-19 应用材料股份有限公司 用于沟槽与介层洞轮廓修饰的方法与设备

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4784720A (en) * 1985-05-03 1988-11-15 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
US4807016A (en) * 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
EP0286306B1 (en) * 1987-04-03 1993-10-06 Fujitsu Limited Method and apparatus for vapor deposition of diamond
US5030319A (en) * 1988-12-27 1991-07-09 Kabushiki Kaisha Toshiba Method of oxide etching with condensed plasma reaction product
US5118384A (en) * 1990-04-03 1992-06-02 International Business Machines Corporation Reactive ion etching buffer mask
US5578130A (en) * 1990-12-12 1996-11-26 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for depositing a film
US5282925A (en) * 1992-11-09 1994-02-01 International Business Machines Corporation Device and method for accurate etching and removal of thin film
US5505816A (en) * 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
US5846375A (en) * 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
TW304293B (en) * 1996-11-18 1997-05-01 United Microelectronics Corp Manufacturing method for shallow trench isolation
US6054377A (en) * 1997-05-19 2000-04-25 Motorola, Inc. Method for forming an inlaid via in a semiconductor device
US6706334B1 (en) * 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
JP3178412B2 (ja) * 1998-04-27 2001-06-18 日本電気株式会社 トレンチ・アイソレーション構造の形成方法
JP4124543B2 (ja) 1998-11-11 2008-07-23 東京エレクトロン株式会社 表面処理方法及びその装置
JP4057198B2 (ja) 1999-08-13 2008-03-05 東京エレクトロン株式会社 処理装置及び処理方法
JP2001274111A (ja) 1999-11-09 2001-10-05 Applied Materials Inc サリサイド・プロセス用の化学的プラズマ洗浄
US6335261B1 (en) * 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
US6271147B1 (en) * 2000-08-18 2001-08-07 Vanguard International Semiconductor Corporation Methods of forming trench isolation regions using spin-on material
US6372657B1 (en) * 2000-08-31 2002-04-16 Micron Technology, Inc. Method for selective etching of oxides
US6448537B1 (en) * 2000-12-11 2002-09-10 Eric Anton Nering Single-wafer process chamber thermal convection processes
US6583053B2 (en) * 2001-03-23 2003-06-24 Texas Instruments Incorporated Use of a sacrificial layer to facilitate metallization for small features
US6670278B2 (en) * 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
US6506291B2 (en) * 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
US7138649B2 (en) * 2001-08-09 2006-11-21 Amberwave Systems Corporation Dual-channel CMOS transistors with differentially strained channels
US7115516B2 (en) * 2001-10-09 2006-10-03 Applied Materials, Inc. Method of depositing a material layer
JP3954833B2 (ja) 2001-10-19 2007-08-08 株式会社アルバック バッチ式真空処理装置
CN100524642C (zh) * 2001-12-13 2009-08-05 应用材料股份有限公司 一种半导体器件
US7256370B2 (en) * 2002-03-15 2007-08-14 Steed Technology, Inc. Vacuum thermal annealer
US6500728B1 (en) * 2002-05-24 2002-12-31 Taiwan Semiconductor Manufacturing Company Shallow trench isolation (STI) module to improve contact etch process window
WO2004081982A2 (en) * 2003-03-07 2004-09-23 Amberwave Systems Corporation Shallow trench isolation process
US20070123051A1 (en) * 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US7049200B2 (en) * 2004-05-25 2006-05-23 Applied Materials Inc. Method for forming a low thermal budget spacer
KR100593740B1 (ko) 2004-09-16 2006-06-28 삼성전자주식회사 반도체 자연산화막 제거방법
US20060130971A1 (en) * 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
JP4475136B2 (ja) 2005-02-18 2010-06-09 東京エレクトロン株式会社 処理システム、前処理装置及び記憶媒体
US20070087573A1 (en) * 2005-10-19 2007-04-19 Yi-Yiing Chiang Pre-treatment method for physical vapor deposition of metal layer and method of forming metal silicide layer
JP2009094307A (ja) * 2007-10-10 2009-04-30 Tokyo Electron Ltd エッチング方法及び記録媒体

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3613181A1 (de) * 1986-04-18 1987-10-22 Siemens Ag Verfahren zum erzeugen von graeben mit einstellbarer steilheit der grabenwaende in aus silizium bestehenden halbleitersubstraten
CN1213157A (zh) * 1997-09-30 1999-04-07 西门子公司 用于进行平面化和凹入蚀刻的方法及装置
JPH11317398A (ja) * 1998-03-04 1999-11-16 Toshiba Corp 半導体装置の製造方法及びエッチング装置
EP1087423A2 (en) * 1999-09-24 2001-03-28 Applied Materials, Inc. Method for etching films on substrates, for cleaning etch chambers, and apparatus therefore
WO2004097909A2 (en) * 2003-04-24 2004-11-11 Tokyo Electron Limited Method and apparatus for deep trench silicon etch
US20050079729A1 (en) * 2003-10-08 2005-04-14 Woo-Sung Jang High density plasma oxide film deposition apparatus having a guide ring and a semiconductor device manufacturing method using the same
CN102224573A (zh) * 2008-11-24 2011-10-19 应用材料股份有限公司 用于沟槽与介层洞轮廓修饰的方法与设备

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107611007A (zh) * 2017-08-24 2018-01-19 长江存储科技有限责任公司 一种深沟槽的预清洗方法及3d nand制备工艺
CN109860021A (zh) * 2017-11-30 2019-06-07 东京毅力科创株式会社 保护膜形成方法
CN109860021B (zh) * 2017-11-30 2024-04-12 东京毅力科创株式会社 保护膜形成方法
CN113506771A (zh) * 2021-07-23 2021-10-15 长江存储科技有限责任公司 半导体结构的制作方法以及半导体结构

Also Published As

Publication number Publication date
KR20110097884A (ko) 2011-08-31
JP2012510164A (ja) 2012-04-26
US20110294258A1 (en) 2011-12-01
TWI413179B (zh) 2013-10-21
KR101148252B1 (ko) 2012-05-21
JP5319782B2 (ja) 2013-10-16
WO2010059868A3 (en) 2010-08-19
US8268684B2 (en) 2012-09-18
US20100129958A1 (en) 2010-05-27
WO2010059868A2 (en) 2010-05-27
CN103824746B (zh) 2017-03-01
JP2013258408A (ja) 2013-12-26
US7994002B2 (en) 2011-08-09
CN102224573A (zh) 2011-10-19
TW201027619A (en) 2010-07-16
CN102224573B (zh) 2014-03-19
JP5518239B2 (ja) 2014-06-11

Similar Documents

Publication Publication Date Title
CN102224573B (zh) 用于沟槽与介层洞轮廓修饰的方法与设备
CN101903984B (zh) 利用等离子体清洁处理形成钝化层以降低自然氧化物生长的方法
US8951913B2 (en) Method for removing native oxide and associated residue from a substrate
US9093390B2 (en) Conformal oxide dry etch
TWI402914B (zh) 以氨與三氟化氮蝕刻氧化物
US10199215B2 (en) Apparatus and method for selective deposition
US8435902B2 (en) Invertable pattern loading with dry etch
US7582555B1 (en) CVD flowable gap fill
KR101592850B1 (ko) 원격으로-여기된 불소 및 수증기 식각
US20060130971A1 (en) Apparatus for generating plasma by RF power
US20140363979A1 (en) Directional sio2 etch using low-temperature etchant deposition and plasma post-treatment
TW201528366A (zh) 使用氟自由基乾式蝕刻鈷金屬的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20170301

Termination date: 20201119

CF01 Termination of patent right due to non-payment of annual fee