CN103930992A - 间层多晶硅电介质帽和形成该间层多晶硅电介质帽的方法 - Google Patents

间层多晶硅电介质帽和形成该间层多晶硅电介质帽的方法 Download PDF

Info

Publication number
CN103930992A
CN103930992A CN201280054973.2A CN201280054973A CN103930992A CN 103930992 A CN103930992 A CN 103930992A CN 201280054973 A CN201280054973 A CN 201280054973A CN 103930992 A CN103930992 A CN 103930992A
Authority
CN
China
Prior art keywords
layer
nitrogenous
floating grid
oxygenous
nitrogenous layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201280054973.2A
Other languages
English (en)
Other versions
CN103930992B (zh
Inventor
马修·S·罗杰斯
克劳斯·许格拉夫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN103930992A publication Critical patent/CN103930992A/zh
Application granted granted Critical
Publication of CN103930992B publication Critical patent/CN103930992B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

在某些实施例中,间层多晶硅电介质帽设置在基板的顶上,所述基板具有第一浮置栅极、第二浮置栅极和设置在第一浮置栅极与第二浮置栅极之间的绝缘层,所述间层多晶硅电介质帽可包括:第一含氮层,设置在第一浮置栅极和第二浮置栅极的上部和侧壁的顶上;第一含氧层,设置在绝缘层的上表面和第一含氮层的顶上;第二含氮层,设置在第一含氧层的上部和侧壁的顶上;和第二含氧层,设置在第一含氧层的上表面和第二含氮层的顶上。

Description

间层多晶硅电介质帽和形成该间层多晶硅电介质帽的方法
领域
本发明的实施例一般涉及半导体和形成半导体的工艺。
背景
发明人已观察到,通过简单地缩小装置结构来按比例缩小半导体装置常常不产生小尺寸的可接受的结果。在与非(NAND)闪存存储器装置中,当诸如隧道氧化层、间多晶硅电介质(inter polysilicon dielectric;IPD)帽或类似物的特征被按比例缩放时,可能在例如基板与浮置栅极、浮置栅极与控制栅极或类似物之间发生不希望的泄漏。例如,发明人已观察到,当按比例缩放闪存存储器装置时,用于形成传统的间多晶硅电介质帽的共形氮化物层可将相邻的浮置栅极电气耦接,从而造成相邻浮置栅极之间的泄漏,因而降低装置性能。
因此,发明人已提供使用间层多晶硅电介质帽的改进的半导体装置和制造所述半导体装置的方法。
概述
本文提供了间层多晶硅电介质帽和形成所述间层多晶硅电介质帽的方法。在某些实施例中,一种设置在基板的顶上的间层多晶硅电介质帽,所述基板具有第一浮置栅极、第二浮置栅极和设置在第一浮置栅极与第二浮置栅极之间的绝缘层,所述间层多晶硅电介质帽可包括:第一含氮层,设置在第一浮置栅极和第二浮置栅极的上部和侧壁的顶上;第一含氧层,设置在绝缘层的上表面和第一含氮层的顶上;第二含氮层,设置在第一含氧层的上部和侧壁的顶上;和第二含氧层,设置在第一含氧层的上表面和第二含氮层的顶上。
在某些实施例中,一种装置,所述装置可包括:基板,具有第一浮置栅极、第二浮置栅极和设置在第一浮置栅极与第二浮置栅极之间的绝缘层;第一含氮层,设置在第一浮置栅极和第二浮置栅极的上部和侧壁的顶上;第一含氧层,设置在绝缘层的上表面和第一含氮层的顶上;第二含氮层,设置在第一含氧层的上部和侧壁的顶上;和第二含氧层,设置在第一含氧层的上表面和第二含氮层的顶上,其中第一含氮层和第二含氮层与第一含氧层和第二含氧层形成间层多晶硅电介质帽。
在某些实施例中,一种在基板的顶上形成间层多晶硅电介质帽的方法,所述基板具有第一浮置栅极、第二浮置栅极和设置在第一浮置栅极与第二浮置栅极之间的绝缘层,所述方法可包括以下步骤:在第一浮置栅极和第二浮置栅极的上部和侧壁的顶上有选择地形成第一含氮层;在绝缘层的上表面和第一含氮层的顶上形成第一含氧层;在第一含氧层的顶上沉积第二含氮层,其中第二含氮层具有沉积在第一浮置栅极和第二浮置栅极的上部上方的第一厚度,和沉积在绝缘层的上表面上方的第二厚度,且其中第二厚度小于第一厚度;和通过氧化沉积在第一浮置栅极和第二浮置栅极的上部上方的第二含氮层的至少一部分,和氧化沉积在绝缘层的上表面上方的大体上所有第二含氮层来形成第二含氧层。
在下文中描述本发明的其他和进一步实施例。
附图简要说明
在上文中简要概述且下文中更加详细论述的本发明实施例可通过参考附图中所示的本发明的说明性实施例而理解。然而,应注意,附图仅图示本发明的典型实施例且因此不将附图视为限制本发明的范围,因为本发明可允许其他同等有效的实施例。
图1图示根据本发明的某些实施例的具有间层多晶硅电介质(IPD)帽的装置的截面图。
图2图示根据本发明的某些实施例的用于形成间层多晶硅电介质帽的方法。
图3A至图3H图示根据本发明的某些实施例的贯穿用于形成间层多晶硅电介质帽的方法的各个阶段的间层多晶硅电介质帽的截面图。
图4是根据本发明的某些实施例的适合于执行用于形成间层多晶硅电介质帽的方法的群集工具。
为了便于理解,在可能的情况下,已使用相同元件符号来指定对各附图共用的相同元件。附图并为按比例绘制,且为清楚起见可将附图简化。可以预期,一个实施例的元件和特征可有利地并入其他实施例中而无需进一步叙述。
具体描述
本文提供了间层多晶硅电介质帽和制造所述间层多晶硅电介质帽的方法。本发明的间层多晶硅电介质(IPD)帽可在相邻的浮置栅极之间包括含非共形氮的层,从而降低或消除相邻浮置栅极的电耦合,因而减少或消除装置的相邻浮置栅极之间的泄漏。此外,本发明的方法有利地利用多个非共形层形成工艺以促进形成非共形含氮层。虽然在范围中不受限制,但是本发明可特别有利地用于制造存储器装置,诸如NAND闪存存储器装置,具体地说对于按比例缩小的NAND闪存存储器装置(例如,小于45nm的装置)。
图1是根据本发明的某些实施例的具有间层多晶硅电介质(IPD)帽102的装置100的截面图。装置100可以是利用需要彼此绝缘的相邻场栅极的任何类型的装置。例如,在某些实施例中,装置100可以是存储器装置,例如NAND闪存存储器装置。在某些实施例中,装置100可以是按比例缩小的NAND闪存存储器装置,或其他适当的装置,所述其他适当的装置具有例如45nm、30nm或20nm的节点大小。
在某些实施例中,装置100通常包括基板108、多个浮置栅极(示出的第一浮置栅极104和第二浮置栅极106)、绝缘层110(有时被称为隧道氧化层)和IPD帽102。
基板108可包含适用于制造装置100(例如,NAND闪存存储器装置)的任何材料,所述材料例如是诸如结晶硅(例如,Si<100>或Si<111>)、应变硅(strained silicon)、硅锗、掺杂或无掺杂多晶硅、掺杂或无掺杂硅晶片、图案化或非图案化晶片、绝缘体上硅(silicon on insulator;SOI)、碳掺杂氧化硅、掺杂硅、锗、砷化镓、玻璃、蓝宝石、或类似物。
在某些实施例中,绝缘层110可设置在基板108与第一浮置栅极104和第二浮置栅极106之间,且绝缘层110可延伸到第一浮置栅极104与第二浮置栅极106之间的绝缘区112(有时被称为场氧化层)中,以促进第一浮置栅极104和第二浮置栅极106彼此且与基板108绝缘。绝缘层110可包含适合于提供第一浮置栅极104和第二浮置栅极106和基板108的上述绝缘的任何材料。例如,在某些实施例中,绝缘层100可包含为单层或分层结构(例如,SiO2/高k/SiO2)的硅和氧,诸如氧化硅(SiO2)、氮氧化硅(SiON);或高k电介质材料,诸如铝(Al)基、铪(Hf)基、镧(La)基,或锆(Zr)基氧化物或氮氧化物,或氮化硅(SiXNY)或类似物。此外,绝缘层110可具有适合于提供如上文所述论述的第一浮置栅极104和第二浮置栅极106和基板108的绝缘的任何厚度,所述厚度例如诸如约至约
第一浮置栅极104和第二浮置栅极106可包含适合于形成所需装置(例如,存储器装置)的任何材料,所述材料例如是诸如金属、掺杂或无掺杂硅或多晶硅或类似物的导电材料。在某些实施例中,第一浮置栅极104和第二浮置栅极106可包含钛(Ti)、钽(Ta)、氮化钛(TiN)、氮化钽(TaN)、钨(W)、或类似物。
在某些实施例中,IPD帽102可包括含氮层和含氧层的交替层(例如,NONON结构)。在所述实施例中,IPD帽102可包括第一含氮层114,所述第一含氮层114设置在第一浮置栅极104和第二浮置栅极106的上部116和侧壁118的顶上。发明人已观察到,通过在第一浮置栅极104和第二浮置栅极106的上部116和侧壁118的顶上,而不在绝缘层110的顶表面120顶上提供第一含氮层114(诸如在传统IPD帽中提供的含氮层),可防止或减少第一浮置栅极104和第二浮置栅极106的电耦合,从而显著地降低或消除第一浮置栅极104和第二浮置栅极106之间的泄漏,因而增加装置性能。
第一含氮层114可包含适合于形成所需装置100的任何含氮材料。例如,在某些实施例中,第一含氮层114可包含硅和氮,例如诸如氮化硅(SiN)、氮氧化硅(SiON)、或类似物。在某些实施例中,第一含氮层114可具有约至约的厚度。在某些实施例中,第一含氮层114可包含约10至约90原子百分比的氮含量。
在某些实施例中,第一含氧层122可设置在绝缘层110的顶表面120和第一含氮层114的顶上。在某些实施例中,第一含氧层122可包含为单层或分层结构(例如,SiO2/高k/SiO2)的硅和氧,例如诸如氧化硅(SiO2)、氮氧化硅(SiON);或高k电介质材料,诸如铝(Al)基、铪(Hf)基、镧(La)基,或锆(Zr)基氧化物或氮氧化物,或氮化硅(SiXNY),或类似物。在某些实施例中,第一含氧层122可包含与用于绝缘层100的材料相同的材料,或在某些实施例中可包含与用于绝缘层100的材料不同的材料。例如,在其中绝缘层110包含例如氧化硅(SiO2)的氧化物的实施例中,第一含氧层122也可包含氧化硅(SiO2)。在某些实施例中,第一含氧层122可具有约至约的厚度。在某些实施例中,第一含氧层122可包含约50至约80原子百分比的氧含量。
在某些实施例中,第二含氮层124可设置在第一含氧层122的上部136和侧壁134的顶上。发明人已观察到,通过在第一含氧层122的上部136和侧壁134的顶上,而不是在第一含氧层122的底表面132的顶上提供第二含氮层124(诸如在传统IPD帽中提供的含氮层),可以防止或减少第一浮置栅极104和第二浮置栅极106之间的电耦合,从而显著地降低或消除第一浮置栅极104与第二浮置栅极106之间的泄漏,因而增加装置性能。
第二含氮层124可包含适合于形成所需装置100的任何含氮材料,所述材料例如是诸如上文相对于第一含氮层114所述的材料。在某些实施例中,第二含氮层124可包含与上文所述的第一含氮层114中所用的材料相同的材料,或在某些实施例中可包含与上文所述的第一含氮层114中所用的材料不同的材料。在某些实施例中,第二含氮层124可具有约至约的厚度。
在某些实施例中,第二含氧层126可设置在第一含氧层122的底表面132和第二含氮层124的顶上。第二含氧层126可包含例如诸如氧化硅(SiO2)的硅和氧,或上文相对于第一含氧层122所述的任何材料。在某些实施例中,第二含氧层126可包含与第一含氧层122中所用的材料相同的材料,或在某些实施例中可包含与第一含氧层122中所用的材料不同的材料。在某些实施例中,第二含氧层126可具有约至约的厚度。在某些实施例中,第二含氧层126可包含约40至约80原子百分比的氧含量。
在某些实施例中,可选第三含氮层128可设置在第二含氧层126的顶上。第三含氮层128可包含适合于形成所需装置100的任何含氮材料,所述材料例如诸如上文相对于第一含氮层114和/或第二含氮层124所述的材料。第三含氮层128可包含与第一含氮层114和第二含氮层124中所用的材料相同,或在某些实施例中可包含与第一含氮层114和第二含氮层124中所用的材料不同的材料。在某些实施例中,第三含氮层128可具有约至约的厚度。在某些实施例中,第三含氮层128可包含约10至约100原子百分比的氮含量。
在某些实施例中,控制栅极层130可设置在第二含氧层126(或当存在时的可选第三含氮层128)的顶上。在某些实施例中,控制栅极层130包含导电材料,所述导电材料诸如是金属、掺杂或非掺杂硅或多晶硅、或类似物。
图2图示根据本发明的某些实施例的用于形成间层多晶硅电介质(IPD)帽、例如诸如上文在图1中所述的IPD帽102的方法200。
所述方法在202处通过提供基板302而开始,所述基板302具有第一浮置栅极306、第二浮置栅极308和绝缘层304,如图3A所示。在某些实施例中,绝缘层304可设置在基板302与第一浮置栅极306与第二浮置栅极308之间,且绝缘层304可在第一浮置栅极306和第二浮置栅极308之间延伸以促进第一浮置栅极306和第二浮置栅极308彼此且与基板302绝缘。
基板302可包含适用于制造装置300(例如,NAND闪存存储器装置)、例如诸如上文相对于图1所述的基板的任何类型的材料。绝缘层304可包含适合于提供第一浮置栅极306和第二浮置栅极308与基板302的上述绝缘的任何材料,所述材料例如是诸如上文相对于如图1中所示的绝缘层110所述的材料。第一浮置栅极306和第二浮置栅极308可包含适合于形成所需装置(例如存储器装置)的任何材料,所述材料例如是诸如上文相对于如图1中所示的第一浮置栅极104和第二浮置栅极106所述的材料。
下一步,在204处,将第一含氮层314有选择地形成在第一浮置栅极306和第二浮置栅极308的上部316、317和侧壁310、312的顶上,如图3B中所示。第一含氮层314可包含适合于形成所需装置的任何含氮材料,所述材料例如是诸如上文对于以上图1中所述的第一含氮层114所论述的任何材料。在某些实施例中,第一含氮层314可被沉积到约至约的厚度。
第一含氮层314可使用适合于为所需装置300沉积特定材料的第一含氮层314的任何氮化工艺形成,所述氮化工艺例如是诸如使用去耦等离子体源的等离子体氮化工艺。适合于形成含氮层314的示例性腔室可以是被设置用于等离子体氮化的任何腔室,所述腔室诸如是RADOXTM工艺腔室,或能够去耦等离子体氮化(decoupled plasmanitridation;DPN)或远程等离子体氮化(remote plasma nitridation;RPN)的任何腔室,上述腔室可从加州圣克拉拉市的应用材料公司(Applied Materials,Inc.)购买到。也可使用适合于执行含氮层314的其他腔室。
在例如其中第一含氮层314是经由等离子体氮化工艺形成的某些实施例中,第一含氮层314可通过将第一浮置栅极306和第二浮置栅极308的上部316、317和侧壁310、312暴露于等离子体而形成,所述等离子体由含氮工艺气体形成。
发明人已观察到,因为由于较低Si-Si键能(与Si-O相比)的含氮自由基与硅的较佳反应,与利用氮离子相反,利用氮自由基可提供较高选择性。因此,在某些实施例中,第一浮置栅极306和第二浮置栅极308的上部316、317和侧壁310、312可被暴露于在等离子体中形成的氮自由基。在所述实施例中,在给定工艺之后,硅中氮的浓度与氧中氮的浓度的比率可为约10:1至约100:1,或在某些实施例中为约20:1至约70:1,或在某些实施例中,为约40:1。
在某些实施例中,可使用原位等离子体产生工艺。或者,在某些实施例中,等离子体可远程地产生。在所述实施例中,例如微波、射频或热腔室的远程等离子体发生器可通过相对长的路径被连接到处理腔室,以激励离子物种在到达工艺腔室之前沿着路径重新组合,从而形成氮自由基。自由基可随后在某些实施例中例如经由喷头或自由基分配器,或经由腔室侧壁中的门户入口流入工艺腔室。
在某些实施例中,含氮气体可包含氮气(N2)、氨气(NH3)、联氨(N2H4)、低级取代联氨(lower substituted hydrazines)(N2R2,其中每一R是独立的氢基、甲基、乙基、丙基、乙烯基,或丙烯基),和低级氨(lower amines)(NRaHb,其中a和b各自是从0至3的整数且a+b=3,且每一R是独立的氢基、甲基、乙基、丙基、乙烯基,或丙烯基)、氨化物(RCONR’R”,其中R、R’和R”各自是独立的氢基、甲基、乙基、丙基、乙烯基,或丙烯基)、亚胺(imines)(RR’C=NR”,其中R、R’和R”各自是独立的氢基、甲基、乙基、丙基、乙烯基,或丙烯基),或酰亚胺(imides)(RCONR’COR”,其中R、R’和R”各自是独立的氢基、甲基、乙基、丙基、乙烯基或丙烯基)。
在某些实施例中,工艺气体可进一步包括惰性气体,诸如氩气(Ar)、氦气(He)、氪气(Kr)、氙气(Xe)、或类似气体。在所述实施例中,工艺气体可包含约50至约95百分比的含氮气体。工艺气体可以适合于提供足够量的含氮气体的任何总气体流量供应以形成氮化工艺的等离子体。例如,在某些实施例中,工艺气体可以约1000sccm至约20000sccm的总流率供应。
等离子体可使用例如电感耦合等离子体源由工艺气体形成。在某些实施例中,等离子体源可以是射频功率源。在某些实施例中,所提供的射频功率源是在约0.5kW至约5kW的功率之间且在约2MHz至约2GHz的频率下。
此外,可使用其他参数来促进氮化工艺。例如,在某些实施例中,执行氮化工艺同时将基板302保持在约300℃和1200℃的温度下,或在某些实施例中,将基板302保持在约800℃和约1000℃的温度下。在某些实施例中,可在工艺期间逐渐增加温度以使表面沉积的氮挥发,从而抵消表面饱和,并且因而增加氮至基板中的渗透。
在某些实施例中,为了形成等离子体中的含氮自由基(例如,N、NH或NH2)的高自由基密度相对离子密度,可在工艺腔室保持大于约5托的压力。发明人已观察到,提供所述压力促使离子与电子迅速地再结合,留下中性基物种和非活性物种。
下一步,在206处,将第一含氧层318形成在绝缘层304的上表面320和第一含氮层314的顶上,如图3C中所示。第一含氧层318可包含硅和氧、例如诸如氧化硅(SiO2)或类似物。在某些实施例中,第一含氧层318可形成为约至约的厚度。
在某些实施例中,第一含氧层318可通过氧化工艺、沉积工艺,或上述工艺的组合形成。例如,适当的工艺可包括热氧化、化学气相沉积(chemical vapordeposition;CVD)、低压化学气相沉积(low pressure chemical vapor deposition;LPCVD)、原子层沉积(atomic layer deposition;ALD)、等离子体增强化学气相沉积(plasma enhanced chemical vapor deposition;PECVD),或上述工艺的组合。在其中第一含氧层318是经由氧化工艺形成的实施例中,所述工艺可在高温(例如,约800摄氏度至约1200摄氏度)下执行以产生高温氧化层(hightemperature oxide;HTO)。可使用能够执行任何上述工艺的任何类型的工艺腔室。示例性工艺腔室包括可从加州圣克拉拉市的应用材料公司(AppliedMaterials,Inc.)购买的RADOXTM 腔室。
在例如化学气相沉积(chemical vapor deposition;CVD)的示例性沉积工艺中,第一含氧层318可从化学蒸气来沉积,所述化学蒸气由包含沉积气体混合物的一种或更多种前驱物气体的所需化学反应产生。例如,沉积气体混合物可包括一种或更多种前驱物气体,且沉积气体混合物可选择性地进一步包括惰性气体或载气。
例如,在其中第一含氧层318包含氧化硅(SiO2)的实施例中,沉积气体混合物可包含含硅气体、含氧气体和载气。示例性含硅气体可包含但不限于硅烷、卤化硅烷(halogenated silanes)和有机硅烷的一种或更多种。示例性含氧气体可包含但不限于氧气(O2)、臭氧(O3)或水蒸汽(H2O)的一种或更多种。载气可包含氮气(N2)、氢气(H2)、氩气、氦气和上述气体的组合。可基于在沉积工艺期间的一种或更多种前驱物气体的特性和/或工艺温度来选择载气。沉积气体混合物和/或工艺腔室可被保持在适用于沉积第一含氧层318的处理温度和压力下。
下一步,在208处,将第二含氮层321沉积在第一含氧层318的顶上,第二含氮层321具有沉积在第一和第二浮置栅极306的上部316、317上方的第一厚度322和沉积在绝缘层304的上表面320上方的第二厚度324,如图3D中所示。第二含氮层321可包含适合于形成所需装置的任何含氮材料、例如诸如上文相对于图1中所示的第二氮层124所述的材料。
第二含氮层321可经由能够沉积第二含氮层321至所需厚度的任何适当工艺沉积,所述工艺例如是诸如上文相对于第一含氮层314的形成所述的工艺。可使用能够执行任何上述工艺的任何类型的工艺腔室。示例性工艺腔室包括可从加州圣克拉拉市的应用材料公司(Applied Materials,Inc.)购买的腔室。
提供作为非共形层(即,具有如上所述的第一厚度322和第二厚度324)的第二含氮层321允许在随后的氧化步骤中(如下所述),第一和第二浮置栅极306的上部316、317上方的第二含氮层321的一部分被部分地消耗且沉积在绝缘层304的上表面320上方的大体上所有第二含氮层321被大体上全部消耗。在某些实施例中,第一厚度322为至约。在某些实施例中,第二厚度324为约至约
下一步,在210处,通过氧化沉积在第一和第二浮置栅极306的上部316、317上方的至少一部分第二含氮层321,且通过氧化沉积在绝缘层304的上表面320上方的大体上所有第二含氮层321形成第二含氧层326,如图3E中所示。发明人已观察到,通过形成非共形第二含氧层326(即,通过执行上述氧化工艺)且去除沉积在绝缘层304的上表面320上方的大体上所有第二含氮层321,可阻止或降低第一浮置栅极306和第二浮置栅极308之间的电耦合,显著地降低或消除第一浮置栅极306与和第二浮置栅极308之间的泄漏,从而增加装置300性能。
第二含氧层326可包含适合于形成所需装置的任何含氧材料,所述材料例如是诸如上文相对于图1中所示的第二含氧层126所论述的材料。在某些实施例中,第二含氧层326的厚度可为约至约
可使用任何适当氧化工艺以形成第二含氧层326,所述氧化工艺例如是诸如去耦等离子体氧化(decoupled plasma oxidation;DPO)的等离子体氧化。适用于执行氧化工艺的示例性工艺腔室可包括被构造为执行去耦等离子体氧化(DPO)工艺的任何工艺腔室,所述工艺腔室可从加州圣克拉拉市的应用材料公司(Applied Materials,Inc.)购得。也可使用其他适当的工艺腔室,所述工艺腔室包括可从其他制造商购得的工艺腔室。
在示例性氧化工艺中,第二含氮层321可被暴露于由氧化工艺气体形成的氧化等离子体。在某些实施例中,氧化工艺气体包含含氧气体,所述含氧气体例如是诸如氧气(O2)、臭氧(O3)、水蒸汽(H2O)、或类似物。在某些实施例中,氧化工艺气体可进一步包括惰性气体,所述惰性气体诸如是氩气(Ar)、氦气(He)、氪气(Kr)、氙气(Xe)、或类似物,以充当载气和/或进一步促进氧化。在所述实施例中,氧化工艺气体可包含约10至约99百分比的含氧气体。氧化工艺气体可以适合于提供足够量的含氧气体的任何总气体流量供应以形成用于氧化工艺的等离子体。例如,在某些实施例中,氧化工艺气体可以约1000sccm至约50000sccm的总流率供应。
为了形成氧化等离子体,例如射频功率的等离子体功率被耦接到氧化工艺气体。在某些实施例中,射频功率可在约2MHz至约2GHz的频率下具有约50W至约5000W的功率以形成等离子体。可使用其他参数以保持氧化等离子体和/或促进氧化。例如,在某些实施例中,工艺腔室可被保持在约1托至约500托的压力下。替代地或组合地,在某些实施例中,基板320可被保持在约500摄氏度至约1000摄氏度的温度下。
在氧化等离子体之内含有的带电物种可提供带电物种朝向基板的某些方向性,从而引起带电物种在氧化等离子体存在的情况下由于基板302的自偏压而朝向基板加速,因此促进如上所述的第二含氮层321部分的去除。此外,通过向基板302提供偏压功率,氧化等离子体的通量可被控制,从而提供在垂直于基板302的方向上的带电物种的增加的方向性和加速度,以促进在第一和第二浮置栅极306的上部316、317和绝缘层304的上表面320上方的第二含氮层321的去除。因此,在某些实施例中,可在处理期间向基板302提供约50瓦特至约1000瓦特的偏压功率。
发明人已观察到,在210处的第二含氧层326的形成期间,可在第二含氮层321的侧壁上发生某些氧化(在328处以虚线图示)。然而,在侧壁上的第二含氮层321上的此氧化可能不提供足够厚度的氧化层,以提供可接受的装置300性能所需的浮置栅极306、308的电容耦合。例如,在某些实施例中,在氧化工艺之后的侧壁上的第二含氧层326的厚度可达到约。因此,下一步,在212处,在某些实施例中,将第三含氧层330可选择性地沉积在第二含氧层326的顶上以增加第二含氧层326的厚度,如图3F中所示。在某些实施例中,通过沉积第三含氧层330,第二含氧层326的厚度可被增加到约至约
第三含氧层330可在如上所述的第二含氧层326处包含相同材料。第三含氧层330可以适合于增加第二含氧层326的厚度至所需厚度的任何方式沉积,所述方式例如是诸如类似于上文相对于如上所述的第一含氧层318的形成所论述的工艺的工艺。
下一步,在214处,在某些实施例中,框第三含氮层332可选择性地形成在第二含氧层326的顶上,如图3G中所示。第三含氮层332可包含适合于形成所需装置的任何材料,所述材料例如是诸如相对于如上所述的第一含氮层314和/或第二含氮层321所论述的材料。在某些实施例中,第三含氮层332可包含与第一含氮层314和第二含氮层321相同的材料,或在某些实施例中可包含与第一含氮层314和第二含氮层321不同的材料。
第三含氮层332可经由能够形成第三含氮层332至所需厚度的任何适当工艺形成,所述工艺例如是诸如类似于用以在204处形成第一含氮层314的工艺的工艺。在某些实施例中,第三含氮层332可被沉积到约至约的厚度。
在沉积第三含氧层330(或当存在第三含氮层332时的第三含氮层332的形成)之后,方法200通常结束,且装置可继续进行进一步处理。例如,在某些实施例中,控制栅极层可被沉积在诸如图3H中所示的第三含氧层330(或当存在第三含氮层332时的第三含氮层332)的顶上。在某些实施例中,控制栅极层334可包含导电材料,所述导电材料诸如是多晶硅、金属、或类似物。
例如诸如氮化工艺和氧化工艺的本文所述的方法可在诸如上文论述的示例性腔室的个别氮化腔室和氧化腔室中执行,所述腔室可以独立配置提供或作为群集工具的一部分,所述群集工具例如是下文相对于图4所述的集成工具400(即,群集工具)。集成工具400的实例包括集成工具,所述集成工具例如是DPN栅极叠层,所述上述集成工具可从加州圣克拉拉市的应用材料公司(Applied Materials,Inc.)购得。可以预期,本文所述的方法可使用具有耦接至群集工具的适当工艺腔室的其他群集工具实践,或本文所述的方法可在其他适当工艺腔室中实践。
集成工具400包括真空密封处理平台401、工厂接口(factory interface)404和系统控制器402。平台401包含多个处理腔室,所述处理腔室诸如是414A、414B、414C和414D,所述腔室可操作地耦接到真空基板传递腔室403。工厂接口404被通过一个或更多个负载锁定腔室(两个负载锁定腔室,诸如图4中所示的406A和406B)可操作地耦接到传递腔室403。
在某些实施例中,工厂接口404包括:至少一个坞站(docking station)407;至少一个工厂接口机械手438,用于促进半导体基板的传递。坞站407被构造为接受一个或更多个前开式标准舱(front opening unified pod;FOUP)。诸如405A、405B、405C和405D的四个FOUP被图示在图4的实施例中。工厂接口机械手438被构造为将基板从工厂接口404通过负载锁定腔室传递到处理平台401,所述负载锁定腔室诸如是406A和406B。每个负载锁定腔室406A和406B具有耦接到工厂接口404的第一端口和耦接到传递腔室403的第二端口。负载锁定腔室406A和406B被耦接到压力控制系统(未图示),所述压力控制系统将腔室406A和406B抽空且通风以促进基板在传递腔室403的真空环境与工厂接口404的大体上周围(例如,大气)环境之间传递。传递腔室403具有设置在所述传递腔室中的真空机械手413。真空机械手413能够在负载锁定腔室406A和406B与处理腔室414A、414B、414C和414D之间传递基板421。
在某些实施例中,处理腔室414A、314B、314C和414D耦接到传递腔室403。处理腔室414A、414B、414C和414D包括:氧化腔室,氮化腔室,和选择性地,蚀刻腔室,和沉积腔室中的至少一个,所述沉积腔室用于沉积隧道氧化层、材料层、IPD层、控制栅极层、或类似物。氧化腔室可包括被构造用于等离子体氧化、快速热氧化或自由基氧化的那些氧化。氮化腔室可包括被构造用于去耦等离子体氮化(DPN)和类似氮化的那些腔室。蚀刻腔室可包括被构造用于湿法或干法蚀刻、反应离子蚀刻(reactive ion etch;RIE)或类似蚀刻的那些腔室。上文已论述适用于执行本发明的至少某些实施例的腔室的实例。
在某些实施例中,一个或更多个可选服务腔室(图示为416A和416B)可被耦接到传递腔室403。服务腔室416A和416B可被构造为执行其他基板工艺,所述工艺诸如是脱气、定向、基板测量、冷却和类似工艺。
系统控制器402使用工艺腔室414A、414B、414C和414D的直接控制或者,通过控制与工艺腔室414A、414B、414C和414D和工具400相关联的计算机(或控制器)来控制工具400的操作。在操作中,系统控制器402启动来自各个腔室和系统的数据收集和反馈以最佳化工具400的性能。系统控制器402通常包括中央处理单元(Central Processing Unit;CPU)430、存储器434和支持电路432。CPU430可以是可用于工业环境的任何形式的通用计算机处理器的一种。支持电路432通常被耦接到CPU430,且支持电路432可包括高速缓冲存储器、时钟电路、输入/输出子系统、电源和类似物。当诸如如上所述的方法的软件程序由CPU430执行时,所述软件程序430将CPU430转变成特定用途计算机(控制器)402。软件程序也可由第二控制器(未展示)存储和/或执行,所述第二控制器位于工具400远处。
因而,本文提供了间层多晶硅电介质帽和制造所述间层多晶硅电介质帽的方法。本发明的间层多晶硅电介质(IPD)帽可在相邻的浮置栅极之间包括含非共形氮的层,从而降低或消除相邻浮置栅极的电耦合,因而减少或消除装置的相邻浮置栅极之间的泄漏。此外,本发明的方法有利地利用多个非共形层形成工艺以促进形成非共形含氮层。虽然在范围中不受限制,但是本发明可特别有利地用于制造存储器装置,所述存储器装置诸如是NAND闪存存储器装置,具体地说对于按比例缩小的NAND闪存存储器装置(例如,小于45nm的装置)。
虽然上文涉及本发明实施例,但是可在不背离本发明的基本范围的情况下设计本发明的其他和进一步实施例。

Claims (15)

1.一种设置在基板的顶上的间层多晶硅电介质帽,所述基板具有第一浮置栅极、第二浮置栅极、和布置在所述第一浮置栅极与所述第二浮置栅极之间的绝缘层,所述间层多晶硅电介质帽包括:
第一含氮层,所述第一含氮层设置在所述第一浮置栅极和所述第二浮置栅极的上部和侧壁的顶上;
第一含氧层,所述第一含氧层设置在所述绝缘层的上表面和所述第一含氮层的顶上;
第二含氮层,所述第二含氮层设置在所述第一含氧层的上部和侧壁的顶上;和
第二含氧层,所述第二含氧层设置在所述第一含氧层的上表面和所述第二含氮层的顶上。
2.如权利要求1所述的间层多晶硅电介质帽,进一步包括设置在所述第二含氧层的顶上的第三含氮层。
3.如权利要求2所述的间层多晶硅电介质帽,其中所述第三含氮层的厚度为约10至约20。
4.如权利要求2所述的间层多晶硅电介质帽,进一步包括设置在所述第三含氮层的顶上的控制栅极层。
5.如权利要求1所述的间层多晶硅电介质帽,其中存在以下至少一个情况:
所述第一含氮层的厚度是约至约
所述第一含氧层的厚度是约至约
所述第二含氮层的厚度是约至约
所述第二含氧层的厚度是约至约
6.如权利要求1所述的间层多晶硅电介质帽,其中所述第一含氮层和所述第二氮层包括氮化硅(SiN)、氮氧化硅(SiON)的至少一者。
7.如权利要求1所述的间层多晶硅电介质帽,其中所述第一含氧层和所述第二含氧层的每一个包含二氧化硅(SiO2)或高k材料的至少一者。
8.一种装置,所述装置包括:
基板,所述基板具有第一浮置栅极、第二浮置栅极和设置在所述第一浮置栅极与所述第二浮置栅极之间的绝缘层;
第一含氮层,所述第一含氮层设置在所述第一浮置栅极和第二浮置栅极的上部和侧壁的顶上;
第一含氧层,所述第一含氧层设置在所述绝缘层的上表面和所述第一含氮层的顶上;
第二含氮层,所述第二含氮层设置在所述第一含氧层的上部和侧壁的顶上;和
第二含氧层,所述第二含氧层设置在所述第一含氧层的上表面和所述第二含氮层的顶上,其中所述第一含氮层和第二含氮层与所述第一含氧层和第二含氧层形成间层多晶硅电介质帽。
9.如权利要求8所述的装置,进一步包括:
第三含氮层,所述第三含氮层设置在所述第二含氧层的顶上。
10.如权利要求9所述的装置,进一步包括:
控制栅极层,所述控制栅极层设置在所述第三含氮层的顶上。
11.一种在基板的顶上形成间层多晶硅电介质帽的方法,所述基板具有第一浮置栅极、第二浮置栅极和设置在所述第一浮置栅极与所述第二浮置栅极之间的绝缘层,所述方法包括以下步骤:
在所述第一浮置栅极和第二浮置栅极的上部和侧壁的顶上有选择地形成第一含氮层;
在所述绝缘层的上表面和所述第一含氮层的顶上形成第一含氧层;
在所述第一含氧层的顶上沉积第二含氮层,其中所述第二含氮层具有沉积在所述第一浮置栅极和第二浮置栅极的所述上部上方的第一厚度,和沉积在所述绝缘层的所述上表面上方的第二厚度,且其中所述第二厚度小于所述第一厚度;和
通过氧化沉积在所述第一浮置栅极和第二浮置栅极的所述上部上方的所述第二含氮层的至少一部分,和氧化沉积在所述绝缘层的所述上表面上方的大体上所有第二含氮层来形成第二含氧层。
12.如权利要求11所述的方法,进一步包括以下步骤:
在所述第二含氧层的顶上形成第三含氮层。
13.如权利要求12所述的方法,进一步包括以下步骤:
在所述第三含氮层的顶上沉积控制栅极层。
14.如权利要求11所述的方法,其中有选择地形成所述第一含氮层包括:执行等离子体氮化工艺以氮化所述第一浮置栅极和所述第二浮置栅极的所述上部和侧壁的至少一部分。
15.如权利要求11所述的方法,进一步包括以下步骤:
在所述第二含氧层的顶上沉积第三含氧层以增加所述第二含氧层的厚度。
CN201280054973.2A 2011-11-11 2012-11-07 间层多晶硅电介质帽和形成该间层多晶硅电介质帽的方法 Active CN103930992B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/294,608 2011-11-11
US13/294,608 US8994089B2 (en) 2011-11-11 2011-11-11 Interlayer polysilicon dielectric cap and method of forming thereof
PCT/US2012/063841 WO2013070685A1 (en) 2011-11-11 2012-11-07 Interlayer polysilicon dielectric cap and method of forming thereof

Publications (2)

Publication Number Publication Date
CN103930992A true CN103930992A (zh) 2014-07-16
CN103930992B CN103930992B (zh) 2017-02-15

Family

ID=48279770

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201280054973.2A Active CN103930992B (zh) 2011-11-11 2012-11-07 间层多晶硅电介质帽和形成该间层多晶硅电介质帽的方法

Country Status (5)

Country Link
US (1) US8994089B2 (zh)
JP (1) JP6104928B2 (zh)
KR (1) KR102092760B1 (zh)
CN (1) CN103930992B (zh)
WO (1) WO2013070685A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8981466B2 (en) * 2013-03-11 2015-03-17 International Business Machines Corporation Multilayer dielectric structures for semiconductor nano-devices
US20150194537A1 (en) * 2014-01-07 2015-07-09 Spansion Llc Multi-layer inter-gate dielectric structure
US10192747B2 (en) 2014-01-07 2019-01-29 Cypress Semiconductor Corporation Multi-layer inter-gate dielectric structure and method of manufacturing thereof
US20160343722A1 (en) * 2015-05-21 2016-11-24 Sandisk Technologies Inc. Nonvolatile storage with gap in inter-gate dielectric
US11588031B2 (en) * 2019-12-30 2023-02-21 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure for memory device and method for forming the same

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070241388A1 (en) * 2006-04-14 2007-10-18 Akihito Yamamoto Semiconductor device
US20080121972A1 (en) * 2006-06-27 2008-05-29 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
WO2010117703A2 (en) * 2009-03-31 2010-10-14 Applied Materials, Inc. Method of selective nitridation
CN102084463A (zh) * 2008-07-09 2011-06-01 桑迪士克公司 浮置栅极之上的电介质盖
US20110217834A1 (en) * 2010-03-02 2011-09-08 Applied Materials, Inc. Method and apparatus for single step selective nitridation

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4921848B2 (ja) * 2006-05-09 2012-04-25 株式会社東芝 半導体装置およびその製造方法
JP4331189B2 (ja) * 2006-09-20 2009-09-16 株式会社東芝 不揮発性半導体メモリ
KR100856165B1 (ko) * 2006-09-29 2008-09-03 주식회사 하이닉스반도체 플래시 메모리 소자의 제조 방법
JP2008098510A (ja) * 2006-10-13 2008-04-24 Toshiba Corp 不揮発性半導体記憶装置
JP4855958B2 (ja) * 2007-01-25 2012-01-18 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
JP5313547B2 (ja) * 2008-05-09 2013-10-09 東京エレクトロン株式会社 半導体装置の製造方法
US20100093142A1 (en) * 2008-10-09 2010-04-15 Powerchip Semiconductor Corp. Method of fabricating device
JP5361328B2 (ja) * 2008-10-27 2013-12-04 株式会社東芝 不揮発性半導体記憶装置の製造方法
JP2011077321A (ja) * 2009-09-30 2011-04-14 Tokyo Electron Ltd 選択的プラズマ窒化処理方法及びプラズマ窒化処理装置
KR20110114970A (ko) 2010-04-14 2011-10-20 삼성전자주식회사 플래시 메모리 소자의 제조 방법
JP2012009700A (ja) * 2010-06-25 2012-01-12 Toshiba Corp 半導体記憶装置及びその製造方法
JP2012089817A (ja) * 2010-09-21 2012-05-10 Toshiba Corp 半導体記憶装置およびその製造方法
JP2012114199A (ja) * 2010-11-24 2012-06-14 Toshiba Corp 半導体装置および半導体装置の製造方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070241388A1 (en) * 2006-04-14 2007-10-18 Akihito Yamamoto Semiconductor device
US20080121972A1 (en) * 2006-06-27 2008-05-29 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
CN102084463A (zh) * 2008-07-09 2011-06-01 桑迪士克公司 浮置栅极之上的电介质盖
WO2010117703A2 (en) * 2009-03-31 2010-10-14 Applied Materials, Inc. Method of selective nitridation
US20110217834A1 (en) * 2010-03-02 2011-09-08 Applied Materials, Inc. Method and apparatus for single step selective nitridation

Also Published As

Publication number Publication date
JP6104928B2 (ja) 2017-03-29
US20130119451A1 (en) 2013-05-16
CN103930992B (zh) 2017-02-15
WO2013070685A1 (en) 2013-05-16
KR102092760B1 (ko) 2020-03-24
JP2014533437A (ja) 2014-12-11
US8994089B2 (en) 2015-03-31
KR20140100948A (ko) 2014-08-18

Similar Documents

Publication Publication Date Title
TWI774793B (zh) 用於製造半導體應用的奈米線之選擇性氧化
CN108493152B (zh) 创建气隙的方法
JP5305830B2 (ja) 少なくとも1つの誘電体層を形成するための方法およびシステム
US8043907B2 (en) Atomic layer deposition processes for non-volatile memory devices
US7601648B2 (en) Method for fabricating an integrated gate dielectric layer for field effect transistors
KR100639147B1 (ko) 플라즈마 처리 방법
US7910497B2 (en) Method of forming dielectric layers on a substrate and apparatus therefor
US20080014759A1 (en) Method for fabricating a gate dielectric layer utilized in a gate structure
JP2019500756A (ja) 半導体アプリケーション用の水平ゲートオールアラウンドデバイスのためのナノワイヤ製造方法
US20110151676A1 (en) Methods of thin film process
US9018689B1 (en) Substrate processing apparatus and method of manufacturing semiconductor device
JP2014527315A (ja) 半導体集積のための反応しないドライ除去プロセス
JP2007027777A (ja) 電子デバイス材料の製造方法
US20150079799A1 (en) Method for stabilizing an interface post etch to minimize queue time issues before next processing step
WO2006039029A2 (en) A method for forming a thin complete high-permittivity dielectric layer
KR20110055700A (ko) 산화 규소막, 산화 규소막의 형성 방법 및, 플라즈마 cvd 장치
CN103930992A (zh) 间层多晶硅电介质帽和形成该间层多晶硅电介质帽的方法
JP2024020242A (ja) メモリ用途のための垂直トランジスタの作製
CN114402417A (zh) 沉积介电材料的方法与设备
US20070246751A1 (en) Spacer structure and fabrication method thereof
WO2010038887A1 (ja) 二酸化珪素膜およびその形成方法、コンピュータ読み取り可能な記憶媒体並びにプラズマcvd装置
CN106504991B (zh) 用于制造半导体应用的水平全环栅极器件的纳米线的方法
US20080150028A1 (en) Zero interface polysilicon to polysilicon gate for semiconductor device
TWI839600B (zh) 低溫無蒸汽氧化物間隙填充
CN115244648A (zh) 低温无蒸汽氧化物间隙填充

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant