JP2007027777A - 電子デバイス材料の製造方法 - Google Patents

電子デバイス材料の製造方法 Download PDF

Info

Publication number
JP2007027777A
JP2007027777A JP2006239558A JP2006239558A JP2007027777A JP 2007027777 A JP2007027777 A JP 2007027777A JP 2006239558 A JP2006239558 A JP 2006239558A JP 2006239558 A JP2006239558 A JP 2006239558A JP 2007027777 A JP2007027777 A JP 2007027777A
Authority
JP
Japan
Prior art keywords
insulating film
plasma
substrate
processing method
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006239558A
Other languages
English (en)
Other versions
JP4429300B2 (ja
Inventor
Takuya Sugawara
卓也 菅原
Toshio Nakanishi
敏雄 中西
Akinori Ozaki
成則 尾▲崎▼
Seiji Matsuyama
征嗣 松山
Emi Murakawa
惠美 村川
Yoshihide Tada
吉秀 多田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2006239558A priority Critical patent/JP4429300B2/ja
Publication of JP2007027777A publication Critical patent/JP2007027777A/ja
Application granted granted Critical
Publication of JP4429300B2 publication Critical patent/JP4429300B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Landscapes

  • Non-Volatile Memory (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Formation Of Insulating Films (AREA)
  • Semiconductor Memories (AREA)

Abstract

【課題】電気的特性の優れた絶縁層や半導体層を備えた高品質のMOS型半導体等の電子デバイス材料の製造方法。
【解決手段】単結晶シリコンを主成分とする被処理基体上にCVD処理を施して絶縁膜を形成する工程と、前記被処理基体を、複数のスロットを有する平面アンテナ部材(SPA)を介して処理ガスにマイクロ波を照射することにより生成したプラズマに晒し、このプラズマを用いて前記絶縁膜を改質する工程と、を含む。
【選択図】図6

Description

本発明は、半導体ないし電子デバイス材料の製造に好適な製造方法に関する。本発明の電子デバイス材料の製造方法は、例えば、MOS型半導体構造を形成するために好適に使用することが可能である。
従来より、半導体ないし半導体材料を構成する複数の層を形成するに際しては、種々の層形成技術が使用されて来た。これらの層形成技術の代表的なものとしては、例えば、真空蒸着、スパッタリング、およびCVD(化学的気相成長)法が挙げられる。これらの層形成技術の中でも、CVD法は、層形成の成膜速度が速く、比較的短時間で成膜できるという特徴を有するため、MOS型半導体装置を始めとする種々の半導体ないし電子デバイス材料の製造に際して、多工程にわたり用いられている。
本発明の製造方法はの電子デバイス材料の製造に一般的に広く使用可能であるが、ここでは説明の便宜のために、フラッシュメモリと称される不揮発性メモリの一態様であるEPROMを例にとって、本発明の背景となる技術を説明する。
EPROMは、例えば図12に示したような多層構造を有する。
図12を参照して、このEPROM多層構造においては、p型単結晶シリコンからなる被処理基体100上に、SiOからなる絶縁層101と多結晶シリコンからなる半導体層102および103が所定のパターンを形成しながら交互に積層された層と、その上に堆積された金属(アルミニウム、銅等)からなる金属層104とから構成されている。
このような半導体装置においては、多結晶シリコンからなる半導体層102、103や層間のSiO層を形成するために、上記したCVD法が広く用いられている。
しかしながら、CVD法により成膜された層は表面粗さ、膜中欠陥が比較的に多く、ダングリングボンドと称される原子結合の手が膜中に向けて形成されている傾向がある。このダングリングボンドが膜中に向けて形成されていると、この層の中および隣接する層の電子の流れに影響を及ぼし、層としての電気特性が劣化したり、ひいては電子デバイス自体の品質が低下するという問題が生ずる可能性がある。
本発明の目的は、上記した従来の問題を解決することが可能な電子デバイス材料の製造方法を提供することにある。
本発明の他の目的は、電子デバイス(例えば半導体)を構成する層の電気的特性を改良し、優れた品質の電子デバイスを製造できる製造方法を提供することにある。
本発明の更に他の目的は、電気的特性の優れた絶縁層や半導体層を備えた高品質の電子デバイス材料(例えばMOS型半導体)の製造方法を提供することにある。
本発明の電子デバイス材料の製造方法は、電子デバイス用基板と、該基板上に配置された絶縁膜とを少なくとも含む被処理基体を、複数のスロットを有する平面アンテナ部材を介するマイクロ波照射に基づき処理ガスから生成したプラズマに晒し、前記絶縁膜を改質する工程を含むものである。
本発明によれば、更に電子デバイス用基板と、該基板上に配置された第1のSiO膜と、該第1のSiO膜上に配置された第1の多結晶シリコン層と、第1の多結晶シリコン層上に配置された第2のSiO膜とを少なくとも含む被処理基体を、複数のスロットを有する平面アンテナ部材を介するマイクロ波照射に基づき処理ガスから生成したプラズマに晒し、前記第2のSiO膜を改質する工程を含む電子デバイス材料の製造方法が提供される。
本発明によれば、更に電子デバイス用基板と、該基板上に配置された絶縁膜とを少なくとも含む被処理基体を、複数のスロットを有する平面アンテナ部材を介して処理ガスにマイクロ波を照射することにより生成したプラズマに晒し、このプラズマを用いて前記絶縁膜を改質する工程と、前記絶縁膜上に金属層を形成する工程と、を含む電子デバイス材料の製造方法が提供される。
上述したように本発明によれば、電子デバイス用基板上に配置された絶縁膜に対し、複数のスロットを有する平面アンテナ部材(SPA)を介してマイクロ波を照射する、いわゆるSPAアンテナを用いる方法でシリコン基板上に直接プラズマを供給して絶縁膜(例えばSiO膜)を改質処理することができる。このため、絶縁膜自体を損傷させることなく絶縁膜表面もしくは膜中のダングリングボンドを好適な態様で終端させることができ、高品質の絶縁膜、ひいては高品質の電子デバイス(例えば半導体装置)を得ることができる。
以下、必要に応じて図面を参照しつつ、本発明を詳細に説明する。以下の記載において量比を表す「部」および「%」は、特に断らない限り質量基準とする。
(電子デバイス材料の製造方法)
本発明の電子デバイス材料の製造方法は、電子デバイス用材料の層と、該層上に配置された絶縁膜とを少なくとも含む被処理基体を、複数のスロットを有する平面アンテナ部材を介するマイクロ波照射に基づき処理ガスから生成したプラズマに晒し、前記絶縁膜を改質する工程を少なくとも含む。
(電子デバイス用材料)
本発明において使用可能な電子デバイス用材料は特に制限されず、公知の電子デバイス用材料の1種または2種以上の組合せから適宜選択して使用することが可能である。このような電子デバイス用材料の例としては、例えば、半導体材料、液晶デバイス材料等が挙げられる。半導体材料の例としては、シリコンを主成分とする材料(単結晶シリコン、ポリシリコン、アモルファスシリコン等)シリコン窒化膜を主成分とする材料、シリコンゲルマニウムを主成分とする材料等が挙げられる。
(絶縁膜)
上記電子デバイス用材料の層上に配置される絶縁膜は特に制限されず、公知の電子デバイス用絶縁膜の1種または2種以上の組合せから適宜選択して使用することが可能である。このような絶縁膜の例としては、例えば、シリコン酸化膜(SiO)、シリコン窒化膜(SiN)等が挙げられる。シリコン酸化膜としては、熱履歴、生産性の点からは、CVDにより形成された膜であることが好ましい。
(処理ガス)
本発明において使用可能な処理ガスは特に制限されず、電子デバイス製造に使用可能な公知の処理ガスの1種または2種以上の組合せから適宜選択して使用することが可能である。このような処理ガスの例としては、例えば、希ガスと酸素(O)もしくは、希ガスと窒素(N)と水素(H)を含む混合ガスが挙げられる。
(希ガス)
本発明において使用可能な希ガスは特に制限されず、電子デバイス製造に使用可能な公知の希ガスの1種または2種以上の組合せから適宜選択して使用することが可能である。このような処理ガスの例としては、例えば、クリプトン(Kr)、キセノン(Xe)、ヘリウム(He)、又はアルゴン(Ar)を挙げることができる。
本発明の絶縁膜改質においては、形成されるべき改質膜の特性の点からは、下記の改質条件が好適に使用できる。
:1〜1000sccm、より好ましくは10〜500sccm
希ガス(例えば、Kr、Ar、HeまたはXe):200〜3000sccm、より好ましくは500〜2000sccm、
:1〜200sccm、より好ましくは1〜50sccm、
温度:室温(25℃)〜700℃、より好ましくは室温〜500℃
圧力:20〜5000mTorr、より好ましくは20〜3000mTorr、特に好ましくは50〜2000mTorr
マイクロ波:0.5〜5W/cm、より好ましくは1〜4W/cm
(好適な条件の例)
本発明の製造方法において、形成されるべき改質の特性の点からは、下記の条件を好適な例として挙げることができる。
処理ガスの好適な一例:流量10〜500sccmのOもしくはN、および、流量500〜2000sccmのKr、He、Xe又はArを含むガス。
SiO膜の処理条件の好適な一例:室温〜500℃の温度。
SiO膜の処理条件の好適な一例:2.7〜270Pa(20〜2000mTorr)
SiO膜の形成条件の好適な一例:プラズマが1〜4W/cmの出力で形成されること。
(多結晶シリコン層上のSiO膜を改質する態様)
本発明の他の態様の製造方法は、電子デバイス用基板と、該基板上に配置された第1のSiO膜と、該第1のSiO膜上に配置された第1の多結晶シリコン層と、第1の多結晶シリコン層上に配置された第2のSiO膜とを少なくとも含む被処理基体を、複数のスロットを有する平面アンテナ部材を介するマイクロ波照射に基づき処理ガスから生成したプラズマに晒し、前記第2のSiO膜を改質する工程を少なくとも含む。このような多結晶シリコン層上に配置されたSiO膜を改質した場合には、動作信頼性の向上という利点を得ることができる。
例えばフラッシュメモリー用のコントロールゲート電極として、このように改質した前記第2のSiO膜上に第2の多結晶シリコン層を形成してもよい。第2のSiO膜は、他の絶縁膜(SiNや、SiNとSiOの積層構造)であってもよい。このような改質SiO膜上に第2の多結晶シリコン層を形成した場合、更に、動作信頼性の向上という利点を得ることができる。
上記した第1の多結晶シリコン層、第2のSiO膜、および/又は第2の多結晶シリコン層をCVDにより形成した場合には、更に熱履歴の低減等の利点を得ることができる。生産性の点からは、これら第1の多結晶シリコン層、第2のSiO膜、および第2の多結晶シリコン層の全てをCVDにより形成することが最も好ましい。
上記した態様の電子デバイス材料の製造方法において、前記第1の多結晶シリコン層を形成する工程と、前記第1の多結晶シリコン層上に第2のSiO膜を形成する工程との間、および/又は、前記第2の多結晶シリコン層を形成した後に、前記被処理基体を複数のスロットを有する平面アンテナ部材を介して処理ガスにマイクロ波を照射することにより生成したプラズマに晒し、このプラズマを用いて前記第1又は第2の多結晶シリコン層を改質する工程を更に包含してもよい。このように、更に平面アンテナ部材を介するマイクロ波照射に基づき処理ガスから生成したプラズマに晒す追加的なも包含することにより、第1、および第2の多結晶シリコン層の表面が平滑となり、第2のSiO膜の信頼性向上が期待できる。また、本工程により第1、第2の多結晶シリコンの耐酸化性を向上させることで、後工程における多結晶シリコンの面積変動の抑制が期待できる。さらに、本工程において多結晶シリコン表面をSPAを介して生成した処理ガスプラズマを用いて酸化することで、第2のSiOを形成することも可能である。この工程は低温で処理を行うことが可能である。通常の熱酸化工程ではデバイス特性を高温により劣化させる恐れがあるが、本工程を用いることで熱工程によるデバイス特性の劣化(ドーパントの拡散など)を抑制しながら酸化膜を形成することが可能となる。
(改質絶縁層上に金属層を形成する態様)
本発明における更に他の電子デバイス材料の製造方法は、電子デバイス用材料の層と、該層上に配置された絶縁膜(例えば、ゲート絶縁膜)とを少なくとも含む被処理基体を、複数のスロットを有する平面アンテナ部材を介するマイクロ波照射に基づき処理ガスから生成したプラズマに晒し、前記絶縁膜を改質する工程と;前記絶縁膜上に金属層を形成する工程とを少なくとも含む。このように改質した絶縁膜上に金属層を形成した場合には、動作信頼性の向上やリークの低減という利点を得ることができる。
(絶縁膜の材料)
上記電子デバイスの製造方法において、前期絶縁膜(例えばゲート絶縁膜)としては、従来より使われている低誘電率のSiO、SiON、SiNや誘電率が高いAl、ZrO、HfO、Ta、およびZrSiO、HfSiO等のSilicateやZrAlO等のAluminateからなる群から選択される1又は2以上のものが挙げられる。
(平面アンテナ部材)
本発明の電子デバイス材料の製造方法においては、複数のスロットを有する平面アンテナ部材を介してマイクロ波を照射することにより電子温度が低くかつ高密度なプラズマを形成し、このプラズマを用いて膜の改質を行うため、プラズマダメージが小さく、かつ低温で反応性の高いプロセスが可能となる。
本発明に係る改質が施された膜は、上記複数のスロットを有する平面アンテナ部材を介してマイクロ波を照射することにより得られた電子温度が低くかつ高密度なプラズマを用いて改質されるため、膜中のダングリングボンドが理想的な形で終端される。その結果、膜自体の絶縁特性が向上し、ひいては特性の優れた電子デバイス材料(例えば半導体材料)を得ることができる。また、ウエハ温度、チャンバー温度を低温で使用できるため、省エネルギーのプロセスが可能である。
(好適なプラズマ)
本発明において好適に使用可能なプラズマの特性は、以下の通りである。
電子温度:0.5〜2.0eV
密度:1E10〜5E12 cm−3
プラズマ密度の均一性:±10%以内
本発明によれば、良質な改質絶縁膜を形成することができる。したがって、この改質絶縁膜上に他の層(例えば、電極層)を形成することにより、特性に優れた半導体装置の構造を形成することが容易である。
(絶縁膜の好適な特性)
本発明によれば、下記のように好適な特性を有する改質絶縁膜を容易に形成することができる。
リーク電流の低減:デバイスの低消費電力化
フラシュメモリに応用することで長寿命の記憶保持能力
信頼性の向上:動作回数の増大に伴う劣化を抑制
(半導体構造の好適な特性)
本発明の方法の適用すべき範囲は特に制限されないが、本発明により形成可能な良質な改質絶縁膜は、フラッシュメモリ構造の絶縁膜として特に好適に利用することができる。
本発明によれば、下記のように好適な特性を有するフラッシュメモリ構造を容易に製造することができる。なお、本発明により改質した絶縁膜の特性を評価する際には、例えば、文献(IEEE TRANSACTIONS ON ELECTRON DEVICES,Vol46,No.9,SEPTEMBER 1999 PP1866−1871)に記載されたような標準的なフラッシュメモリを形成して、そのフラッシュメモリの特性を評価することにより、上記絶縁膜自体の特性評価に代えることができる。このような標準的なフラッシュメモリ構造においては、該構造を構成する絶縁膜の特性が、フラッシュメモリ特性に強い影響を与えるからである。
特性:高いくり返し動作安定性
(電子デバイス材料製造の態様)
以下に本発明における一つの態様について説明する。
まず、本発明に係るフラッシュメモリを製造する方法に使用可能な電子デバイス材料の製造装置について説明する。
図1は本発明の電子デバイス材料の製造方法を実施するための電子デバイス(半導体装置)製造装置30の全体構成の一例を示す概略図(模式平面図)である。
図1に示すように、この半導体製造装置30のほぼ中央には、ウエハW(図2)を搬送するための搬送室31が配設されており、この搬送室31の周囲を取り囲むように、ウエハに種々の処理を行うためのプラズマ処理ユニット32、CVD処理ユニット33、各処理室間の連通/遮断の操作を行うための二機のロードロックユニット34および35、種々の加熱操作を行うための加熱ユニット36、およびウエハに種々の加熱処理を行うための加熱反応炉47が配設されている。なお、加熱反応炉47は、上記半導体製造装置30とは別個に独立して設けてもよい。
ロードロックユニット34、35の横には、種々の予備加熱ないし冷却操作を行うための予備加熱ユニット45、冷却ユニット46がそれぞれ配設されている。
搬送室31の内部には、搬送アーム37および38が配設されており、前記各ユニット32〜36との間でウエハW(図2)を搬送することができる。
ロードロックユニット34および35の図中手前側には、ローダーアーム41および42が配設されている。これらのローダーアーム41および42は、更にその手前側に配設されたカセットステージ43上にセットされた4台のカセット44との間でウエハWを出し入れすることができる。
更に、これらプラズマ処理ユニット32およびCVD処理ユニット33は互換性があり、プラズマ処理ユニット32とCVD処理ユニット33とを入れ替えることも可能であり、および/又はプラズマ処理ユニット32やCVD処理ユニット33の位置に一基又は二基のシングルチャンバ型CVD処理ユニットやプラズマ処理ユニットをセットすることも可能である。
(プラズマ処理の一態様)
図2は本発明の処理に使用可能なプラズマ処理ユニット32の模式的な垂直断面図である。
図2を参照して、参照番号50は、例えばアルミニウムにより形成された真空容器である。この真空容器50の上面には、基板(例えばウエハW)よりも大きい開口部51が形成されており、この開口部51を塞ぐように、例えば石英や酸化アルミニウム等の誘電体により構成された偏平な円筒形状の天板54が設けられている。この天板54の下面である真空容器50の上部側の側壁には、例えばその周方向に沿って均等に配置した16箇所の位置にガス供給管72が設けられており、このガス供給管72からOや希ガス、NおよびH等から選ばれた1種以上を含む処理ガスが、真空容器50のプラズマ領域P近傍にムラなく均等に供給されるようになっている。
天板54の外側には、複数のスリットを有する平面アンテナ部材、例えば銅板により形成されたスロットプレインアンテナ(Slot Plane Antenna;SPA)60を介して、高周波電源部をなし、例えば2.45GHzのマイクロ波を発生するマイクロ波電源部61に接続された導波路63が設けられている。この導波路63は、SPA60に下縁が接続された偏平な円形導波管63Aと、この円形導波管63Aの上面に一端側が接続された円筒形導波管63Bと、この円筒形導波管63Bの上面に接統された同軸導波変換器63Cと、この同軸導波変換器63Cの側面に直角に一端側が接続され、他端側がマイクロ波電源部61に接続された矩形導波管63Dとを組み合わせて構成されている。
ここで、本発明においては、UHFとマイクロ波とを含めて高周波領域と呼ぶものとする。すなわち、高周波電源部より供給される高周波電力は300MHz以上のUHFや1GHz以上のマイクロ波を含む、300MHz以上2500MHz以下のものとし、これらの高周波電力により発生されるプラズマを高周波プラズマと呼ぶものとする。
前記円筒形導波管63Bの内部には、導電性材料からなる軸部62の、一端側がSPA60の上面のほぼ中央に接続し、他端側が円筒形導波管63Bの上面に接続するように同軸状に設けられており、これにより当該導波管63Bは同軸導波管として構成されている。
また真空容器50内には、天板54と対向するようにウエハWの載置台52が設けられている。この載置台52には図示しない温調部が内蔵されており、これにより当該載置台52は熱板として機能するようになっている。更に真空容器50の底部には排気管53の一端側が接続されており、この排気管53の他端側は真空ポンプ55に接続されている。
(SPAの一態様)
図3は本発明の電子デバイス材料の製造装置に使用可能なSPA60の一例を示す模式平面図である。
この図3に示したように、このSPA60では、表面に複数のスロット60a、60a、…が同心円状に形成されている。各スロット60aは略方形の貫通した溝であり、隣接するスロットどうしは互いに直交して略アルファベットの「T」の文字を形成するように配設されている。スロット60aの長さや配列間隔は、マイクロ波電源部61より発生したマイクロ波の波長に応じて決定されている。
(CVD処理ユニットの一態様)
図4は本発明の電子デバイス材料の製造装置に使用可能なCVD処理ユニット33の一例を示す模式的な垂直断面図である。
図4に示すように、CVD処理ユニット33の処理室82は、例えばアルミニウム等により気密可能な構造に形成されている。この図4では省略さえているが、処理室82内には加熱機構や冷却機構を備えている。
図4に示したように、処理室82には上部中央にガスを導入するガス導入管83が接続され、処理室82内とガス導入管83内とが連通されている。また、ガス導入管83はガス供給源84に接続されている。そして、ガス供給源84からガス導入管83にガスが供給され、ガス導入管83を介して処理室82内にガスが導入されている。このガスとしては、ゲート電極形成の原料となる、例えばシラン等の各種のガス(電極形成ガス)を用いることができ、必要に応じて、不活性ガスをキャリアガスとして用いることもできる。
処理室82の下部には、処理室82内のガスを排気するガス排気管85が接続され、ガス排気管85は真空ポンプ等からなる排気手段(図示せず)に接続されている。この排気手段により、処理室82内のガスがガス排気管85から排気され、処理室82内が所望の圧力に設定されている。
また、処理室82の下部には、ウエハWを載置する載置台87が配置されている。
この図4に示した態様においては、ウエハWと略同径大の図示しない静電チャックによりウエハWが載置台87上に載置されている。この載置台87には、図示しない熱源手段が内設されており、載置台87上に載置されたウエハWの処理面を所望の温度に調整できる構造に形成されている。
この載置台87は、必要に応じて、載置したウエハWを回転できるような機構になっている。
図4中、載置台87の右側の処理室82壁面にはウエハWを出し入れするための開口部82aが設けられており、この開口部82aの開閉はゲートバルブ98を図中上下方向に移動することにより行われる。図4中、ゲートバルブ98の更に右側にはウエハWを搬送する搬送アーム(図示せず)が隣設されており、搬送アームが開口部82aを介して処理室82内に出入りして載置台87上にウエハWを載置したり、処理後のウエハWを処理室82から搬出するようになっている。
載置台87の上方には、シャワー部材としてのシャワーヘッド88が配設されている。このシャワーヘッド88は載置台87とガス導入管83との間の空間を区画するように形成されており、例えばアルミニウム等から形成されている。
シャワーヘッド88は、その上部中央にガス導入管83のガス出口83aが位置するように形成され、シャワーヘッド88下部に設置されたガス供給孔89を通し、処理室82内にガスが導入されている。
(電子デバイス材料の製造の態様)
次に、本発明に係る電子デバイス材料の製造方法の一態様について以下に説明する。
図4は本態様に係る電子デバイス材料の製造方法のフローチャートであり、図6〜図8は本態様に係るフラッシュメモリセルの各製造工程を示した模式的な垂直断面図である。
この態様においては、まず、図5および図6Bに示すように、被処理基体としてのp型SiからなるウエハWに選択的にイオン注入とアニーリング工程によって、n層たる埋込形データライン(不純物埋込層)22を形成する(ステップ1)。
次に、図6Cに示すように、第1絶縁膜を形成するためにウエハWを加熱するかまたはCVD処理して表面を処理し、ウエハW全面にSiO膜(第1のSiO膜)23を形成する(ステップ2)。なお、加熱酸化によりSiO膜23を形成する場合には加熱ユニット36や加熱反応炉47(図1)を使用し、CVD法によりSiO膜23を形成する場合にはCVD処理ユニット33(図1)を使用することができる。
次に、図6Dに示すように、表面に第1のSiO膜23が形成されたウエハWをCVD処理ユニット33のチャンバ内に搬入し、処理ガス、例えばシランガスの存在下に加熱して前記第1のSiO膜23表面に多結晶シリコン層(第1の多結晶シリコン層)24を形成する(ステップ3)。
次いで、この第1の多結晶シリコン層を、例えばフォトリソグラフィおよびドライエッチングの手法により選択的にエッチングしてパターニングし(ステップ4)、図7Aに示すように前記SiO膜23上に浮遊ゲート(Floating Gate)25を形成する。
次いで、再びウエハWをCVD処理ユニット33内(図1)に搬入し、ウエハW表面上にCVD処理を施して、図7Bに示すように、露出された前記浮遊ゲート25の上に第2のSiO層26を第2絶縁層として形成する(ステップ5)。
次に、このウエハWをプラズマ処理ユニット32内(図1)に搬入し、ここで第2のSiO層26にプラズマ処理を施して第2のSiO層26を改質する(ステップ6)。
即ち、CVD処理ユニット33内に搬送アーム37、38を進入させて表面にSiO層が形成されたウエハWを取り出し、次いで、プラズマ処理ユニット32内の真空容器50の側壁に設けたゲートバルブ(図示せず)を開いて搬送アーム37、38により、前記ウエハWを載置台52上に載置する。
続いてゲートバルブを閉じて内部を密閉した後、真空ポンプ55により排気管53を介して内部雰囲気を排気して所定の真空度まで真空引きし、所定の圧力に維持する。一方マイクロ波電源部61より例えば1.80GHz(2200Wのマイクロ波を発生させ、このマイクロ波を導波路により案内してSPA60および天板54を介して真空容器50内に導入し、これにより真空容器50内の上部側のプラズマ領域Pにて高周波プラズマを発生させる。
ここでマイクロ波は矩形導波管63D内を矩形モードで伝送し、同軸導波変換器63Cにて矩形モードから円形モードに変換され、円形モードで円筒形同軸導波管63Bを伝送し、さらに平板状導波路63Aを径方向に伝送していき、SPA60のスロット60aより放射され、天板54を透過して真空容器50に導入される。この際マイクロ波を用いているため高密度、低電子温度のプラズマが発生し、またマイクロ波をSPA60の多数のスロット60aから放射しているためプラズマが高均一な分布となる。
そして載置台52の温度を調節してウエハWを例えば400℃に加熱しながら、ガス供給管72より酸化膜形成用の処理ガスであるクリプトンやアルゴン等の希ガスと、O ガスとを、所定の流量で導入して改質処理を実施する。
例えば、このプラズマ処理は以下の条件で好適に行なうことができる。即ち、処理ガスとして、流量5〜50sccmのO、および、流量500〜2000sccmのクリプトンの混合ガスを用い、300〜700℃、2.7〜135Pa(20〜1000mTorr)の圧力下、プラズマ源の出力1〜3W/cmの条件下で行なうことができる。
この工程では、導入された処理ガスはプラズマ処理ユニット32内にて発生したプラズマ流により活性化(ラジカル化)され、このプラズマにより、ウエハW最上面を覆うSiO膜26の改質が行なわれる。このようにして、上記の改質処理を例えば40秒間行い、ウエハW最上面のSiO膜26の表面に前記処理ガスのプラズマを作用させて改質を行なう。このときに発生する処理ガスのプラズマは電子温度が低く、従って処理ガスのプラズマとSiO膜26とのバイアスは低い値になる。そのため、処理ガスのプラズマがSiO膜26に当接するときに与える衝撃は小さく、いわゆる処理ガスプラズマがSiO膜26表面に衝突するときにSiO膜26に与えるプラズマダメージは小さい。そのためSiO膜26表面および膜中のダングリングボンドが適切に終端され、SiO膜26は高品質の木目細かい状態が得られる。
次にこのようしてプラズマで改質した後、選択エッチング(例えばフォトリソグラフィおよびドライエッチングの手法により)等によりパターニングする(ステップ7)。
次にパターニングが完了したウエハWをCVD処理ユニット33内に搬入し、このCVD処理ユニット33内で処理ガス、例えばシランガスの存在下にウエハWを加熱して、図7Dに示すように、前記改質されたSiO膜26の表面全体にわたって第2の多結晶シリコン層27を形成する(ステップ8)。
次にこの第2の多結晶シリコン層27を選択的エッチング等の方法によりパターニングし(ステップ9)、図8Aに示すように制御ゲート28を形成する。
次いで、図8Bに示すように制御ゲート28の上に第3の絶縁層(SiO膜)29を、例えばCVDにより形成する(ステップ10)。
次いで図8Cに示すように第3の絶縁層をパターニングしてデータライン(n層)22の一部を露出させる(ステップ11)。
更に、図8Dに示すように絶縁層23、26、29とデータライン22の上にアルミニウム等の金属を蒸着して金属層31を形成する(ステップ12)。更に、この金属層をパターニング(例えば、フォトリソグラフィおよび選択的エッチングにより)して電極を形成する(ステップ13)。
以後、一般的な方法によって絶縁膜形成工程、パッシベーション層形成工程、コンタクトホール形成工程、および配線形成工程などを施してセル製造工程を完了する(このような絶縁膜形成工程、パッシベーション層形成工程、コンタクトホール形成工程、および配線形成工程等を含むセル製造工程に関しては、例えば文献ULSI TECHNOLOGY McGRAW-HILL INTERNATIONAL EDITIONS C.Y.CHANG,S.M.SZEを参照することができる)。
上述のSiO膜26の改質工程(ステップ6)では、SiO膜26を改質するに際し、処理ガス雰囲気下で、単結晶シリコンを主成分とするウエハWに、複数のスロットを有する平面アンテナ部材(SPA)を介してマイクロ波を照射することにより酸素(O)および希ガスとを含むプラズマを形成し、このプラズマを用いて前記SiO膜26を改質しているため、品質が高く、かつ膜質制御を首尾よく行うことができる。
上記の改質後の酸化膜(SiO膜26)の品質は、図9のグラフに示すように高いものである。
図9は本態様に係る電子デバイス材料の製造方法の改質工程(ステップ6)によりSiO膜26表面にSPAを介してプラズマを作用させて改質処理を施したSiO膜26の信頼性評価結果を表わしたグラフである。
このグラフの縦軸には故障率の値をとり、横軸にはQbd値(絶縁破壊電荷)をとった。
本測定におけるデバイス構造は、以下1〜7のような方法で作成された。
1:基板
基板にはP型もしくはN型のシリコン基板を用い、比抵抗が1〜30Ωcm、面方位(100)のものを用いる。シリコン基板表面には500A犠牲酸化膜が成膜されている。
2:Gate酸化前洗浄
APM(アンモニア、過酸化水素水、純水の混合液)とHPM(塩酸、過酸化水素水、純水の混合液)およびDHF(フッ酸と純水の混合液)を組み合わせたRCA洗浄によって犠牲酸化膜と汚染要素(金属や有機物、パーティクル)を除去した。
3:SiOの成膜
CVDによりSiO膜を成膜した。780℃に加熱した上記基板上にSiHClとNOをそれぞれ200sccm、400sccmずつ流し、圧力を60Paに保持して30分間処理を行い、60AのCVD酸化膜(High Temperature Oxide:HTO)を成膜した。
4:プラズマ酸化プロセス
3のSiO膜が成膜されたシリコン基板を次に示すような方法で改質した。3のSiO膜が成膜されたシリコン基板を400℃に加熱し、ウェハ上に希ガスと酸素とをそれぞれ1000sccm、20sccmずつ流し、圧力を13Pa〜107Pa(100mTorr〜900mTorr)に保持する。その雰囲気中に複数のスロットを有する平面アンテナ部材(SPA)を介して3W/cmのマイクロ波を照射することにより酸素および希ガスとを含むプラズマを形成し、このプラズマを用いて3のSiO膜の改質を行った。
5:Gate電極用ポリシリコン成膜
3、4で形成したSio膜上にGate電極としてポリシリコンをCVD法にて成膜した。SiO膜の成膜されたシリコン基板を630℃で加熱し、基板上にシランガス250sccmを33Paの圧力下で導入し30分保持することでSiO膜上に膜厚3000Aの電極用ポリシリコンを成膜する。
6:ポリシリコンへのP(リン)ドープ
5で作製されたシリコン基板を800℃に過熱し、基板上にPOClガスと酸素および窒素をそれぞれ350sccm、200sccm、20000sccmずつ常圧下で導入し24分間保持することでポリシリコン中にリンをドープした。
7:パターニング、Gateエッチ
6で作製したシリコン基板上にリソグラフィによりパターニングを施し、HF:HNO:HO=1:60:60の比の薬液中にシリコン基板を3分間浸すことでパターニングされていない部分のポリシリコンを溶かし、MOSキャパシタを作製した。
測定は次に示すようは方法で行った。Gate電極面積が10000umのキャパシタに−0.1A/cmの一定電流のストレスを印加し、絶縁破壊が生じるまでの時間(Break Down Time:Tbd)を測定した。絶縁破壊電荷(Qbd)は電流ストレス−0.1A/cmとTbdの積の絶対値である。
またグラフ(1)は参照の為に従来のCVD法により形成したSiO膜(High Temperature Oxide:HTO)のQbd値を示し、グラフ(2)はOと希ガスとしてのクリプトンの2存在下にSPAを用いて、上記のSiO膜を圧力100mTorrでプラズマ処理して得たもののQbd値を表し、グラフ(3)は上記のSiO膜をOとクリプトンの存在下にSPAを用いて圧力500mTorrでプラズマ処理して得たもののQbd値を表し、グラフ(4)は同様に上記のSiO膜をOとクリプトンの存在下にSPAを用いて圧力900mTorrでプラズマ処理して得たもののQbd値を表す。
図9のグラフから明らかなように、従来のCVD法により形成されるSiO膜のQbd値に比較して、本発明の製造方法により改質されたSiO膜のQbd値は高く、信頼性の高い高品質なデバイス特性が期待される。
本発明の電子デバイス製造方法により、従来のCVD酸化膜より高品質の、高いQbd値を備えた酸化膜に改質形成することができた。
(高品質の改質絶縁膜の推定メカニズム)
このように上述の方法により改質された絶縁膜の品質が高くなる理由は、本発明者の知見によれば、次のように推定される。
即ち、SPAを用いて処理ガスにマイクロ波を照射することにより形成されるプラズマは、高密度かつ電子温度が比較的低いプラズマが形成される。そのため、高密度なラジカルを生成することができ、かつプラズマと被処理基体表面とのバイアスは比較的低い値に抑制され、プラズマダメージが小さい。そのためSiO膜中のダングリングボンドがプラズマによって発生した酸素反応種により適度に終端され、弱いSi−Si結合が強固なSi−O−Si結合に変わることで図9に示したような良好な電気特性を持ったSiO膜に改質形成されるものと考えられる。
以下、実施例により本発明を更に具体的に説明する。
単結晶シリコンを主成分とする被処理基体上に第1のSiO膜を10nm程度形成し、前記被処理基体にCVD処理を施して前記第1のSiO膜上に第1の多結晶シリコン層を100nm〜300nm程度形成する。その後前記被処理基体にCVDおよび高温酸化加熱処理を施して前記第1の多結晶シリコン層上に5〜10nm程度の厚さの第2のSiO膜を形成する。
形成された被処理体を400℃に加熱された載置台上に置き、その第2のSiO膜表面をアルゴン1000sccm、酸素ガス50sccm、全圧500mTの雰囲気において、SPA(Slot Plain Antenna)を介して2W/cm2のマイクロ波を照射することにより生成したプラズマに2min.程度曝す。これらの工程をもって、CVD、高温加熱酸化処理を施された第2のSiO膜を改質し、特性を改善させるものである。
なお、本発明は上記した態様に限定されるものではない。例えば、上記態様では、二つの多結晶シリコン層25と28との間の絶縁層(SiO層)26のみにSPAを介して生成した処理ガスプラズマを用いて表面処理を行なう構成としたが、上記以外の絶縁層、例えばSiO層23、29の一方又は両方を上記と同様にしてSPAを介して生成した処理ガスプラズマを用いた表面処理を行なってもよい。
また、二つの多結晶シリコン層25と28の表面をSPAを介して生成した処理ガスプラズマを用いて表面改質をすることで、二つの多結晶シリコン層の表面が平滑となり、多結晶シリコン層25と28の間の絶縁層26(SiOやSiNで形成された層)の信頼性向上が期待できる。また、本工程の処理ガスに希ガスと窒素ガスを用いること等により25もしくは28の多結晶シリコンの耐酸化性を向上させ、後工程における多結晶シリコンの面積変動の抑制が期待できる。
さらに、25の多結晶シリコン表面をSPAを介して生成した処理ガスプラズマを用いて酸化することで、26のSiOを形成することも可能である。この工程は低温で処理を行うことが可能である。通常の熱酸化工程ではデバイス特性を高温により劣化させる恐れがあるが、本工程を用いることで熱工程によるデバイス特性の劣化(ドーパントの拡散など)を抑制しながら酸化膜を形成することが可能となる。
その場合には25〜27の工程まで、大気に暴露することなく、かつ図1に示された半導体製造装置内において自動連続処理することが可能となり、半導体性能の信頼性向上および製造工程の簡素化が期待できる。
(第2の態様)
以下に、本発明の第2の態様について説明する。この第2の態様においては、ロジックデバイスの製造工程で絶縁膜をSPAプラズマ処理により表面改質させる構成とした。
図10は本態様に係るロジックデバイスの製造工程を示したフローチャートであり、図11は本態様に係るロジックデバイスの製造工程を模式的に示した模式的な垂直断面図である。
本様態に関わるロジックデバイスの製造方法は大別して以下のような流れとなる。
素子分離→MOSトランジスタ作製→容量作製→層間絶縁膜成膜および配線
以下にSPAのプロセスが含まれるMOSトランジスタ作製の中の前工程であるMOS構造の作製について、一般的な例を挙げて解説を行う。
1:基板
基板にはP型もしくはN型のシリコン基板を用い、比抵抗が1〜30Ωcm、面方位(100)のものを用いる。
シリコン基板上には目的に応じ、STIやLOCOS等の素子分離工程やチャネルインプラが施されており、Gate酸化膜やGate絶縁膜が成膜されるシリコン基板表面には犠牲酸化膜が成膜されている(図11A)。
2:Gate酸化膜(Gate絶縁膜)成膜前の洗浄
一般にAPM(アンモニア、過酸化水素水、純水の混合液)とHPM(塩酸、過酸化水素水、純水の混合液)およびDHF(フッ酸と純水の混合液)を組み合わせたRCA洗浄によって犠牲酸化膜と汚染要素(金属や有機物、パーティクル)を除去する。必要に応じ、SPM(硫酸と過酸化水素水の混合液)、オゾン水、FPM(フッ酸、過酸化水素水、純水の混合液)、塩酸水(塩酸と純水の混合液)、有機アルカリなどを用いる時もある。
3:Gate酸化膜(Gate絶縁膜)の形成
Gate絶縁膜形成には熱酸化を用いるプロセスと、CVDを用いるプロセスに大別される。ここでは主にCVDによるGate絶縁膜の形成について述べる。CVDによるGate絶縁膜の形成は、原料ガス(例えばSiHとNO)を200℃から1000℃の範囲内で加熱した前述のシリコン基板上に供給し、熱によって形成された反応種(例えばSiラジカルとOラジカル)を膜表面にて反応させることで成膜(例えばSiO)を行う。反応種はプラズマにより生成されることもある。一般にGate酸化膜の膜厚としては1nmから10nmの膜厚が用いられる(図11B)。
4:SPAプラズマによるGate絶縁膜改質処理
3に述べたCVDによる絶縁膜に、SPAプラズマを形成するガスを希ガスと酸素を主とすることで酸化を施し、CVD膜の改質を行う。酸化による効果には、膜中の弱いSi−Si結合を強固なSi−O−Si結合に変えることで膜特性の改善を目指す効果などがある。また、SPAプラズマを形成するガスを希ガスと窒素を含むガスとすることで、プラズマ窒化処理を施すことも可能である。窒化による効果には、高誘電率化による薄膜化やGate電極からのドーパントの拡散抑制作用などがある。(図11B)
5:Gate電極用ポリシリコン成膜
3、4で形成したGate絶縁膜(Gate酸化膜、Gate酸窒化膜を含む)上にMOSトランジスタのGate電極としてポリシリコン(アモルファスシリコンを含む)をCVD法にて成膜する。Gate絶縁膜の成膜されたシリコン基板を500℃から650℃の範囲内で加熱し、基板上にシリコンを含むガス(シラン、ジシラン等)を10から100Paの圧力下で導入することでGate絶縁膜上に膜厚50nmから500nmの電極用ポリシリコンを成膜する。Gate電極としてはポリシリコンの代替として、シリコンゲルマニウムやメタル(W、Ru、TiN、Ta、Moなど)が用いられることがある(図11C)。
その後、Gateのパターンニング、選択エッチングを行い、MOSキャパシタを形成し(図11D)、イオンエッチングによるソース、ドレインを形成する(図11E)。続いて後工程となる層間絶縁膜の成膜、パターンニング、選択エッチング、メタルの成膜を組み合わせた配線工程を経て本様態に関わるロジックデバイスが得られる(図11F)。
なお、本様態では絶縁膜として酸化膜(SiO膜)を形成したが、それ以外の組成からなる絶縁膜を形成することも可能である。ゲート絶縁膜としては、従来より使われている低誘電率のSiO、SiON、SiNや誘電率が高いAl、ZrO、HfO、Ta、およびZrSiO、HfSiO等のSilicateやZrAlO等のAluminateからなる群から選択される1又は2以上のものが挙げられる。
本様態では、SPAを介して生成される低温高密度プラズマにより供給される活性原子が、膜表面もしくは膜中を終端させる効果を持つ以外にも、希ガスと窒素を含むガスからなるプラズマにより供給される窒素反応種が表面層に入り込むことで、多結晶シリコンからのドーパントの拡散を抑制するバリアとしての効果が期待できる。
図1は、本発明の電子デバイス製造方法を実施するための製造装置の概略図(模式平面図)である。 図2は、本発明の電子デバイス製造方法に使用可能なスロットプレインアンテナ(Slot Plain Antenna;以下「SPA」と略記する。)プラズマ処理ユニットの一例を示す模式的な垂直断面図である。 図3は、本発明の電子デバイス製造装置に使用可能なSPAの模式平面図である。 図4は、本発明の電子デバイス製造方法に使用可能なCVD処理ユニットの模式的な垂直断面図である。 図5は、本発明の製造方法における各工程の一例を示すフローチャートである。 図6は、本発明の製造方法に係るフラッシュメモリの製造途中の状態の一例を示す模式的な垂直断面図である。 図7は、本発明の製造方法に係るフラッシュメモリの製造途中の状態の一例を示す模式的な垂直断面図である。 図8は、本発明の製造方法に係るフラッシュメモリの製造途中の状態の一例を示す模式的な垂直断面図である。 図9は、各種処理条件とその処理条件で得られる絶縁膜の品質特性を比較したグラフである。 図10は、本発明の第2の実施形態に係るロジックデバイスの製造工程の一例を示すフローチャートである。 図11Aは、本発明の第2の実施形態に係るロジックデバイスの製造工程の一例を示す模式的な垂直断面図である。 図11Bは、本発明の第2の実施形態に係るロジックデバイスの製造工程の一例を示す模式的な垂直断面図である。 図12は、典型的なフラッシュメモリの模式的な垂直断面図である。
符号の説明
上記図面において、使用した符号の意味は、以下の通りである。
20 ウエハ(被処理基体)、
60 SPA(平面アンテナ部材)、
23 絶縁膜(第1のSiO2膜)、
32 プラズマ処理ユニット(プロセスチャンバ)、
33 CVD処理ユニット(プロセスチャンバ)、
47 加熱反応炉。

Claims (37)

  1. 基板上に絶縁膜を形成する工程と、
    該基板上に形成された絶縁膜を、アンテナ部材を介して生成した処理ガスからのプラズマに晒し、前記絶縁膜を改質する工程とを含むプラズマ処理方法であって、
    該処理ガスが、希ガスと、酸素、窒素および水素の少なくとも1つを含み、
    前記プラズマが、1×1010〜5×1012/cmの密度を有するプラズマ処理方法。
  2. 基板上に絶縁膜を形成する工程と、
    該基板上に形成された絶縁膜を、アンテナ部材を介して生成した処理ガスからのプラズマに晒し、前記絶縁膜を改質する工程とを含むプラズマ処理方法であって、
    該処理ガスが、希ガスと、酸素、窒素および水素の少なくとも1つを含み、
    前記プラズマが、0.5〜2eVの電子温度を有するプラズマ処理方法。
  3. 前記希ガスが、クリプトン、キセノン、アルゴンまたはヘリウムから選ばれる請求項1または2に記載のプラズマ処理方法。
  4. 前記処理ガスが、流量1〜1000sccmのO;流量200〜3000sccmのクリプトン、ヘリウム、キセノン又はアルゴンの少なくとも1つ;および流量1〜200sccmの水素を含むガスである請求項1〜3のいずれかに記載のプラズマ処理方法。
  5. 前記絶縁膜が、室温〜700℃の温度下で改質される請求項1〜4のいずれかに記載のプラズマ処理方法。
  6. 前記絶縁膜が、20〜5000mTorrの圧力下で改質される請求項1〜5のいずれかに記載のプラズマ処理方法。
  7. 前記プラズマが、0.5〜5W/cmの出力で形成される請求項1〜6のいずれかに記載のプラズマ処理方法。
  8. 前記アンテナが、複数のスロットを有する平面アンテナである請求項1〜7のいずれかに記載のプラズマ処理方法。
  9. 前記絶縁膜が、SiO膜である請求項1〜8のいずれかに記載のプラズマ処理方法。
  10. 前記絶縁膜がSiO、シリコン酸窒化膜(SiON)、窒化シリコン(SiN)、酸化アルミニウム(Al)、酸化ジルコニウム(ZrO)、酸化ハフニウム(HfO)、シリケート、およびアルミネートからなる群から選択される1または2以上である請求項1〜9のいずれかに記載のプラズマ処理方法。
  11. 前記シリケートがZrSiOもしくはHfSiOの組成であり、前記アルミネートがZrAlOもしくはHfAlOの組成である請求項10に記載のプラズマ処理方法。
  12. プラズマ処理方法であって、
    絶縁膜を有する基板を準備する工程と、
    前記絶縁膜にアンテナ部材を介して、高周波を供給することにより生成した希ガスと、酸素、窒素および水素の少なくとも1つを含む処理ガスのプラズマを晒し、該絶縁膜を改質する工程とを含み、
    前記プラズマの密度が、1×1010〜5×1012/cmであるプラズマ処理方法。
  13. プラズマ処理方法であって、
    絶縁膜を有する基板を準備する工程と、
    前記絶縁膜にアンテナ部材を介して、高周波を供給することにより生成した希ガスと、酸素、窒素および水素の少なくとも1つを含む処理ガスのプラズマを晒し、該絶縁膜を改質する工程とを含み、
    前記プラズマの電子温度が、0.5〜2eVである処理方法。
  14. プラズマ処理方法であって、
    絶縁膜を有する基板を準備する工程と、
    前記絶縁膜にアンテナ部材を介して、高周波を供給することにより生成した希ガスと窒素を含む処理ガスのプラズマを晒し、該絶縁膜を改質する工程とを含み、
    前記プラズマの密度が、1×1010〜5×1012/cmであるプラズマ処理方法。
  15. プラズマ処理方法であって、
    絶縁膜を有する基板を準備する工程と、
    前記絶縁膜にアンテナ部材を介して、高周波を供給することにより生成した希ガスと窒素を含む処理ガスのプラズマを晒し、該絶縁膜を改質する工程とを含み、
    前記プラズマの電子温度が、0.5〜2eVである処理方法。
  16. 前記絶縁膜が、シリコン酸化膜である請求項12〜15のいずれかに記載のプラズマ処理方法。
  17. 前記絶縁膜が、CVD、熱酸化またはプラズマを用いて形成される請求項12〜16のいずれかに記載のプラズマ処理方法。
  18. 前記希ガスが、クリプトン、キセノン、アルゴンまたはヘリウムから選ばれる請求項12〜17のいずれかに記載のプラズマ処理方法。
  19. 前記絶縁膜の改質工程が、室温〜700℃の温度で行われる請求項12〜18のいずれかに記載のプラズマ処理方法。
  20. 前記絶縁膜の改質工程が、20〜5000mTorrの圧力で行われる請求項12〜19のいずれかに記載のプラズマ処理方法。
  21. 前記プラズマの電子温度が、0.5〜2eVである請求項12〜20のいずれかに記載のプラズマ処理方法。
  22. 前記アンテナが、複数のスロットを有する平面アンテナである請求項12〜21のいずれかに記載のプラズマ処理方法。
  23. 前記絶縁膜が、シリコン酸窒化膜である請求項14〜22のいずれかに記載のプラズマ処理方法。
  24. 前記絶縁膜が、ゲート絶縁膜である請求項12〜23のいずれかに記載のプラズマ処理方法。
  25. 被処理基板と、
    前記被処理基板上に形成する第1の絶縁膜と、
    前記第1の絶縁膜上に形成する第1の多結晶シリコン層と、
    前記第1の多結晶シリコン層上に形成する第2の絶縁膜とで構成する前記被処理基板の処理方法であって、
    前記第1の多結晶シリコン層の表面にアンテナ部材を介して、高周波を供給することにより生成した希ガスと、酸素、窒素および水素の1つ以上を含む処理ガスのプラズマを晒し、該第1の多結晶シリコン層を改質して、第2の絶縁膜が形成され、
    前記プラズマの電子温度が、0.5〜2eVであることを特徴とする被処理基板の処理方法。
  26. 被処理基板と、
    前記被処理基板上に形成する第1の絶縁膜と、
    前記第1の絶縁膜上に形成する第1の多結晶シリコン層と、
    前記第1の多結晶シリコン層上に形成する第2の絶縁膜と、
    前記第2の絶縁膜上に形成する第2の多結晶シリコン層とで構成する前記被処理基板の処理方法であって、
    前記第1および第2の多結晶シリコン層の表面にアンテナ部材を介して、高周波を供給することにより生成した希ガスと、酸素、窒素および水素の1つ以上を含む処理ガスのプラズマを晒し、該第1の多結晶シリコン層を改質し、
    前記プラズマの電子温度が、0.5〜2eVであることを特徴とする被処理基板の処理方法。
  27. 前記第2の絶縁膜が、SiNまたはSiNとSiOの積層からなる請求項25または26に記載の被処理基板の処理方法。
  28. 前記第2の絶縁膜上に第2の多結晶シリコン層が形成されている請求項25〜27のいずれかに記載の被処理基板の処理方法。
  29. 前記第1の絶縁膜上がSiO2である請求項25〜28のいずれかに記載の被処理基板の処理方法。
  30. 前記希ガスが、クリプトン、アルゴンまたはヘリウムから選ばれる請求項25〜29のいずれかに記載の被処理基板の処理方法。
  31. 前記絶縁膜の改質工程が、室温〜700℃の温度で行われる請求項25〜30のいずれかに記載の被処理基板の処理方法。
  32. 前記絶縁膜の改質工程が、20〜5000mTorrの圧力で行われる請求項25〜31のいずれかに記載の被処理基板の処理方法。
  33. 前記プラズマの密度が、1×1010〜5×1012/cmである請求項25〜32のいずれかに記載の被処理基板の処理方法。
  34. 前記プラズマが、0.5〜5W/cmの出力で形成される請求項25〜33のいずれかに記載の被処理基板の処理方法。
  35. 前記アンテナが、複数のスロットを有する平面アンテナである請求項25〜34のいずれかに記載の被処理基板の処理方法。
  36. 前記被処理基板が、フラッシュメモリデバイスを構成する請求項25〜35のいずれかに記載の被処理基板の処理方法。
  37. プラズマ処理方法であって、
    絶縁膜を有する基板を準備する工程と、
    前記絶縁膜にアンテナ部材を介して、高周波を供給することにより生成した希ガスと、酸素、窒素および水素の少なくとも1つを含む処理ガスのプラズマを晒し、該絶縁膜を改質する工程とを含み、
    前記プラズマが、0.5〜5W/cmの出力で形成されるプラズマ処理方法。
JP2006239558A 2001-01-25 2006-09-04 電子デバイス材料の製造方法 Expired - Lifetime JP4429300B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2006239558A JP4429300B2 (ja) 2001-01-25 2006-09-04 電子デバイス材料の製造方法

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2001017664 2001-01-25
JP2006239558A JP4429300B2 (ja) 2001-01-25 2006-09-04 電子デバイス材料の製造方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2002560186A Division JP4334225B2 (ja) 2001-01-25 2002-01-25 電子デバイス材料の製造方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2009244636A Division JP4917142B2 (ja) 2001-01-25 2009-10-23 電子デバイス材料の製造方法

Publications (2)

Publication Number Publication Date
JP2007027777A true JP2007027777A (ja) 2007-02-01
JP4429300B2 JP4429300B2 (ja) 2010-03-10

Family

ID=37788014

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006239558A Expired - Lifetime JP4429300B2 (ja) 2001-01-25 2006-09-04 電子デバイス材料の製造方法

Country Status (1)

Country Link
JP (1) JP4429300B2 (ja)

Cited By (301)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012227336A (ja) * 2011-04-19 2012-11-15 Mitsubishi Electric Corp 絶縁膜の製造方法
JP2013161920A (ja) * 2012-02-03 2013-08-19 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
JP2013225668A (ja) * 2012-03-23 2013-10-31 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10364493B2 (en) 2016-08-25 2019-07-30 Asm Ip Holding B.V. Exhaust apparatus and substrate processing apparatus having an exhaust line with a first ring having at least one hole on a lateral side thereof placed in the exhaust line
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US12020934B2 (en) 2020-07-08 2024-06-25 Asm Ip Holding B.V. Substrate processing method
US12027365B2 (en) 2021-11-19 2024-07-02 Asm Ip Holding B.V. Methods for filling a gap and related systems and devices

Cited By (384)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2012227336A (ja) * 2011-04-19 2012-11-15 Mitsubishi Electric Corp 絶縁膜の製造方法
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US9117848B2 (en) 2012-02-03 2015-08-25 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing the same
JP2013161920A (ja) * 2012-02-03 2013-08-19 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
JP2013225668A (ja) * 2012-03-23 2013-10-31 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US10364493B2 (en) 2016-08-25 2019-07-30 Asm Ip Holding B.V. Exhaust apparatus and substrate processing apparatus having an exhaust line with a first ring having at least one hole on a lateral side thereof placed in the exhaust line
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11970766B2 (en) 2016-12-15 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US12000042B2 (en) 2016-12-15 2024-06-04 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11976361B2 (en) 2017-06-28 2024-05-07 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11972944B2 (en) 2018-01-19 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US12020938B2 (en) 2018-03-27 2024-06-25 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US12025484B2 (en) 2019-04-29 2024-07-02 Asm Ip Holding B.V. Thin film forming method
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11996304B2 (en) 2019-07-16 2024-05-28 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US12020934B2 (en) 2020-07-08 2024-06-25 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US12033885B2 (en) 2021-01-04 2024-07-09 Asm Ip Holding B.V. Channeled lift pin
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US12033861B2 (en) 2021-06-07 2024-07-09 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US12027365B2 (en) 2021-11-19 2024-07-02 Asm Ip Holding B.V. Methods for filling a gap and related systems and devices
US12033849B2 (en) 2022-12-08 2024-07-09 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by PEALD using bis(diethylamino)silane

Also Published As

Publication number Publication date
JP4429300B2 (ja) 2010-03-10

Similar Documents

Publication Publication Date Title
JP4429300B2 (ja) 電子デバイス材料の製造方法
JP4917142B2 (ja) 電子デバイス材料の製造方法
JP4850871B2 (ja) 絶縁膜の形成方法
JP4001498B2 (ja) 絶縁膜の形成方法及び絶縁膜の形成システム
JP4401375B2 (ja) 電子デバイス材料の製造方法
TWI553734B (zh) 用於半導體元件之低溫氧化的方法
TW200836262A (en) Method for forming insulating film and method for manufacturing semiconductor device
JP4083000B2 (ja) 絶縁膜の形成方法
JP4562751B2 (ja) 絶縁膜の形成方法
JP4361078B2 (ja) 絶縁膜の形成方法

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090609

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090804

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A132

Effective date: 20090825

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091023

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20091117

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20091215

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121225

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4429300

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121225

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151225

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term