CN114402417A - 沉积介电材料的方法与设备 - Google Patents

沉积介电材料的方法与设备 Download PDF

Info

Publication number
CN114402417A
CN114402417A CN202080065353.3A CN202080065353A CN114402417A CN 114402417 A CN114402417 A CN 114402417A CN 202080065353 A CN202080065353 A CN 202080065353A CN 114402417 A CN114402417 A CN 114402417A
Authority
CN
China
Prior art keywords
dielectric material
layer
remote plasma
gas
bias
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202080065353.3A
Other languages
English (en)
Inventor
巴加夫·S·西特拉
杰思罗·塔诺斯
斯里尼瓦斯·D·内曼尼
约书亚·鲁布尼茨
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN114402417A publication Critical patent/CN114402417A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32807Construction (includes replacing parts of the apparatus)
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

用于沉积介电材料的方法和设备包括:将第一气体混合物提供到处理腔室中;在远程等离子体源中形成包括第一自由基的第一远程等离子体,并将第一自由基输送至处理腔室,以在存在第一气体混合物和第一自由基的情况下在设置在基板上的材料层中的开口中形成介电材料层;终止第一远程等离子体并向处理腔室施加第一RF偏置功率以形成第一偏置等离子体;使介电材料层与第一偏置等离子体接触以形成第一介电材料处理层;及随后在远程等离子体源中形成包括第二自由基的第二远程等离子体,并在存在第二气体混合物的情况下将第二自由基输送到内部处理区域中,同时施加第二RF偏置功率以形成第二偏置等离子体,其中第二自由基和第二偏置等离子体接触第一介电材料处理层,以增加第一介电材料处理层的疏水性或流动性。

Description

沉积介电材料的方法与设备
技术领域
本公开内容的实施方式大体上涉及用于沉积介电材料和改变其疏水性的方法和设备。
背景技术
可靠地产生次半微米(sub-half micron)和更小的特征是半导体装置的下一代超大规模集成电路(VLSI)和极大规模集成电路(ULSI)的关键技术挑战之一。但是,随着电路技术极限的推升,VLSI和ULSI互连技术的尺寸不断缩小,对处理能力提出了更高的需求。在基板上可靠地形成栅极结构对于VLSI和ULSI的成功以及对提高电路密度和单个基板和裸片品质的持续努力都是重要的。
为了使得能够制造下一代装置和结构,经常利用半导体芯片的三维(3D)堆叠来改善晶体管的性能。通过以三维取代常规的二维来布置晶体管,可以将多个晶体管彼此非常靠近地放置在集成电路(IC)中。半导体芯片的三维(3D)堆叠缩短了导线长度并保持低的布线延迟。随着诸如沟槽之类的特征的宽度继续缩小,对于半导体芯片的堆叠,深宽比(深度除以宽度)持续增大。关于制造诸如沟槽之类的高深宽比特征的一个挑战是避免在沟槽中沉积介电材料的期间形成空隙或缝隙。
为了填充沟槽,沉积诸如氧化硅的介电材料层。介电层通常覆盖场,以及诸如沟槽的特征的壁和底部。如果特征宽而浅,则完全填充特征并不困难。然而,随着特征深宽比的增加,沟槽的开口将“夹断(pinch off””,而在特征内形成空隙或缺陷的可能性增加。发明人已观察到,如果随后沉积的金属填充其中的空隙或缝隙,则空隙或缝隙会降低半导体产品的产率并改变介电材料的介电常数。
为了降低在特征内形成空隙或缺陷(例如在沟槽内形成缝隙)的可能性,已发展出许多不同的处理技术以用介电材料以最少缺陷填充特征。在沉积处理期间,不佳的处理控制将导致不规则的结构轮廓或特征(例如沟槽)的早期封闭,从而在沟槽中填充介电材料时在沟槽中形成空隙或气隙。
可流动的化学气相沉积(FCVD)是一种由下而上填充诸如沟槽的特征以避免形成空隙或缺陷的方法。然而,发明人已观察到,由FCVD方法形成的介电材料可能是不稳定的,并且可能不能改善介电膜的整体稳定性和品质,从而导致装置电阻增加和不佳的产率。例如,发明人已观察到可以采用偏置等离子体处理来使沉积的可流动膜材料密实,但是方法可能会有问题地降低由其形成的膜的流动性,从而导致共形沉积和有问题的空隙或缝隙形成。发明人亦已观察到可流动膜材料的偏置等离子体处理可降低沉积的可流动膜的疏水性。
因此,发明人提供了适合于改进由FCVD方法形成的材料的改进的方法和设备。
发明内容
本文提供了用于沉积介电材料的方法和设备。在一些实施方式中,一种沉积介电材料的方法包括:(a)将第一气体混合物提供到其中设置有基板的处理腔室中;(b)在远程等离子体源中形成包括第一自由基的第一远程等离子体,并将第一自由基输送至处理腔室中的内部处理区域,以在存在第一气体混合物和第一自由基的情况下在设置在基板上的材料层中的开口中形成介电材料层;(c)终止第一远程等离子体并向处理腔室施加第一RF偏置功率以形成第一偏置等离子体;(d)使介电材料层与第一偏置等离子体接触,以形成第一介电材料处理层;及(e)随后在远程等离子体源中形成包括第二自由基的第二远程等离子体,并在存在第二气体混合物的情况下将第二自由基输送到处理腔室中的内部处理区域,同时向处理腔室施加第二RF偏置功率以形成第二偏置等离子体,其中第二自由基和第二偏置等离子体接触第一介电材料处理层,以增加第一介电材料处理层的疏水性或流动性。
在一些实施方式中,一种用于形成介电材料的方法包括:用介电材料填充基板上的具有大于5的深宽比的开口,该介电材料是通过将第一远程等离子体、第一偏置等离子体、和第二远程等离子体结合第二偏置等离子体依序施加到设置有基板的处理腔室的内部处理区域而形成的;及至少增加介电材料的流动性或疏水性。
在一些实施方式中,本公开内容涉及一种非暂态计算机可读取储存介质,其具有储存于其上的指令,这些指令当由处理器执行时,使得方法被实行,该方法包括:(a)将第一气体混合物提供到其中设置有基板的处理腔室中;(b)在远程等离子体源中形成包括第一自由基的第一远程等离子体,并将第一自由基输送至处理腔室中的内部处理区域,以在存在第一气体混合物和第一自由基的情况下在设置在基板上的材料层中的开口中形成介电材料层;(c)终止第一远程等离子体并向处理腔室施加第一RF偏置功率以形成第一偏置等离子体;(d)使介电材料层与第一偏置等离子体接触,以形成第一介电材料处理层;及(e)随后在远程等离子体源中形成包括第二自由基的第二远程等离子体,并在存在第二气体混合物的情况下将第二自由基输送到处理腔室中的内部处理区域,同时向处理腔室施加第二RF偏置功率以形成第二偏置等离子体,其中第二自由基和第二偏置等离子体接触第一介电材料处理层,以增加第一介电材料处理层的疏水性或流动性。
以下描述本公开内容的其他和进一步的实施方式。
附图说明
通过参照在附图中描绘的本公开内容的说明性实施方式,可以理解在上文简要概述并在下文更详细讨论的本公开内容的实施方式。然而,附图仅描绘本公开内容的典型实施方式,并且因而不被认为是对范围的限制,因为本公开内容可以允许其他等效实施方式。
图1描绘了根据本公开内容的一些实施方式的用于实行沉积处理的设备的示意性截面侧视图;
图2示出了包括图1的设备的处理工具的一个实施方式的俯视图;
图3是示出了结合本公开内容的一个实施方式的用于形成介电材料的方法的处理流程图;
图4A至图4B描绘了根据本公开内容的一些实施方式的填充高深宽比开口的阶段;和
图5是示出本公开内容的用于形成介电材料的另一方法的处理流程图。
为了便于理解,已尽可能使用相同的附图标记来表示图中共同的相同元件。这些图不是按比例绘制的,并且为了清楚而可能被简化。一个实施方式的元件和特征可以有益地并入其他实施方式中而无需进一步叙述。
具体实施方式
本公开内容涉及用于在一或多个特征(诸如具有高深宽比的沟槽)中沉积介电材料的设备和方法,其用于半导体装置,特别是用于半导体芯片的三维(3D)堆叠。在一些实施方式中,沉积处理可以顺序地使用提供给其上放置基板的基板支撑组件的远程等离子体、RF偏置功率、以及远程等离子体和RF偏置功率的组合,以处理沉积的材料并维持或增加材料的流动性和/或材料的疏水性,以有利地减少或消除空隙或缝隙的形成。在一些实施方式中,将远程等离子体、RF偏置功率、以及远程等离子体和RF偏置功率的组合顺序地且循环地供应到处理腔室的内部处理区域,以为在来自基板的诸如沟槽之类的特征中的介电材料填充提供了良好的间隙填充能力。在一些实施方式中,用第一远程等离子体、第一RF偏置功率、以及第二远程等离子体和第二RF偏置功率的组合来处理介电材料,以修改介电材料的一或多个特性,例如密度、流动性、和/或疏水性。在一些实施方式中,保持或增加介电层材料的疏水性,使得介电材料当与水接触时具有在90度和110度之间的接触角,这保持介电材料的流动性,从而改善由下而上的间隙填充,从而有利地提高装置产率和保持沉积材料的介电常数。
因此,本公开内容包括将介电材料沉积到诸如沟槽或通孔的特征中,以用于半导体装置,特别是用于半导体芯片的三维(3D)堆叠,以为特征的介电材料填充提供良好的间隙填充能力。图1是根据本公开内容的用于实行可沉积用于半导体应用的介电材料的沉积处理的沉积处理腔室100的截面图。可以适用于本文的非限制性合适的处理腔室包括,例如,可从加州圣克拉拉的应用材料公司获得的HDP-
Figure BDA0003551250340000041
Figure BDA0003551250340000042
处理腔室。其他处理腔室,包括可从其他制造商获得的腔室,也可以结合本文提供的教示适当地使用。
参照图1,处理腔室100包括处理腔室主体102和耦接至处理腔室主体102的远程等离子体源104。远程等离子体源104可以是能够产生自由基的任何合适的源。远程等离子体源104可以是远程等离子体源,诸如射频(RF)或特高射频(VHRF)电容耦合等离子体(CCP)源、电感耦合等离子体(ICP)源、微波诱导(MW)等离子体源、电子回旋共振(ECR)腔室、或高密度等离子体(HDP)腔室。在一些实施方式中,远程等离子体源104可包括一或多个气体源106,并且远程等离子体源104可以通过自由基导管108耦接至处理腔室100。可以是自由基形成气体的一种或多种处理气体可以经由一或多个气体源106进入远程等离子体源104。一种或多种处理气体可包括含氯气体、含氟气体、惰性气体、含氧气体、含氮气体、含氢气体、或其中的任意组合。在一些实施方式中,处理气体包括选自氨(NH3)、氮气(N2)、氢气(H2)、及其组合的等离子体气体。在远程等离子体源104中产生的自由基穿过耦接到处理腔室100的自由基导管108行进到处理腔室100中,到达在处理腔室100中界定的内部处理区域151。
在一些实施方式中,自由基导管108是盖组件112的一部分,盖组件112亦包括自由基腔110、顶板114、盖缘116、和喷头118。自由基导管108可以包括对自由基基本上不反应的材料。例如,自由基导管108可包括氮化铝(AlN)、氧化硅(SiO2)、氧化钇(Y2O3)、氧化镁(MgO)、阳极氧化的Al2O3、蓝宝石、包含Al2O3、蓝宝石、氮化铝(AIN)、氧化钇(Y2O3)、氧化镁(MgO)中的一或多者的陶瓷、或塑料。合适的氧化硅(SiO2)材料的代表性示例是石英。自由基导管108可设置在自由基导管支撑构件120内并由自由基导管支撑构件120支撑。自由基导管支撑构件120可设置在顶板114上,顶板114放置在盖缘116上。
在一些实施方式中,自由基腔110位于自由基导管108的下方并耦接到自由基导管108,并且在远程等离子体源104中产生的自由基穿过自由基导管108行进到自由基腔110。自由基腔110由顶板114、盖缘116、和喷头118界定。选择性地,自由基腔110可包括衬垫122。衬垫122可覆盖顶板114和盖缘116的暴露于自由基腔110的表面。来自远程等离子体源104的自由基穿过设置在喷头118中的多个管124进入内部处理区域151。喷头118进一步包括直径小于多个管124的多个开口126。多个开口126连接至未与多个管124流体连通的内部容积(未示出)。一或多个流体源119可以耦接至喷头118,用于将流体混合物引入处理腔室100的内部处理区域151中。流体混合物可包括前驱物和/或载流体。流体混合物可以是气体和液体的混合物。在实施方式中,合适的前驱物的非限制性示例包括适合于形成氮化硅(SiNx)膜的前驱物,例如三甲硅烷基胺(TSA)。
处理腔室100可包括盖组件112、腔室主体130、和基板支撑组件132。基板支撑组件132可以至少部分地设置在腔室主体130内。腔室主体130可包括狭缝阀135,以提供进入处理腔室100内部的通道。腔室主体130可包括覆盖腔室主体130的内表面的衬垫134。衬垫134可包括一或多个孔136和在其中形成的与真空系统140流体连通的泵通道138。孔136为气体进入泵通道138提供流动路径,泵通道138为处理腔室100内的气体提供出口。
真空系统140可包括真空端口142、阀144、和真空泵146。真空泵146经由真空端口142与泵通道138流体连接。孔136允许泵通道138与腔室主体130内的内部处理区域151流体连通。内部处理区域151由喷头118的下表面148和基板支撑组件132的上表面界定,并且内部处理区域被衬垫134围绕。
基板支撑组件132可包括基板支撑构件152,以支撑基板(未示出)以在腔室主体130内进行处理。基板可以是任何标准晶片尺寸,例如,300mm。或者,基板可大于300mm,例如450mm或更大。取决于操作温度,基板支撑构件152可包括氮化铝(AlN)或铝。基板支撑构件152可被配置成将基板夹持至基板支撑构件152。例如,基板支撑构件152可以是静电卡盘或真空卡盘。
基板支撑构件152可通过轴156耦接至升降机构154,轴156延伸穿过形成在腔室主体130的底表面中的位于中央的开口158。升降机构154可以通过波纹管160柔性地密封到腔室主体130,该密封防止从轴156周围发生真空泄漏。升降机构154允许基板支撑构件152在腔室主体130内在处理位置和较低的传送位置之间垂直移动。传送位置在狭缝阀135的开口的稍下方。在操作期间,可以最小化基板301和喷头118之间的间隔,以便最大化基板表面的自由基通量。例如,间隔可以在约100mm和约5,000mm之间。升降机构154可以能够使轴156旋转,继而使基板支撑构件152旋转,从而使得设置在基板支撑构件152上的基板在操作期间旋转。
一或多个加热元件162和冷却通道164可以被嵌入在基板支撑构件152中。加热元件162和冷却通道164可用以在操作期间控制基板的温度。加热元件162可以是任何合适的加热元件,例如一或多个电阻加热元件。加热元件162可以连接到一或多个电源(未示出)。加热元件162可以被单独地控制以对多区域加热或冷却具有独立的加热和/或冷却控制。具有对多区域加热和冷却进行独立控制的能力,可以在任何给定的处理条件下增强基板温度曲线。冷却剂可流动通过冷却通道164以冷却基板。基板支撑构件152可进一步包括气体通道,气体通道延伸到上表面150,用于使冷却气体流到基板的背侧。
RF源功率143可以通过RF源功率匹配箱147耦接到喷头118。RF源功率143可以是低频、高频、或特高频。在一个实施方式中,RF源功率143是高频RF发生器,其可以产生用于沉积高密度膜层的高密度等离子体。在一个示例中,RF源功率143可以用作电感耦合RF能量传输装置,其可产生并控制在基板支撑构件152上方的内部处理区域351中产生的电感耦合等离子体(ICP)。当产生电感耦合等离子体(ICP)时,可以提供来自RF源功率匹配箱147的动态阻抗匹配。
除了RF源功率143之外,RF偏置电源145可以耦接到基板支撑构件152。基板支撑构件152被配置为阴极并且包括电极163,电极163耦接到RF偏置电源145。RF偏置电源145耦接在设置在基板支撑构件152中的电极163和另一电极之间,所述另一电极例如是喷头118或腔室主体130的天花板(例如顶板114)。从RF偏置电源145产生的RF偏置功率激发并维持由设置在腔室主体130的内部处理区域151中的气体形成的等离子体放电。
在一种操作模式中,基板301被设置在处理腔室100中的基板支撑构件152上。处理气体和/或气体混合物从气体源106通过喷头118被引入到腔室主体130中。真空泵146在去除沉积副产物的同时维持腔室主体130内部的压力。
控制器170耦接至处理腔室100以控制处理腔室100的操作。控制器170包括中央处理单元(CPU)172、存储器174、和支持电路176,其用于控制处理顺序并调节来自气体源106的气流。CPU 172可以是可以在工业设置中使用的任何形式的通用计算机处理器。软件程序可以储存在存储器174中,存储器例如是随机存取存储器、只读存储器、软盘或硬盘驱动、或其他形式的数字储存器。支持电路176常规地耦接到CPU 172,并且可以包括高速缓存、时钟电路、输入/输出系统、电源等。控制器170与处理腔室100的各个部件之间的双向通信通过大量信号电缆进行处理。
图2是示例性处理系统200的示意性俯视平面图,其包括并入且整合在其中的一或多个图1描绘的处理腔室100。在一个实施方式中,处理系统200可以是
Figure BDA0003551250340000071
整合处理系统,可以从位于加州圣克拉拉的应用材料公司商购获得。然而,其他处理系统(包括来自其他制造商)亦可适于由本公开内容中受益。
在一些实施方式中,处理系统200包括真空密封的处理平台,例如处理平台204、工厂界面202、和系统控制器244。处理平台204包括至少一个处理腔室100(例如图1所示的一个处理腔室100)、多个处理腔室201、228、220、210和至少一个装载锁定腔室222,其耦接至真空基板传送腔室236。在图2中示出了两个装载锁定腔室222。工厂界面202通过装载锁定腔室222耦接到传送腔室236。
在一个实施方式中,工厂界面202包括至少一个对接站(诸如对接站208)和至少一个工厂界面机器人214,以促进基板的传送。对接站208被配置以接收一或多个前开式晶片传送盒(front opening unified pod,FOUP)。在图2的实施方式中示出了两个FOUP 206A-206B。工厂界面机器人214具有设置在工厂界面机器人214的一端上的叶片216,该工厂界面机器人214被配置为将基板从工厂界面202传送到处理平台204,以通过装载锁定腔室222进行处理。选择性地,一或多个计量站218可以连接到工厂界面202的端部226,以促进来自FOUP 206A-206B的基板的测量。
每个装载锁定腔室222具有耦接到工厂界面202的第一端口和耦接到传送腔室236的第二端口。装载锁定腔室222耦接到压力控制系统(未示出),该压力控制系统抽空并使装载锁定腔室222排气以促进基板在传送腔室236的真空环境和工厂界面202的实质周围(例如,大气)环境之间通过。
传送腔室236具有设置在其中的真空机器人230。真空机器人230具有能够在装载锁定腔室222、处理腔室100、处理腔室201、和处理腔室201、210、220、228之间传送基板301的叶片234。
在处理系统200的一个实施方式中,处理系统200可包括图1所示的处理腔室100(例如高密度等离子体(HDP)腔室)和其他处理腔室201、210、220、228,它们可以是沉积腔室、蚀刻腔室、热处理腔室、或其他类似类型的半导体处理腔室,其可以帮助形成具有良好间隙填充能力的介电材料以填充在半导体装置的沟槽中或提供进一步的下游处理。
系统控制器244非常类似于上述控制器170,通常包括中央处理单元(CPU)238、存储器240、和支持电路242。CPU 238可以是可以在工业设置中使用的一种任意形式的通用计算机处理器。支持电路242常规地耦接到CPU 238,并且可包括高速缓存、时钟电路、输入/输出子系统、电源等。软件程序将CPU 238转换为专用计算机(控制器)244。软件程序也可由远离处理系统200定位的第二控制器(未示出)储存和/或执行。
图3是可以在处理腔室100或其他合适的处理腔室中实施的处理300的一个实施方式的流程图。图4A至图4B是对应于处理300的各个阶段的复合基板450的一部分的示意性截面图。处理300可被利用于填充特征,例如高深宽比的特征,例如,对于结构,对于半导体装置,特别是对于半导体存储器的三维(3D)堆叠,大于3∶1,或者在3∶1至15∶1之间。替代地,可以有利地利用处理300来填充其他类型的结构。
在一些实施方式中,处理300可以通过将诸如图4中描绘的基板301之类的基板传送或提供到诸如图1中描绘的处理腔室100之类的沉积处理腔室而开始。基板301可具有基本上平坦的表面、不平坦表面、或具有在其上形成的结构的基本平坦的表面。在图4A所示的实施方式中,基板301具有设置在界面层402上的材料层404。在一个实施方式中,材料层404包括在其中形成的开口408。材料层404可被用于在前端或后端处理中形成栅极结构、接触结构、互连结构,或者根据需要形成任何合适的结构。在一个实施方式中,可以在材料层404上实行处理300以在其中形成接触结构。基板301可以是诸如晶体硅(例如,Si<100>或Si<111>)、氧化硅、应变硅、硅锗、掺杂或未掺杂的多晶硅、掺杂或未掺杂的硅晶片、图案化或未图案化的晶片、绝缘体上硅(silicon on insulator,SOI)、碳掺杂的氧化硅、氮化硅、掺杂的硅、锗、砷化镓、玻璃、或蓝宝石之类的材料。基板301可具有各种尺寸,例如200mm、300mm、450mm、或其他直径的晶片,以及矩形或正方形面板。除非另有说明,否则本文描述的实施方式和示例在具有200mm直径、300mm直径、或450mm直径的基板上进行。在其中SOI结构被利用于基板301的实施方式中,基板301可以包括设置在硅晶体基板上的掩埋介电层。在本文描绘的实施方式中,基板301可以是晶体硅基板。
在一个实施方式中,界面层402可以是介电层。材料层404具有开口408,其暴露出界面层402的部分410,以在其中沉积介电材料。本文所述的开口408可包括沟槽、通孔、开口等。在一个实施方式中,材料层404可以是含金属的材料、含硅的材料、含碳的材料、或其他合适的材料。含金属的材料的合适示例包括含铜的材料、含铝的材料、含镍的材料、含钨的材料、或其他含金属的材料。合适的含硅材料包括硅、氧化硅、氮化硅、氧氮化硅、及上述材料的组合。合适的含碳材料包括碳化硅、无定形碳或类似材料。在本文描绘的示例性实施方式中,诸如图案化材料层的材料层404是金属层。在一些实施方式中,诸如图案化材料层的材料层404可包括沉积在诸如图案化材料层的材料层404的顶表面上的一或多个衬垫层401或一或多个阻挡层403。
在一些实施方式中,根据需要,界面层402可以是介电层,诸如介电氧化物层或介电氮化物层。界面层402可包括多层、复合层、或单层。用于介电层的其他合适材料包括未掺杂的硅玻璃(USG),例如氧化硅或TEOS、硼硅酸盐玻璃(BSG)、磷硅酸盐玻璃(PSG)、硼磷硅酸盐玻璃(BPSG)、氮化硅、非晶硅、及其组合。
在一些实施方式中,材料层404可以被图案化到预定深度以暴露界面层402的部分410,如图4A所示。可以以任何合适的图案化处理来蚀刻或图案化材料层404。可以通过将气体混合物伴随施加到处理腔室的预定RF功率水平供应到处理腔室中来图案化材料层404。
在操作302,将第一气体混合物提供到其中设置有基板的处理腔室中。例如,可以将诸如沉积气体混合物之类的第一气体混合物供应至诸如图1中的处理腔室100的处理腔室,来实行沉积处理以在开口408中形成介电材料406(图4B所示)。可以基于要形成并填充在开口408中的材料的不同类型来改变供应到处理腔室100的第一气体混合物。在一个示例中,当将要形成的介电材料406期望是氮化硅层时,所供应的第一气体混合物可以包括一或多种含硅气体、含氮气体、以及惰性气体(例如氩气)。在另一示例中,当将要形成的介电材料406期望是氧化硅层时,所供应的沉积气体混合物包括含硅气体、含氧气体、以及选择性地惰性气体。在另一个示例中,当将要形成的介电材料406期望是碳化硅层时,所供应的沉积气体混合物可以包括含硅气体、含碳气体、以及选择性地惰性气体。在又另一示例中,当将要形成的介电材料406期望是碳化物层时,所供应的沉积气体混合物包括至少一种含碳气体和选择性地惰性气体。
含硅气体的非限制性示例包括硅烷(SiH4)、四乙氧基硅烷、二硅烷(Si2H6)及类似物。含氮气体的合适示例包括氮气(N2)、氨(NH3)、一氧化二氮(N2O)、二氧化氮(NO2)及类似物。含氧气体的合适示例包括过氧化氢(H2O2)、水(H2O)、氧气(O2)、臭氧(O3)及类似物。含碳气体的合适示例包括二氧化碳(CO2)、碳氢化合物气体(例如CH4和C2H6)及类似物。惰性气体的合适示例包括氦气(He)、氩气(Ar)及类似物。
在一些实施方式中,亦可根据需要在沉积气体混合物中供应另外的载气,例如氮气(N2)、氢气(H2)及类似物。
在一些实施方式中,第一气体混合物包括含硅气体、含氮或碳的气体、以及氩气或氢气。在一个特定示例中,沉积气体混合物包括硅烷(SiH4)、氩气(Ar)、氦气(He)、氨(NH3)、氢气(H2)、和氮气(N2),用于沉积氮化硅层作为介电材料406。在另一特定示例中,第一气体混合物包括三甲硅烷基胺(TSA)、氩气(Ar)、氦气(He)、和氨(NH3),用于沉积氮化硅层作为介电材料406。在另一特定示例中,第一气体混合物包括硅烷(SiH4)、氩气(Ar)、氦气(He)、甲烷(CH4)、二氧化碳(CO2)、氢气(H2)、和/或氮气(N2),用于沉积碳化硅层作为介电材料406。在又另一特定示例中,第一气体混合物包括硅烷(SiH4)、氩气(Ar)、氦气(He)、和氧气(O2)或二氧化氮(NO2),用于沉积氧化硅层作为介电材料406。
在一些实施方式中,将含硅气体控制在依体积约30scem至约500secm之间或依体积50scem至275seem之间的流率。将含氧、碳、或氮的气体控制在依体积约50sccm至约2000sccm之间的流率。在一些实施方式中,将氩气(Ar)、氨气(NH3)、或氦气(He)控制在依体积约500sccm至约3000sccm之间的流率。在一些实施方式中,以依体积1000sccm的流率供应氦气(He)。在一些实施方式中,以依体积525sccm的流率供应氨(NH3)。在一些实施方式中,以依体积2850sccm的流率供应氩(Ar)。
在一些实施方式中,来自第一气体混合物的一些气体可以从气体源106穿过远程等离子体源104供应到内部处理区域151,而一些气体可以穿过处理腔室100的一侧(例如在喷头118周围侧向形成的流体源119)被供应,以被输送到内部处理区域151。在一些示例中,来自第一气体混合物的第一气体(例如,反应性前驱物)(诸如例如TSA的含硅气体)从处理腔室100的侧部(例如,流体源119)被供应,而来自第一气体混合物的第二气体(例如载气、惰性气体、含碳或氮的气体或其他气体)从气体源106经由远程等离子体源104被供应至内部处理区域151。在一些实施方式中,第一气体是从流体源119供应到处理腔室中的SiH4气体,而第二气体是从气体源106经由远程等离子体源104供应到内部处理区域151的Ar、He、NH3、H2、N2、或其组合中的至少一种。在一些实施方式中,第一气体是从流体源119供应到处理腔室中的TSA气体,而第二气体是从气体源106经由远程等离子体源104供应到内部处理区域151的Ar、He、NH3、H2、N2、或其组合中的至少一种。
在操作304,在诸如沉积气体混合物的第一气体混合物被供应到处理腔室之后,在远程等离子体源中形成包括第一自由基的第一远程等离子体并且将第一自由基输送到处理腔室中的内部处理区域151以在存在第一气体混合物和第一自由基的情况下,在设置于基板上的材料层中的开口中形成介电材料层。在一些实施方式中,第一远程等离子体源功率被施加到远程等离子体源104,以产生要从远程等离子体源104传送到内部处理区域151的第一远程等离子体。在实施方式中,与施加到喷头的常规腔室内RF源功率相比,远程解离的气体和/或其他气体提供高密度和低能量的原子物种,施加到喷头的常规腔室内RF源功率可以提供高能量但是相对低密度的气体自由基。在实施方式中,通过利用具有某些活性气体物种的远程等离子体源以及从侧部(例如从流体源119)供应的气体,接着将具有相对较低能量原子物种的高密度气体物种输送至内部处理区域151,以在基板301上形成介电材料406,如图4B所示。在实施方式中,来自远程等离子体源的原子气体物种具有更高程度的反应性,其可以与从侧部(例如从流体源119)供应的反应气体前驱物更有效、缓慢、且彻底地反应,从而提供良好的间隙填充能力以将介电材料406填充到在材料层404中界定的开口408中。
在一些实施方式中,引入到处理腔室中的每种气体的量可以被改变和调节以适应例如将在开口408中形成的介电材料406的厚度(例如,开口408的高度或几何形状)。在一或多个实施方式中,从远程等离子体源104供应的气体可以具有一定比例。例如,可以将含氮或碳的气体与Ar气体的体积比控制在约0.2∶1和约2∶1之间。
在一些实施方式中,来自远程等离子体的自由基被供应到基板支撑构件152,以沉积介电材料至填充在材料层404中的开口408中的介电材料406的期望或预定厚度。例如,在实施方式中,将介电材料沉积至约30纳米、60纳米、90纳米、或120纳米或在约20纳米至150纳米之间的厚度。如下文所解释的,沉积可以是周期性的,使得根据本公开内容沉积介电材料层。
在一些实施方式中,在约1000瓦和约10000瓦之间的远程RF源功率,例如在约2000瓦和约4000瓦之间的远程RF源功率被提供给远程等离子体源104,以产生远程等离子体和自由基以被输送到内部处理区域151。向远程等离子体源104施加功率的频率约为400kHz。频率可以在约50kHz至约2.45GHz的范围。基板温度维持在约摄氏-20度至约摄氏200度之间,例如在约摄氏20度至约摄氏90度之间。
在一些实施方式中,在操作306,在终止从远程等离子体源104到内部处理区域151的远程等离子体的同时,可以将RF偏置功率施加到基板支撑构件152以产生到达设置在基板支撑构件152上的基板301的RF偏置等离子体。在一些实施方式中,在沉积处理期间,利用产生到基板支撑构件152的RF偏置功率来帮助对内部处理区域151中的等离子体提供方向性。在一个实施方式中,可以根据需要以60MHz和/或2MHz提供RF偏置功率。在一个特定实施方式中,以60MHz、2MHz、或400KHz提供RF偏置功率。
在一些实施方式中,可以以脉冲模式将RF偏置功率提供给基板支撑构件152。在实施方式中,以脉冲模式供应的RF偏置功率可以向设置在基板支撑组件上的基板301提供相对温和的RF功率水平,从而可以以相对温和的能量水平朝着基板301加速反应性物种。可以在从远程等离子体源104提供的远程等离子体功率之后顺序地施加提供给基板支撑构件152的RF偏置功率。
在一些实施方式中,从第一时间点到第二时间点从远程等离子体源104提供远程等离子体。随后,在第二时间点终止施加到远程等离子体源104的功率(例如,关闭从远程等离子体源104提供的远程等离子体)之后,接着从第二时间点到第三时间点以脉冲模式将RF偏置功率提供给基板支撑构件152。当预定或期望厚度的介电材料406填充在材料层404中的开口408中时,可以终止(例如,关闭)以脉冲模式供应到基板支撑构件152的RF偏置功率。在一些实施方式中,形成在材料层404中的介电材料406可以是氮化硅材料。
在一些实施方式中,供应到基板支撑构件152的RF偏置功率可以形成偏置等离子体,偏置等离子体用于将在材料层404中的开口408中的介电材料处理或致密化至期望或预定密度。例如,在操作308,可使介电材料层与第一偏置等离子体接触以形成第一介电材料处理层。在实施方式中,介电材料被处理以增加材料的密度。
在一些实施方式中,供应至基板支撑构件152的RF偏置功率可伴随有本文所述的处理气体混合物。在一些实施方式中,处理气体混合物没有含硅气体。在一些实施方式中,含氧、碳、或氮的气体被控制在依体积约50sccm至约2000sccm之间的流率。在一些实施方式中,将氩气(Ar)、氨气(NH3)、或氦气(He)控制在依体积约500sccm至约3000sccm之间的流率。在一些实施方式中,以依体积1000sccm的流率供应氦气(He)。在一些实施方式中,以依体积525sccm的流率供应氨(NH3)。在一些实施方式中,以依体积2850sccm的流率供应氩(Ar)。
在一些实施方式中,在施加RF偏置功率之后,发明人已观察到介电材料406可能有问题地失去流动和/或维持疏水特性的能力。例如,介电材料的粘性可能增加,使得材料失去流动能力。此外,第一处理过的介电材料膜可能有问题地具有亲水特性,或者足够亲水以具有低于85度的水接触角。
在处理序列310,在使介电材料层与第一偏置等离子体接触以形成第一介电材料处理层之后,可以形成第二远程等离子体,第二远程等离子体在远程等离子体源中包括第二自由基,并包括在存在第二气体混合物的情况下将第二自由基输送至处理腔室中的内部处理区域,同时向处理腔室施加第二RF偏置功率以形成第二偏置等离子体,其中第二自由基和第二偏置等离子体与第一介电材料处理层接触以增加第一介电材料处理层的疏水性或流动性(例如,降低粘性)。
在一些实施方式中,在处理序列310处,可以在从第一时间点到第二时间点的预定时间段内与供应到基板支撑构件152的RF偏置功率同时地将第二远程等离子体或来自第二远程等离子体的自由基从远程等离子体源104提供到基板301。在一些实施方式中,来自远程等离子体源104的远程等离子体以连续模式供应,并且提供给基板支撑构件152的RF偏置功率处于连续模式。在一些实施方式中,当获得介电材料406的期望的黏性和/或疏水性(例如在材料层404中的开口408中)时,可以在第二时间点同时终止来自远程等离子体源104的第二远程等离子体和供应给基板支撑构件152的RF偏置功率。在一些实施方式中,形成在材料层404中的介电材料406可以是氮化硅材料。
在处理序列310处,在一些实施方式中,可以基于开口408中的第一介电材料处理层的材料的不同类型来改变供应到处理腔室100的第二气体混合物。在一个示例中,当介电材料406是氮化硅层时,所供应的第二气体混合物包括至少一种含硅气体、含氮气体、或选择性地惰性气体。在另一示例中,当第一介电材料处理层是氧化硅层时,第二气体混合物可包括至少一种含硅气体、含氧气体、或选择性地惰性气体。在另一示例中,当第一介电材料处理层是碳化硅层时,所供应的第二气体混合物包括至少一种含硅气体、含碳气体、或选择性地惰性气体。在又另一示例中,当第一介电材料处理层是碳化物层时,第二气体混合物可以包括至少一种含碳气体、或选择性地惰性气体。
含硅气体的非限制性示例包括硅烷(SiH4)、四乙氧基硅烷、二硅烷(Si2H6)及类似物。含氮气体的合适示例包括氮气(N2)、氨(NH3)、一氧化二氮(N2O)、二氧化氮(NO2)及类似物。含氧气体的合适示例包括过氧化氢(H2O2)、水(H2O)、氧气(O2)、臭氧(O3)及类似物。含碳气体的合适示例包括二氧化碳(CO2)、碳氢化合物气体(例如CH4和C2H6)及类似物。惰性气体的合适示例包括氦气(He)、氩气(Ar)及类似物。在一些实施方式中,亦可根据需要在第二气体混合物中供应载气,例如氮气(N2)、氢气(H2)及类似物。
在一些实施方式中,第二气体混合物包括含硅气体、含氮或碳的气体、以及氩气或氢气。在一个特定的示例中,第二气体混合物包括硅烷(SiH4)、氩气(Ar)或氦气(He)、氨(NH3)、氢气(H2)或氮气(N2)、或其组合,以用于氮化硅层作为第一介电材料处理层。在另一特定示例中,第二气体混合物包括三甲硅烷基胺(TSA)、氩气(Ar)、氦气(He)或氨(NH3)或其组合,用于氮化硅层作为第一介电材料处理层。在另一特定示例中,沉积气体混合物包括硅烷(SiH4)、氩气(Ar)、氦气(He)、甲烷(CH4)、二氧化碳(CO2)、氢气(H2)或氮气(N2),用于碳化硅层作为第一介电材料处理层。在又另一特定示例中,第二气体混合物包括(SiH4)、氩气(Ar)、氦气(He)、或氧气(O2)或二氧化氮(NO2),用于氧化硅层作为第一介电材料处理层。
在一些实施方式中,将含硅气体控制在依体积约30sccm和约500sccm之间或依体积50sccm至275sccm之间的流率。将含氧、碳、或氮的气体控制在依体积约50sccm至约2000sccm之间的流率。在一些实施方式中,将氩气(Ar)、氨气(NH3)、或氦气(He)控制在依体积约500sccm至约3000sccm之间的流率。在一些实施方式中,以依体积1000sccm的流率供应氦气(He)。在一些实施方式中,以依体积525sccm的流率供应氨(NH3)。在一些实施方式中,以依体积2850sccm的流率供应氩(Ar)。
在一些实施方式中,来自第二气体混合物的一些气体可以从气体源106通过远程等离子体源104供应到内部处理区域151,而一些气体可以穿过处理腔室100的一侧(诸如在喷头118周围侧向形成的流体源119)被供应,以被输送到内部处理区域151。在一些示例中,第一气体与上述第一气体(例如,反应性前驱物)相同,例如从处理腔室100的侧部(例如,流体源119)供应的诸如TSA的含硅气体,而从气体源106通过远程等离子体源104将第二气体(例如,载气、惰性气体、含碳或氮的气体、或其他气体)提供给内部处理区域151。在一些实施方式中,第一气体是从流体源119供应到处理腔室中的SiH4气体,而第二气体是从气体源106经由远程等离子体源104供应到内部处理区域151的Ar、He、NH3、H2、N2、或其组合中的至少一种。在一些实施方式中,第一气体是从流体源119供应到处理腔室中的TSA气体,而第二气体是从气体源106经由远程等离子体源104供应到内部处理区域151的Ar、He、NH3、H2、N2、或其组合中的至少一种。
在处理序列310,在将第二气体混合物供应到处理腔室的同时,在远程等离子体源中形成包括第二自由基的第二远程等离子体。在实施方式中,第二自由基适合于在第二气体混合物和第二自由基存在的情况下输送到处理腔室中的内部处理区域151中。在一些实施方式中,第二远程等离子体源功率被施加到远程等离子体源104,以产生要从远程等离子体源104输送到内部处理区域151的第二远程等离子体。在实施方式中,与施加到喷头的常规腔室内RF源功率相比,远程解离的气体和/或其他气体提供高密度和低能量的原子物种,施加到喷头的常规腔室内RF源功率可以提供高能量但是相对低密度的气体自由基。在实施方式中,通过利用具有某些活性气体物种的远程等离子体源以及从侧部(例如从流体源119)供应的气体,将具有相对较低能量原子物种的高密度气体物种接着输送至内部处理区域151,以在基板301上形成介电材料406,如图4B所示。在实施方式中,来自远程等离子体源的原子气体物种具有更高程度的反应性,其可以与从侧部(例如从流体源119)供应的反应气体前驱物更有效、缓慢、且彻底地反应,从而提供良好的间隙填充能力以将介电材料406填充到在材料层404中界定的开口408中。
在一些实施方式中,引入到处理腔室中的每种气体的量可以改变和调节以适应,例如,第一介电材料处理层的粘性和疏水性。
在一些实施方式中,在约1000瓦和约10000瓦之间的远程RF源功率,例如在约2000瓦和约4000瓦之间的远程RF源功率,被提供给远程等离子体源104,以产生第二远程等离子体以被输送到内部处理区域151。向第二远程等离子体源施加功率的频率可以与远程等离子体源104相同并且为约400kHz。频率可以在约50kHz至约2.45GHz的范围。基板温度维持在约摄氏-20度至约摄氏200度之间,例如在约摄氏20度至约摄氏90度之间。
在一些实施方式中,在处理序列310处,在维持从远程等离子体源104到内部处理区域151的第二远程等离子体时,第二RF偏置功率可以同时地施加到基板支撑构件152以产生到达设置在基板支撑构件152上的基板301的第二RF偏置等离子体。在一些实施方式中,可以根据需要以60MHz和/或2MHz提供第二RF偏置功率。在一个特定实施方式中,以60MHz、2MHz、或400KHz提供第二RF偏置功率。
在一些实施方式中,从远程等离子体源104供应第二远程等离子体并且将第二RF偏置功率供应至基板支撑构件152达诸如10至30秒的预定时间。在一些实施方式中,第一介电材料处理层可以是氮化硅材料。
在一些实施方式中,提供至基板支撑构件152的第二RF偏置功率可以形成第二偏置等离子体,以用于将材料层404中的开口408中的第一介电材料处理层处理至期望的或预定的粘性或疏水性。例如,在处理序列310之后,第一介电材料处理层可以具有疏水特性或为足够疏水的以具有约90度至约110度的水接触角。疏水和疏水性是指具有约85°或更大的水接触角的表面(例如,涂层表面或光滑表面)润湿性。通常,在疏水表面上,例如,直径为2mm的水滴会成珠(bead up),但在当表面不过度倾斜时不会从表面流下。随着表面倾斜,在液滴的下坡侧的润湿角增大,而在液滴的上坡侧的润湿角减小。由于前进(下坡)界面难以向前推动到固体表面的下一个增量,并且后退(上坡)界面难以释放液滴所在的固体表面部分,因此液滴倾向于保持静止或固定在适当的位置。在实施方式中,接触角通过本领域已知的方法例如使用测角计来测量。
在实施方式中,第一介电材料处理层或其顶表面被处理以在与水接触时使其接触角增加至少10度、至少20度、至少30度、至少50度。在实施方式中,第一介电材料处理层被改变为在与水接触时具有90至110度的程度的接触角。在实施方式中,在处理序列310之后,当与水接触时,第一介电材料处理层包括至少100度、至少102度、至少104度、至少106度、至少108度、或至少110度的接触角。
在一些实施方式中,本公开涉及一种沉积介电材料的方法。在实施方式中,所述方法包括:(a)将第一气体混合物提供到其中设置有基板的处理腔室中;(b)在远程等离子体源中形成包括第一自由基的第一远程等离子体,并将第一自由基输送至处理腔室中的内部处理区域,以在存在第一气体混合物和第一自由基的情况下在设置在基板上的材料层中的开口中形成介电材料层;(c)终止第一远程等离子体并向处理腔室施加第一RF偏置功率以形成第一偏置等离子体;(d)使介电材料层与第一偏置等离子体接触,以形成第一介电材料处理层;及(e)随后在远程等离子体源中形成包括第二自由基的第二远程等离子体,并在存在第二气体混合物的情况下将第二自由基输送到处理腔室中的内部处理区域,同时向处理腔室施加第二RF偏置功率以形成第二偏置等离子体,其中第二自由基和第二偏置等离子体接触第一介电材料处理层,以增加第一介电材料处理层的疏水性或流动性(例如,降低粘性)。在一些实施方式中,方法包括重复(a)至(e),直到第一介电材料处理层具有预定厚度,例如90至160纳米。在一些实施方式中,方法包括使介电材料层与第一偏置等离子体接触以形成第一介电材料处理层,从而降低第一介电材料处理层的疏水性,其中当与水接触时,第一介电材料处理层具有低于90度的接触角。在一些实施方式中,使第二自由基和第二偏置等离子体与第一介电材料处理层接触增加了第一介电材料处理层的疏水性,其中当与水接触时,第一介电材料处理层的第一表面的疏水性具有90至110度的程度的接触角。在一些实施方式中,第一气体混合物和第二气体混合物包括处于摄氏负20度至摄氏90度的温度的含硅气体、氩、氦、和氨。在一些实施方式中,(e)包括使第一介电材料处理层与第二自由基和第二RF偏置等离子体接触约5秒。在一些实施方式中,第二气体混合物包括处于摄氏负20度至摄氏90度的温度的氩、氦、氨、和三硅烷胺(TSA)。在一些实施方式中,在将第二RF偏置功率施加到处理腔室之前形成第二远程等离子体。在一些实施方式中,形成第一远程等离子体进一步包括:形成第一远程等离子体达到预定的时间段;及在施加第一RF偏置功率之前,终止第一远程等离子体。在一些实施方式中,第一气体混合物和第二气体混合物包括穿过处理腔室的一侧供应到内部处理区域的前驱物气体。在一些实施方式中,第一气体混合物和第二气体混合物包括通过远程等离子体源供应到内部处理区域的第二气体。在一些实施方式中,第一气体混合物和第二气体混合物包括选自由含硅气体、含氮气体、惰性气体、含碳气体、和含氧气体所组成的组中的一或多种气体。在一些实施方式中,第一气体混合物和第二气体混合物包括至少氩气(Ar)、氦气(He)、氨(NH3)、氢气(H2)、和氮气(N2)。在一些实施方式中,第一介电材料处理层是氮化硅层或碳化硅层。在一些实施方式中,方法包括将基板温度维持在约摄氏-20度至约摄氏90度之间。
现在参考图5,方法500包括根据本公开内容的用于形成介电材料的方法。在实施方式中,方法500包括在处理序列502处用介电材料填充基板上的具有大于5的深宽比的开口,该介电材料是通过依序施加第一远程等离子体、第一偏置等离子体、和第二远程等离子体结合第二偏置等离子体到设置有基板的处理腔室的内部处理区域而形成的。在处理序列504,方法500包括至少增加介电材料的流动性或疏水性。在一些实施方式中,方法包括介电材料,其中介电材料是氮化硅层或碳化硅层。在实施方式中,开口从底部至顶部以介电材料填充。在一些实施方式中,开口以多个介电材料层填充至预定厚度。
在一些实施方式中,本公开涉及一种处理腔室和/或整合系统,该处理腔室和/或整合系统被配置用于(a)将第一气体混合物提供到其中设置有基板的处理腔室中;(b)在远程等离子体源中形成包括第一自由基的第一远程等离子体,并将第一自由基输送至处理腔室中的内部处理区域,以在存在第一气体混合物和第一自由基的情况下在设置在基板上的材料层中的开口中形成介电材料层;(c)终止第一远程等离子体并向处理腔室施加第一RF偏置功率以形成第一偏置等离子体;(d)使介电材料层与第一偏置等离子体接触,以形成第一介电材料处理层;及(e)随后在远程等离子体源中形成包括第二自由基的第二远程等离子体,并在存在第二气体混合物的情况下将第二自由基输送到处理腔室中的内部处理区域,同时向处理腔室施加第二RF偏置功率以形成第二偏置等离子体,其中第二自由基和第二偏置等离子体接触第一介电材料处理层,以增加第一介电材料处理层的疏水性或流动性(例如,降低粘性)。
在一些实施方式中,本公开内容涉及一种非暂态计算机可读取储存介质,其具有其上储存的指令,这些指令当由处理器执行时,使得方法被实行,该方法包括:(a)将第一气体混合物提供到其中设置有基板的处理腔室中;(b)在远程等离子体源中形成包括第一自由基的第一远程等离子体,并将第一自由基输送至处理腔室中的内部处理区域,以在存在第一气体混合物和第一自由基的情况下在设置在基板上的材料层中的开口中形成介电材料层;(c)终止第一远程等离子体并向处理腔室施加第一RF偏置功率以形成第一偏置等离子体;(d)使介电材料层与第一偏置等离子体接触,以形成第一介电材料处理层;及(e)随后在远程等离子体源中形成包括第二自由基的第二远程等离子体,并在存在第二气体混合物的情况下将第二自由基输送到处理腔室中的内部处理区域,同时向处理腔室施加第二RF偏置功率以形成第二偏置等离子体,其中第二自由基和第二偏置等离子体接触第一介电材料处理层,以增加第一介电材料处理层的疏水性或流动性(例如,降低粘性)。
虽然前述内容是针对本公开内容的实施方式,但在不脱离本公开内容的基本范围的情况下,可设想本公开内容的其他和进一步的实施方式。

Claims (19)

1.一种形成介电材料的方法,包括:
用介电材料填充基板上的具有大于5的深宽比的开口,所述介电材料是通过依序施加第一远程等离子体、第一偏置等离子体、和第二远程等离子体结合第二偏置等离子体到设置有所述基板的处理腔室的内部处理区域而形成的;及
至少增加所述介电材料的流动性或疏水性。
2.如权利要求1所述的方法,其中填充所述开口进一步包括:
(a)将第一气体混合物提供到其中设置有所述基板的处理腔室中;
(b)在远程等离子体源中形成包括第一自由基的所述第一远程等离子体,并将所述第一自由基输送至所述处理腔室中的内部处理区域,以在存在所述第一气体混合物和所述第一自由基的情况下在设置在所述基板上的材料层中的开口中形成介电材料层;
(c)终止所述第一远程等离子体并向所述处理腔室施加第一RF偏置功率以形成所述第一偏置等离子体;
(d)使所述介电材料层与所述第一偏置等离子体接触以形成第一介电材料处理层;及
(e)随后在所述远程等离子体源中形成包括第二自由基的所述第二远程等离子体,并在存在第二气体混合物的情况下将所述第二自由基输送到所述处理腔室中的所述内部处理区域,同时向所述处理腔室施加第二RF偏置功率以形成所述第二偏置等离子体,其中所述第二自由基和第二偏置等离子体接触所述第一介电材料处理层,以增加所述第一介电材料处理层的所述疏水性或所述流动性。
3.如权利要求2所述的方法,进一步包括:重复(a)至(e),直到所述第一介电材料处理层具有预定厚度。
4.如权利要求2至3中任一项所述的方法,其中使所述介电材料层与所述第一偏置等离子体接触以形成第一介电材料处理层降低了所述第一介电材料处理层的疏水性,其中当与水接触时,所述第一介电材料处理层具有低于90度的接触角。
5.如权利要求2至3中任一项所述的方法,其中使所述第二自由基和第二偏置等离子体与所述第一介电材料处理层接触增加所述第一介电材料处理层的疏水性,其中当与水接触时,所述第一介电材料处理层的第一表面的所述疏水性具有90至110度的程度的接触角。
6.如权利要求2至3中任一项所述的方法,其中所述第一气体混合物和所述第二气体混合物包括处于摄氏负20度至摄氏90度的温度下的含硅气体、氩、氦、和氨。
7.如权利要求2至3中任一项所述的方法,其中(e)包括使所述第一介电材料处理层与所述第二自由基和第二RF偏置等离子体接触约5秒。
8.如权利要求2至3中任一项所述的方法,其中所述第二气体混合物包括处于摄氏负20度至摄氏90度的温度下的氩、氦、氨、和三硅烷胺(TSA)。
9.如权利要求2至3中任一项所述的方法,其中在向所述处理腔室施加第二RF偏置功率之前形成所述第二远程等离子体。
10.如权利要求2至3中任一项所述的方法,其中形成所述第一远程等离子体进一步包括:形成所述第一远程等离子体达到预定的时间段;及在施加第一RF偏置功率之前,终止所述第一远程等离子体。
11.如权利要求2至3中任一项所述的方法,其中所述第一气体混合物和第二气体混合物包括穿过所述处理腔室的一侧供应到所述内部处理区域的前驱物气体。
12.如权利要求2至3中任一项所述的方法,其中所述第一气体混合物和第二气体混合物包括通过所述远程等离子体源供应到所述内部处理区域的第二气体。
13.如权利要求2至3中任一项所述的方法,其中所述第一气体混合物和第二气体混合物包括从由含硅气体、含氮气体、惰性气体、含碳气体、和含氧气体所组成的组中选出的一或多种气体。
14.如权利要求2至3中任一项所述的方法,其中所述第一气体混合物和第二气体混合物包括至少氩气(Ar)、氦气(He)、氨(NH3)、氢气(H2)、和氮气(N2)。
15.如权利要求2至3中任一项所述的方法,其中所述第一介电材料处理层是氮化硅层或碳化硅层。
16.如权利要求2至3中任一项所述的方法,进一步包括:
将基板温度保持在约摄氏-20度至约摄氏90度。
17.如权利要求1至3中任一项所述的方法,其中所述开口从底部至顶部填充。
18.如权利要求1至3中任一项所述的方法,其中所述开口以多个介电材料层填充至预定厚度。
19.一种非暂态计算机可读取储存介质,具有在其上储存的指令,当由处理器执行所述指令时,使得一种方法被实行,所述方法如权利要求1-3中任一项所述。
CN202080065353.3A 2019-09-20 2020-09-21 沉积介电材料的方法与设备 Pending CN114402417A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/578,050 2019-09-20
US16/578,050 US11972943B2 (en) 2019-09-20 2019-09-20 Methods and apparatus for depositing dielectric material
PCT/US2020/051719 WO2021055918A1 (en) 2019-09-20 2020-09-21 Methods and apparatus for depositing dielectric material

Publications (1)

Publication Number Publication Date
CN114402417A true CN114402417A (zh) 2022-04-26

Family

ID=74881186

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202080065353.3A Pending CN114402417A (zh) 2019-09-20 2020-09-21 沉积介电材料的方法与设备

Country Status (7)

Country Link
US (1) US11972943B2 (zh)
EP (1) EP4032117A4 (zh)
JP (1) JP7374308B2 (zh)
KR (1) KR20220059967A (zh)
CN (1) CN114402417A (zh)
TW (1) TW202120733A (zh)
WO (1) WO2021055918A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117153672A (zh) * 2023-11-01 2023-12-01 粤芯半导体技术股份有限公司 一种介电层及其制作方法

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210202275A1 (en) * 2019-12-27 2021-07-01 Intel Corporation Tools and methods for subtractive metal patterning
WO2024102586A1 (en) * 2022-11-07 2024-05-16 Lam Research Corporation Chemical vapor deposition of silicon nitride using a remote plasma

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2783276B2 (ja) 1995-07-04 1998-08-06 日本電気株式会社 半導体装置の製造方法
US6106678A (en) 1996-03-29 2000-08-22 Lam Research Corporation Method of high density plasma CVD gap-filling
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US7223701B2 (en) 2002-09-06 2007-05-29 Intel Corporation In-situ sequential high density plasma deposition and etch processing for gap fill
US7638167B2 (en) * 2004-06-04 2009-12-29 Applied Microstructures, Inc. Controlled deposition of silicon-containing coatings adhered by an oxide layer
US8475666B2 (en) * 2004-09-15 2013-07-02 Honeywell International Inc. Method for making toughening agent materials
JP4280686B2 (ja) 2004-06-30 2009-06-17 キヤノン株式会社 処理方法
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US8563095B2 (en) * 2010-03-15 2013-10-22 Applied Materials, Inc. Silicon nitride passivation layer for covering high aspect ratio features
JP6029975B2 (ja) 2012-12-28 2016-11-24 株式会社荏原製作所 基板洗浄装置及び基板洗浄方法
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
KR101821304B1 (ko) 2013-05-03 2018-01-23 어플라이드 머티어리얼스, 인코포레이티드 멀티-패터닝 애플리케이션들을 위한 광학적으로 튜닝된 하드마스크
US20150200042A1 (en) 2014-01-10 2015-07-16 Applied Materials, Inc. Recessing ultra-low k dielectric using remote plasma source
US9502218B2 (en) 2014-01-31 2016-11-22 Applied Materials, Inc. RPS assisted RF plasma source for semiconductor processing
US9777378B2 (en) * 2015-01-07 2017-10-03 Applied Materials, Inc. Advanced process flow for high quality FCVD films
TWI701357B (zh) 2015-03-17 2020-08-11 美商應用材料股份有限公司 用於膜沉積的脈衝化電漿
US9799491B2 (en) 2015-10-29 2017-10-24 Applied Materials, Inc. Low electron temperature etch chamber with independent control over plasma density, radical composition and ion energy for atomic precision etching
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
DE102016117837A1 (de) 2016-09-21 2018-03-22 Knorr-Bremse Systeme für Nutzfahrzeuge GmbH Luftaufbereitungseinheit für eine Bremsanlage eines Nutzfahrzeugs, Bremsanlage und Verfahren zum Betreiben einer Luftaufbereitungseinheit
US10570506B2 (en) 2017-01-24 2020-02-25 Applied Materials, Inc. Method to improve film quality for PVD carbon with reactive gas and bias power
CN117524976A (zh) 2017-05-13 2024-02-06 应用材料公司 用于高质量间隙填充方案的循环可流动沉积和高密度等离子体处理处理
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117153672A (zh) * 2023-11-01 2023-12-01 粤芯半导体技术股份有限公司 一种介电层及其制作方法
CN117153672B (zh) * 2023-11-01 2024-01-26 粤芯半导体技术股份有限公司 一种介电层及其制作方法

Also Published As

Publication number Publication date
US20210090883A1 (en) 2021-03-25
US11972943B2 (en) 2024-04-30
WO2021055918A1 (en) 2021-03-25
JP2022549243A (ja) 2022-11-24
EP4032117A4 (en) 2023-11-01
KR20220059967A (ko) 2022-05-10
EP4032117A1 (en) 2022-07-27
TW202120733A (zh) 2021-06-01
JP7374308B2 (ja) 2023-11-06

Similar Documents

Publication Publication Date Title
KR102627584B1 (ko) 기판 표면 내에 형성된 오목부를 충진하기 위한 주기적 증착 방법 및 장치
JP7176106B2 (ja) 誘電体材料の堆積方法
JP7374308B2 (ja) 誘電体材料を堆積する方法及び装置
JP6469705B2 (ja) エッチング後のインターフェースを安定化し、次の処理ステップ前のキュータイム問題を最小化する方法
JP2016532313A (ja) 周期的エッチング工程を用いたエッチング停止層のエッチング方法
US20190172710A1 (en) Method of filling recess and processing apparatus
JP7331236B2 (ja) 誘電体材料を硬化させる方法及び装置
TWI837174B (zh) 沉積介電材料之方法
TWI839600B (zh) 低溫無蒸汽氧化物間隙填充
TW202140837A (zh) 低溫無蒸汽氧化物間隙填充
CN116348999A (zh) Hdp牺牲碳间隙填充

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination