JP2014527315A - 半導体集積のための反応しないドライ除去プロセス - Google Patents

半導体集積のための反応しないドライ除去プロセス Download PDF

Info

Publication number
JP2014527315A
JP2014527315A JP2014532110A JP2014532110A JP2014527315A JP 2014527315 A JP2014527315 A JP 2014527315A JP 2014532110 A JP2014532110 A JP 2014532110A JP 2014532110 A JP2014532110 A JP 2014532110A JP 2014527315 A JP2014527315 A JP 2014527315A
Authority
JP
Japan
Prior art keywords
dielectric layer
dielectric
etch rate
deposited
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2014532110A
Other languages
English (en)
Inventor
ケダル サプレ,
ロゼラ ミニンニ,
チン タン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2014527315A publication Critical patent/JP2014527315A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Weting (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

半導体基板の表面に誘電体層を堆積し、その表面から誘電体層をエッチングする方法が開示される。その方法は、HF水溶液内で第1のウエットエッチング速度を有する第1の誘電体層を堆積することを含むことができる。その方法は、第2の誘電体層を堆積することも含むことができ、第2の誘電体層は堆積後に初めは流動性を有し、第2の誘電体層は、HF水溶液内で第1のウエットエッチング速度より速い第2のウエットエッチング速度を有することができる。その方法はエッチャントガス混合物で第1の誘電体層及び第2の誘電体層をエッチングすることを更に含むことができ、その場合、第1の誘電体層及び第2の誘電体層は、HF水溶液内の前記第1のウエットエッチング速度に対する前記第2のウエットエッチング速度の比より1に近いエッチング速度比を有する。

Description

関連出願の相互参照
本出願は、2012年9月21日に出願の「INSENSITIVE DRY REMOVAL PROCESS FOR SEMICONDUCTOR INTEGRATION」と題する米国特許出願第13/624,693号のPCT出願であり、2011年9月26日に出願の「INSENSITIVE DRY REMOVAL PROCESS FOR SEMICONDUCTOR INTEGRATION」と題する米国仮特許出願第61/539,270号に関連し、その特許出願の恩典を主張し、いずれの特許出願も全ての目的のためにその全体を参照して本明細書に援用される。
半導体処理は多くの場合に数多くの個別の製造ステップを含む。現状の技術によれば、回路構成要素がナノメートルスケールにおいて決まって手順で形成され、反応性の高い生産技法が必要とされる。例えば、置換金属ゲート(「RMG(replacement metal gate)」又は「ゲートラスト(gate last)」)処理では、基板上に非犠牲材料が存在する中で、半導体基板上のトレンチ内又は別の機構内に位置する犠牲材料を除去する必要がある場合がある。第1の材料を除去するために用いられるエッチング技法は、第1の材料及び第2の材料の両方をエッチャントに暴露する場合があり、そのエッチャントは犠牲材料及び非犠牲材料の両方を除去する場合がある。犠牲材料が除去される前に、過度の量、又は全ての量の非犠牲材料が除去される場合には、その基板は損なわれる恐れがある。
ゲートラスト処理は、非犠牲膜が堆積された後の犠牲材料除去を含む場合があるので、細心の注意を要する制御されたエッチング技法が必要とされる。種々のエッチング技法が利用可能であるが、半導体基板上に存在する場合がある膜のタイプ及び品質の両方を考慮に入れる除去を提供する技法はほとんどない。例えば、フッ化水素溶液を利用するウエット除去は、数多くのタイプの膜又は酸化物を除去するために利用可能である。しかしながら、これらの溶液は堆積された材料の密度又は品質に影響されやすく、過度の量の非犠牲材料を除去する場合がある。場合によっては、ウエットエッチングは、品質の高い膜よりも、品質の低い膜を最大で40倍速く除去することになる。品質が低い方の膜が非犠牲材料である場合には、ウエットエッチング除去は、非犠牲層の全てではないものの、過度の量を除去するまで、犠牲層を除去できない場合がある。
したがって、非犠牲膜が存在する中で犠牲膜を除去するための技法及び生成物が引き続き必要とされている。これらの要求及び他の要求は本技術によって対処される。
本技術は、除去される材料の品質に対して実質的に反応しない、半導体基板の表面から誘電体材料を除去する方法を提供する。実質的に同様の速度において酸化物をエッチングするドライエッチャントガスの組み合わせを用いることによって、低い膜品質の非犠牲膜が存在する中で、高い膜品質の犠牲膜を除去することができる。
半導体基板の表面に誘電体層を堆積し、その表面から誘電体層をエッチングする方法が開示される。その方法は、HF水溶液内で第1のウエットエッチング速度を有する第1の誘電体層を堆積することを含むことができる。また、その方法は、堆積後に初めは流動性を有する場合がある第2の誘電体層を堆積することも含むことができ、第2の誘電体層は、HF水溶液内で、第1のウエットエッチング速度より速い第2のウエットエッチング速度を有することができる。それらの方法は、エッチャントガス混合物で第1の誘電体層及び第2の誘電体層をエッチングすることを更に含み、第1の誘電体層及び第2の誘電体層は、HF水溶液内での第1のウエットエッチング速度に対する第2のウエットエッチング速度との比よりも1に近いエッチング速度比を有する。
また、その技術の実施形態は、半導体基板の表面上の誘電体材料を除去する方法も含む。それらの方法は、ゲートラスト処理のために利用することができ、基板上に第1の誘電体材料を堆積して、HF水溶液内で第1のウエットエッチング速度を有する第1の品質の誘電体層を作製することを含むことができる。第2の誘電体材料も堆積することができ、第2の誘電体材料は堆積後に初めは流動性を有することができる。第2の誘電体材料を硬化させて、HF水溶液内で第1のウエットエッチング速度よりも速い第2のウエットエッチング速度を有する第2の品質の第2の誘電体層を作製することができる。それらの方法は、ドライエッチャントガス混合物で第1の誘電体層及び第2の誘電体層をエッチングすることも含むことができ、第1の誘電体層及び第2の誘電体層は、HF水溶液内での第1のウエットエッチング速度に対する第2のウエットエッチング速度との比よりも1に近い、ドライエッチャントガス混合物によるエッチング速度比を有する。
更なる実施形態及び特徴が、以下の説明においてある程度記載されており、本明細書を精査すると当業者には明らかになり、及び/又は開示される方法を実施することによって知ることができる。開示されるプロセス及び方法の特徴及び利点は、本明細書において記述される手段、組み合わせ及び/又は方法によって理解し、達成することができる。
ダミーゲート除去プロセスが実行された基板の断面図である。 開示される方法によるエッチングプロセスの流れ図である。 開示される方法によるエッチングプロセスの流れ図である。 本方法によるエッチングプロセスが実行された基板の断面図である。 ウエットリセスエッチングプロセスのTEM画像を示す図である。 開示される方法によるエッチングプロセスが形成された基板のTEM画像を示す図である。
低品質の非犠牲膜が存在する中で高品質の犠牲膜をエッチングするための方法が記述される。エッチングされる誘電体層の品質に対して実質的に反応しないドライエッチャントを用いることによって、第2の品質の非犠牲膜が存在する中で第1の品質の犠牲膜を除去することができる。
半導体基板の表面に誘電体層を堆積し、その表面からエッチングする方法が開示される。その方法は、HF水溶液内で第1のウエットエッチング速度を有する第1の誘電体層を堆積することを含むことができる。また、その方法は、堆積後に初めは流動性を有する場合がある第2の誘電体層を堆積することも含むことができ、第2の誘電体層はHF水溶液内で第1のウエットエッチング速度より速い第2のウエットエッチング速度を有することができる。その方法は、エッチャントガス混合物で第1の誘電体層及び第2の誘電体層をエッチングすることを更に含むことができ、第1の誘電体層及び第2の誘電体層は、HF水溶液内での第1のウエットエッチング速度に対する第2のウエットエッチング速度の比よりも1に近いエッチング速度比を有する。
図1を参照すると、ダミーゲート除去プロセスが実行された半導体基板の断面図が示される。基板100の場合、トレンチ110を含むシリコン基板105が示される。第1の誘電体層115を堆積して、シリコン基板105を絶縁する。誘電体は、基板上に連続層として堆積することができる。ダミーゲート120が第1の誘電体層115上に堆積され、その後、ダミーゲートと、その上にダミーゲートが存在する誘電体との両方をエッチングして、島状部又は孤立したゲートを形成することができる。誘電体は酸化物、窒化物又は酸窒化物とすることができ、種々の手段によって堆積することができる。誘電体堆積物は熱酸化物、すなわち、HDP−CVDとすることができるか、又は代替的にはSACVD堆積誘電体とすることができる。代替的には、誘電体は、絶縁のために相対的に高い品質の酸化物を設ける異なる技法によって堆積することもできる。ダミーゲートは、後に除去することができる任意の材料とすることができる。例えば、ダミーゲートはポリシリコン、又は他の金属、又は置換ゲートに備えて後に除去される酸化物とすることができる。
エッチングが実行され、ダミーゲート構造を残した後に、基板140は後続の製造プロセスを示す。注入ドーピングを実行して、ダミーゲート下の基板105内にソース/ドレイン145を作り出すことができる。側壁スペーサ150を堆積して、基板と、既に形成されているゲートとを更に分離することができる。スペーサは一例では窒化物とすることができ、共形成長技法を用いて形成することができるか、又はブランケット堆積し、その後、決められた厚みまでエッチングすることができる。側壁スペーサ150上に第2の誘電体層155を形成することができ、第2の誘電体層は、集積受動デバイススケーリングのための相間誘電体としての役割を果たすことができる。第2の誘電体は、より良好な間隙充填特性を与えるために、流動性CVD又はスピン−オン−ガラスのような流動性の高い手段によって堆積することができる。代替的には、第2の誘電体層155は、HDP−CVD、SACVDを用いて、又は他の堆積技法を用いて堆積することができる。
第2の誘電体材料155は、ダミーゲートを露出する高さまで材料を薄くするために、エッチングすることができるか、又は化学機械平坦化にかけることができる。ダミーゲートが露出すると、例えば、基板180において示されるようにポリシリコンダミーゲートのみを除去するポリシリコン選択性エッチングを用いて、ダミーゲートを除去することができる。ダミーゲートが除去されると、第1の誘電体材料115を除去するために、エッチング技法を実行することができる。第1の誘電体材料115は、第2の誘電体材料155を少なくとも部分的に保持するようにして除去することができる。例示的な基板では、第1の誘電体材料115は、より高品質の熱又はHDP品質酸化物であり、一方、第2の誘電体155は、低品質の流動性誘電体である。それゆえ、低品質の酸化物を保持しながら、高品質の酸化物を除去するエッチング技法を利用することができる。
図2を参照すると、開示される方法によるエッチングプロセス200を示しており、半導体基板上に第1の誘電体層210を形成することができる。例えば、第1の誘電体層は、ゲートラスト製造プロセスにおいて形成することができ、その上に他の材料を形成することができる保護層を作り出すために用いることができる。第1の誘電体層は、低流動性、又は非流動性堆積技法によって形成することができ、その技法は、熱酸化物形成、すなわち、HDP−CVDとすることができるか、代替的には、HARPのようなSACVD、又はプラズマTEOS及び酸素若しくはTEOS及びオゾンのようなPECVDとすることができる。第1の誘電体層は、酸化ケイ素、窒化ケイ素又は酸窒化ケイ素を含むことができる。第1の誘電体層は基板上のトレンチ内に、又は基板の表面上に形成することができる。第1の誘電体層が基板表面上のある機構内に位置するように、基板の更なる処理が、第1の誘電体層の上方及び周囲に他の材料を形成することができる。図1に示されるように、誘電体層は第1の誘電体層115とすることができる。
HDP堆積が第1の品質を有する第1の誘電体層を作製する。品質は、種々の態様からの複合的要素を記述するために用いられる用語である。酸化物の品質は、密度、純度、絶縁破壊までの時間等を指す場合がある。例えば、より高品質の酸化物は通常、低品質の酸化物よりも高密度であり、高い絶縁破壊電圧を有する。HDP堆積は、流動性堆積技法よりも高品質の誘電体層又は酸化物を作製することができる。HDP膜は、多くの場合に、基板表面付近にプラズマを作り出す無線周波数エネルギーを用いて、低圧、更には真空において反応性ガスを励起することによって作製される。プラズマエネルギーによって、複数の元素の反応性が高くなり、高密度及び高品質の膜を作製する。他の実施形態では、基板上で熱プロセスを実行して第1の誘電体層を作製することができ、そのプロセスでは、基板を高温まで加熱することによって反応性ガスの化学反応を引き起こし、反応及び膜の形成を誘発する。
第1の誘電体層が形成された後に、中間ステップを実行することができる。例えば、置換ゲートプロセスでは、ダミーゲートの機能を果たすポリシリコン又は他の材料の層を第1の誘電体材料上に形成することができる。ダミーゲート及び第1の誘電体のエッチングを実行することができ、その後、窒化物のような材料から作られるスペーサを形成することができ、スペーサはダミーゲート間に配置される。例えば、図1を参照して説明された処理を実行することができる。
半導体基板上に第2の誘電体層を堆積することができる(215)。第2の誘電体層は、例えば、スピン−オン−ガラス又は流動性CVDとすることができる流動性堆積技法によって作製することができる。流動性CVDを用いて、以前に形成された層の全てを誘電体材料で覆うことができる。例えば、集積受動デバイスを作製するためのスケーリング時に、相間誘電体を形成して、堆積された各構成要素を、後続の構成要素層から分離することができる。堆積された誘電体層は、実質的に共形とすることができる。共形性は、水平及び垂直両方の表面上で均一な厚みを有するか、又はほぼ1に等しい段差被覆性を有する層を作製する堆積を指している。
種々の方法を用いて、堆積後に初めは流動性を有する誘電体層を堆積することができる。例えば、基板を収容する基板処理領域にシリコン前駆体が導入される流動性CVDプロセスを用いることができる。遠隔プラズマ領域を通り抜けた後に別の前駆体を導入して、窒素前駆体のようなラジカル前駆体を作り出し、その後、その前駆体は基板処理領域に流れ込み、シリコン前駆体と結合される。この技法によれば、基板処理領域内にプラズマ電力を加えることによって、シリコン含有前駆体は直接励起されない。代わりに、基板処理領域の外部のラジカル前駆体を励起するためだけにプラズマ電力が加えられる。この構成の結果として、基板上に流動性のシリコン−及び−窒素含有層が堆積される。膜の流動性は、堆積が進むにつれて弱くなり、その流動性は硬化動作中に基本的に除去することができる。
誘電体層形成中の流動性を確保するために、シリコン含有前駆体は炭素及び/又は窒素を含むことができる。シリコン含有前駆体は、無炭素シリコン含有前駆体とすることができ、それにより、硬化プロセス中に誘電体層を収縮しにくくすることができる。無炭素シリコン前駆体は、数ある種類のシリコン前駆体の中でも、例えば、シリコン−及び−窒素前駆体、シリコン−及び−水素前駆体、又はシリコン−窒素−及び−水素含有前駆体とすることができる。これらの前駆体の具体例は、数あるシリルアミンの中でも、HN(SiH)、HN(SiH、及びN(SiHのようなシリルアミンを含むことができる。これらのシリルアミンは、キャリアガス、反応性ガス、又はその両方として作用することができる付加的なガスと混合することができる。これらの付加的なガスの例は、数あるガスの中でも、H、N、NH、He及びArを含む。無炭素シリコン前駆体の例は、単体のシラン(SiH)、又は他のシリコン含有ガス(例えば、N(SiH)、水素含有ガス(例えば、H)及び/若しくは窒素含有ガス(例えば、N、NH)と混合されたシラン(SiH)も含むことができる。また、シリコン含有前駆体は、シラン、ジシラン等の無炭素又は無窒素のシリコン化合物を含むこともできる。堆積された酸化物膜がドープ酸化物膜である場合には、数あるホウ素ドーパント及びリンドーパントの中でも、TEB、TMB、B、TEPO、PH、P及びTMPのようなドーパント前駆体を用いることもできる。
窒素は、ラジカル前駆体及びシリコン含有前駆体の一方又は両方に含まれる場合がある。ラジカル前駆体内に窒素が存在するとき、その前駆体は、ラジカル−窒素前駆体と呼ばれる場合がある。ラジカル−窒素前駆体は、プラズマ内でより安定した窒素含有前駆体を励起することによって作り出されるプラズマ排出物を含む。例えば、NH及び/又はヒドラジン(N)を含む相対的に安定した窒素含有前駆体をチャンバプラズマ領域、又は処理チャンバ外部の遠隔プラズマシステム(RPS)において活性化して、ラジカル−窒素前駆体を形成することができ、その後、無プラズマ基板処理領域内に移送される。安定した窒素前駆体は、種々の実施形態において、NH及びN、NH及びH、NH及びN及びH、並びにN及びHを含む混合物とすることもできる。N及びHを含む混合物において、NHの代わりに、又はそれと組み合わせて、ヒドラジンを用いることもできる。代替的には、安定した窒素前駆体の流量は、約200sccm以上、約300sccm以上、約500sccm以上、又は約700sccm以上とすることができる。窒素含有前駆体は、NO、NO、NO及びNHOHを含むこともできる。
作製されたラジカル−窒素前駆体は、N、NH、NH等のうちの1つ又は複数を含むことができ、プラズマ内に形成されたイオン化された化学種を伴う場合もできる。代替的には、ラジカル−窒素前駆体は、基板処理領域から仕切られた処理チャンバの部分において生成される場合があり、その部分では、前駆体が混合し、反応して、堆積基板(例えば、半導体ウエハ)上にシリコン−及び−窒素層を堆積する。その区画は、基板処理領域に反応物を供給するシャワーヘッドに組み込まれる場合がある。ラジカル−窒素前駆体は、アルゴン、ヘリウム等のキャリアガスを伴う場合もある。遠隔プラズマ領域内に酸素を同時に送達して(O及び/又はOの形)、ラジカル−窒素前駆体、及びこの技法を用いて堆積された誘電体層内の酸素含有量を調整することができる。代替的には、送達された酸素は、遠隔プラズマ領域を迂回して、基板処理領域に直接流れ込むことができる。
流動性は、堆積された膜内の著しい水素成分に少なくとも部分的に起因する場合がある。例えば、堆積された膜は、シラザンタイプのSi−NH−Siバックボーン(すなわち、Si−N−H膜)を有することができる。流動性はシラザンタイプの短鎖ポリマーから生じる場合もある。短鎖ポリマーの形成及び流動性を可能にする窒素は、ラジカル前駆体又はシリコン含有前駆体のいずれかを起源とすることができる。シリコン前駆体及びラジカル窒素前駆体がいずれも無炭素であるとき、堆積されたシリコン−及び−窒素含有膜も実質的に無炭素である。当然、「無炭素」は、極微量の炭素さえ存在しないことを必ずしも意味しない。前駆体材料内に、堆積されたシリコン−及び−窒素含有膜の中に入り込む炭素汚染物が存在する場合もある。しかしながら、これらの炭素不純物の量は、炭素部分を有するシリコン前駆体(例えば、TEOS、TMDSO等)であれば見られることになる量よりもはるかに少ない。
第1の誘電体層及び第2の誘電体層は、いずれも流動性として堆積される場合があるか、又はいずれも流動性ない場合もある。代替的には、誘電体は異なる機構(例えば、第1が流動性でなく、第2が流動性である)によって堆積される場合があるが、用いられる反応物によっては、類似の誘電体品質を有することができる。他の実施形態では、第1の誘電体層及び第2の誘電体層は同じ機構によって堆積されるが、2つの誘電体に対して異なる反応物化学種を用いることに起因して、異なる品質を有する。
流動性膜成長は、基板温度がシリコン含有膜の堆積中に相対的に低い温度に保持されている間に開始することができる。流動性酸化物膜は、堆積中に基板を冷却することによって保持される低い温度において基板表面上に堆積することができる。ペデスタルは、加熱用及び/又は冷却用コンジットを含むことができ、コンジットは、種々のプロセスにおいて、ペデスタル及び基板の温度を、約−40℃〜約1000℃、約100℃〜約600℃、約500℃未満、又は約400℃以下に設定する。
第2の誘電体層形成後に、種々のプロセスに対する中間ステップを実行することができる。例えば、化学機械平坦化(「CMP」)を実行して、第2の誘電体層の余分な量を除去することができる。CMPを実行して、例えば、以前に堆積されているポリシリコンダミーゲートを含む別の層を露出させることができる。さらに、ポリシリコン選択性ウエットエッチングプロセスを実行して、以前に堆積されているダミーゲートを除去することができる。ポリシリコン選択性エッチングは、他の材料が存在する中でポリシリコンを優先的に除去するエッチングプロセスを指している。そのプロセスは、他の材料の代わりに、ポリシリコンで生じる化学反応に起因することができるか、又はポリシリコンのエッチングから他の材料を保護するために堆積された犠牲層又はフォトレジスト層を更に形成することに起因することができる。ポリシリコン又は他の材料を除去して、第1の誘電体層を露出させ、それにより、第1の誘電体層及び第2の誘電体層の両方を露出できるようにする。
基板上に流動性誘電体が堆積され、任意の中間ステップが実行された後に、後続の集積受動デバイス生産ステップに備えて余分な誘電体を除去するために、エッチングプロセスを実行することができる。ドライエッチャントガスを用いて、誘電体層をエッチングすることができる(220)。そのエッチャントは、第1の誘電体層及び第2の誘電体層の両方の一部を除去する場合がある。エッチャントは、三フッ化窒素及びアンモニアのようなフッ素含有化合物を含むことができる。エッチャントガスは誘電体層と反応して、固体副生成物を作製し、その副生成物は、基板の温度が昇華温度よりも高く上昇するときに昇華し、それにより余分な誘電体が除去される。プロセスチャンバに流れ込む前に、ドライエッチャントガスは、遠隔プラズマ領域の中を流動することができ、その中でエッチャントガスは、反応領域に入る前にラジカル化される。第2の誘電体層を除去することに対する第1の誘電体ライナ層を除去することのエッチング速度比は約1:2とすることができるか、他の実施形態では、約1:1.5、1:1.3、1:1.2.1:1.1、1:1.05、1:1.01又は1:1とすることができる。エッチング速度比が1:1に等しいとき、第1の誘電体層及び第2の誘電体層は同じ速度で除去される。
第1の誘電体層は基板上の薄い保護層とすることができ、犠牲層とすることができる。例えば、金属ゲート堆積及び積層を含む場合がある後続のプロセスのために基板を準備するために、第1の誘電体層は、エッチングプロセスによって、完全に除去される場合があるか、又は実質的に除去される場合がある。しかしながら、第1の誘電体層のエッチングは、第2の誘電体層をエッチャントガスに暴露することもできる。例えば、第2の誘電体層が相間誘電体である場合には、第2の層は非犠牲層とすることができ、層間のドーパント又は電荷拡散から保護するために、第1の誘電体層の除去中に第2の層の保持が求められる場合がある。そのエッチングは、第1の誘電体層を除去するだけの十分な誘電体材料を除去できるようにする時間にわたって実行される場合があり、それに要する時間は、第1の誘電体層の厚みによる場合がある。
第2の誘電体層は、第1の誘電体層とほぼ同じ厚みからなるか、又は第1の誘電体層よりも厚くすることができる。さらに、第2の誘電体層は、第1の誘電体層とほぼ同じ品質、又は第1の誘電体層よりも高い、若しくは低い品質からなることができる。例えば、第2の誘電体層が第1の誘電体層よりも高い品質からなる場合には、そのエッチングは非犠牲層である第2の誘電体層よりも速い速度で犠牲層である第1の誘電体層を除去する場合があるので、影響を受けやすい、又は選択性の高いエッチングプロセスを用いることができる。代替的には、第2の誘電体層が第1の誘電体層よりも低い品質からなる場合には、酸化物品質に対して選択性の低い、又は反応しないエッチングプロセスを用いることができる。酸化物品質に実質的に反応しないエッチングプロセスは、実質的に同じ速度で異なる品質の酸化物を除去できる場合がある。
第1の誘電体層を完全に除去するために、ドライエッチャントガスによるエッチングを実行して、材料を約100オングストローム未満だけ除去することができる。第1の誘電体層の厚みに応じて、そのエッチングプロセスは、誘電体材料の約75オングストローム以下、約50オングストローム以下、約40オングストローム以下、約30オングストローム以下、約25オングストローム以下、約20オングストローム以下、約15オングストローム以下、約10オングストローム以下、約5オングストローム以下だけ除去することができる。ドライエッチャントガスは、第2の誘電体層を完全に除去することなく、第1の誘電体層の実質的に全て、又は全てを除去することができる。この除去は、第2の誘電体層が第1の誘電体層よりも低い品質からなるにもかかわらず行うことできる。第1の誘電体層を除去した後に、メタライゼーション及び回路スケーリングを含む、後続の製造ステップを実行することができる。
ここで図3を参照すると、半導体基板の表面上の誘電体材料を除去する方法300が示される。その方法は、ゲートラスト処理のために利用することができ、基板上に第1の誘電体材料を堆積し(310)、HF水溶液内で第1のウエットエッチング速度を有する第1の品質の誘電体層を作製することを含むことができる。HF内のウエットエッチング速度は、堆積される材料の品質に比例する場合がある。例えば、誘電体層が高い品質からなる場合には、HF水溶液内のエッチング速度は、誘電体層が低い品質からなる場合よりも遅くなる。第1の誘電体層は任意のタイプ又は品質の材料とすることができ、一例では、第1の誘電体層はHDP CVDによって堆積された酸化物材料である。代替的には、第1の誘電体層は窒化物、又は基板を覆うことができる他の材料とすることができる。第1の誘電体層は下層の基板を保護するために用いられる薄い層とすることができ、その厚みは約50オングストローム未満とすることができる。除去中に第2の誘電体層を少なくとも部分的に保持しながら、第1の誘電体層を除去することができる。第2の誘電体材料は第1の誘電体層の除去中に部分的に除去される場合があるが、第2の材料の除去量は特定の除去プロセスによって制限される場合がある。
第1の誘電体材料が堆積された後に、更なる堆積又は層成長、材料除去、材料ドーピングなどを含む、更なる生産プロセスを行うことができる。ゲートラスト処理を実行することができ、ポリシリコン又は他の材料の層が第1の誘電体層上に堆積される(315)。後続のエッチング320が、ポリシリコンの島状部又はダミーゲートを作り出すことができる。トレンチを形成し、誘電体材料で充填することができ、第1の誘電体材料の堆積を含む、確認されたプロセスのいずれかの前後に、注入ドーピングを実行することができる(325)。相間誘電体の堆積前に、ダミーゲート間に窒化物又は他の材料からなる側壁スペーサを堆積することができる(330)。スペーサは、例えば、窒化物とすることができ、層間誘電体の堆積前に、決められた厚みまでエッチングすることができる。
第2の誘電体材料も堆積することができ(335)、第2の誘電体材料は、堆積後に初めは流動性を有することができる。第2の誘電体材料は、堆積されている場合がある任意のダミーゲート又はスペーサの間及び上方に充填することができる。堆積後に初めは流動性を有するように第2の誘電体材料を堆積することによって、流動性が空所、継ぎ目又は他の欠陥の発生を防ぐのを助けることができる。流動性堆積は、スピン−オン−ガラス、流動性CVD又は堆積後に初めは流動性を有する誘電体材料を作製する他の方法によって実行することができる。代替的には、第2の誘電体材料は、より高い品質の誘電体を作製することができる非流動性技法によって堆積することができる。第2の誘電体材料は、酸化物、窒化物、又はHF水溶液内で第2のウエットエッチング速度を有する第2の品質の他の材料とすることができ、この第2のウエットエッチング速度は第1のウエットエッチング速度より速い場合がある。第1の誘電体材料及び第2の誘電体材料の膜品質によるが、第2の誘電体材料は、第1のウエットエッチング速度の約2倍以上、約4倍以上、約6倍以上、約8倍以上、約10倍以上、約12倍以上、約20倍以上、約30倍以上、約40倍以上である第2のウエットエッチング速度を有することができる。
膜品質が改善された誘電体層を作り出すために、堆積後に第2の誘電体材料を硬化させることができる(340)。硬化は、蒸気のような酸化環境において、又は窒素のような不活性環境において、又は種々の実施形態において他の環境において実行することができる。膜の流動性は、堆積が進むにつれて弱くなり、硬化動作中に流動性は基本的に失われる。硬化動作は、シリコン−及び−窒素含有層を酸化ケイ素に変換することを伴う場合がある。硬化は、パターニングされた基板温度を高めること、及び誘電体材料を酸素含有環境に暴露することを伴う場合がある。硬化はアニールとすることができ、約1000℃未満の温度において実行することができる。硬化は、代わりに、約800℃未満、約600℃未満、約500℃未満、約400℃未満、約300℃未満、約200℃未満、約100℃未満、又はそれより低い温度で行うことができる。
アニールは、硬度、品質(例えば、改善された密度)、均一性、移動度、絶縁破壊電荷量(charge-to-breakdown)などを含む誘電体膜特性を改善することができる。酸化後アニールは膜の密度を高め、堆積中に組み込まれている場合がある欠陥のうちの幾つかを除去することができる。流動性堆積技法を利用することによって、温度がしきい値よりも高くなると、誘電体のリフローが生じる場合があるという点で、生産プロセスの熱バジェットを低減することができる。同様に、ゲートラストのような特定のタイプの製造を実行するとき、高い温度によって、ドーパント拡散が生じる場合もある。それゆえ、硬化はしきい値温度未満で実行することができ、場合によるが、約600℃未満、約500℃未満、約400℃未満、約300℃未満、約200℃未満、約100℃未満、又はそれより低い温度で行うことができる。さらに、ダミーゲートを露出させる高さまで層間誘電体を下げるために、エッチングプロセス、又は化学機械平坦化のような研磨プロセスを実行することができる。
第2の誘電体層が形成された後に、他の生産プロセスを実行することができる。例示的なプロセスは、以前に堆積されたダミーゲートを除去するために、堆積された余分な誘電体材料を除去するCMP、及び/又は選択性エッチングプロセス345を含むことができる。そのエッチングは、例えば、ポリシリコン選択性ウエットエッチングとすることができ、第1の誘電体材料の高さまでダミーゲートを除去することができる。さらに、材料ドーピング、微細加工において用いられる他のプロセスとともに、他のエッチング及び堆積ステップを実行することができる。
第2の誘電体層を硬化させた後に、エッチングプロセス350を実行することができる。そのエッチングは、基板を、第1の誘電体層の少なくとも一部を除去するドライエッチャントガスに暴露することを含むことができる。ドライエッチャントは、三フッ化窒素及びアンモニアのようなフッ素含有化合物を含むガスの混合物とすることができる。ドライエッチャントは、さらに、ヘリウム又は他の不活性ガスのような他のガスを含むことができる。ガスは、基板が存在する処理チャンバの中に別々に流し込むことができ、ドライエッチャントガスは、プロセスチャンバに流れ込む前に、遠隔プラズマ源によって励起される場合もある。ドライエッチャントガス混合物は、除去される第1の誘電体層とともに、第2の誘電体の一部を除去する場合がある。
第1の誘電体は犠牲層とすることができ、第2の誘電体層は非犠牲層とすることができる。ドライエッチャントガスは、犠牲誘電体層及び非犠牲誘電体層を実質的に同様の速度で除去することができ、誘電体層の品質に対して実質的に反応しない場合がある。例えば、第1の誘電体層は高品質のHDP酸化物とすることができ、第2の誘電体層は、低品質の流動性酸化物とすることができる。HF水溶液を用いるウエットエッチングは、高品質の第1の誘電体層の約2倍以上の速度で低品質の第2の誘電体層を除去する場合があるが、ドライエッチャントガスはそうでない場合がある。
ドライエッチャントガスは、第1の誘電体層を除去しながら、第2の誘電体層の少なくとも一部を保持するために、高品質及び低品質の両方の誘電体層を同様の速度で除去することができる。第1の誘電体層及び第2の誘電体層は、HF水溶液内での第1のウエットエッチング速度に対する第2のエッチング速度の比よりも1に近いドライエッチャントガス混合物とのエッチング速度比を有することができる。一例では、第2の誘電体層を層間誘電体として用いて、数ある保護用途の中でも、ドーパント移動を防ぐのを助けることができる。有利には、高品質の犠牲層を除去しながら、この層の除去を制限することによって、半導体又は集積受動デバイスのスケーリング中に拡散、電荷移動及び他の問題を防ぐことができる。
図4を参照すると、その上で本方法によるエッチングプロセスが実行された基板410の断面図が示される。ダミーゲート(図示せず)を堆積する前に、基板410上にHDP品質誘電体材料415が堆積される。スペーサ形成430とともに、エッチング、浅いトレンチ分離420及び注入ドーピング452が行われる。代替的には、浅いトレンチ分離420は、HDP品質誘電体材料及びダミーゲートの堆積前に実行することができる。基板上に第2の誘電体層、又は層間誘電体435が堆積され、その層は堆積後に初めは流動性を有することができ、その後、余分な誘電体を除去し、ダミーゲートを露出させるためにCMPが実行される。ポリシリコン選択性ウエットエッチングを実行して、ダミーゲートを除去し、それにより、HDP品質誘電体材料415を露出させる。三フッ化窒素、アンモニア及びヘリウムからなるドライエッチャントガス混合物を基板に暴露して、HDP品質誘電体材料415を除去する。そのガスは、反応領域に流れ込む前に、遠隔プラズマチャンバを通って流れることができる。ある量の層間誘電体435がHDP品質誘電体材料415の除去中に除去される場合がある。しかしながら、層間誘電体435がHDP品質誘電体材料415よりも低い品質からなる場合であっても、ドライエッチャントガス混合物は、実質的に同様の速度で層を除去することができる。したがって、HDP品質誘電体材料の除去中に、層間誘電体435の除去される量は制限される。
実施例
ドライエッチャントガス混合物を用いるエッチング選択性の間の比較例が作られた。そのエッチングは、HDP CVD及び流動性CVDによって堆積された酸化物を含む基板上で行われた。流動性酸化物はエッチング前に400℃でのアニールによって硬化した。その酸化物は、13秒の時間にわたって、三フッ化窒素及びアンモニアを含むドライエッチャントガス混合物に暴露された。以下の表Iにおいて見ることができるように、ドライエッチャントガス混合物は、HDP品質酸化物に比べて、流動性酸化物をわずかだけ多く除去するが、そのドライエッチャントガスが酸化物品質に対して実質的に反応しないことを示す。
Figure 2014527315
図5Aは、HF水溶液エッチャントを用いる、トレンチ及びオープンフィールドのエッチング深度を示すSEM画像である。HFは酸化物品質の影響を受けやすく、それゆえ、酸化物品質の違いが異なるエッチング深度に反映される。オープンフィールド505は均一な高さではなく、トレンチ510は、一貫性のない酸化物除去量を示す。しかしながら、図5Bは、ドライエッチャントガス混合物を用いる、トレンチ及びオープンフィールドのエッチング深度を示すSEM画像を示す。ドライエッチャントガス混合物は、三フッ化窒素及びアンモニアを含む。ドライエッチャントガス混合物は酸化物品質に実質的に影響をされず、オープンフィールド520及びトレンチ515の両方に対する凹部量は実質的に同じであり、均一である。
本技術の種々の実施形態を理解してもらうために、これまでの記述において、説明の目的上、数多くの細部が記載されてきた。しかしながら、これらの細部の幾つかを用いることなく、又は更なる細部を用いて、特定の実施形態を実践できることは当業者には明らかであろう。
幾つかの実施形態を開示してきたが、開示される実施形態の趣旨から逸脱することなく、種々の変形、代替の構成及び均等物を用いることができることは当業者には認識されよう。さらに、本発明を不必要にわかりにくくするのを避けるために、幾つかの周知のプロセス及び要素は示されていない。したがって、上記の説明は本発明の範囲を制限すると見なされるべきではない。
個々の実施形態は、流れ図、フロー図又はブロック図として表されるプロセスとして説明される。流れ図はその方法を順次のプロセスとして示す場合があるが、動作の多くは並列に又は同時に実行することができる。さらに、動作の順序は並べ替えることができる。動作が完了されるときに、プロセスが終了される場合があるが、論じられない、又は図に含まれない更なるステップを有することもできる。さらに、全ての実施形態において、任意の詳細に説明されたプロセスの全ての動作が行われるとは限らない。プロセスは、方法、機能、手順、サブルーチン、サブプログラム等に対応することができる。プロセスが機能に対応するとき、その終了は、その機能が呼出し機能又は主機能に戻ることに対応する。
ある範囲の値が与えられる場合、文脈上、明確に別段の指示がない限り、その範囲の上限と下限との間の、下限の単位の最小分数までの各介在値も具体的に開示されると理解されたい。ある規定範囲内の任意の規定値又は介在値と、その規定範囲内の任意の他の規定値又は他の介在値との間のより小さな範囲もそれぞれ包含される。それらの、より小さな範囲の上限及び下限が、独立して、その範囲に包含されるか、又はその範囲から除外される場合があり、規定範囲内で任意の具体的に除外された限界値を条件として、より小さな範囲内に一方の限界値が含まれる範囲、いずれの限界値も含まれない範囲、又は両方の限界値が含まれる範囲も本発明の範囲に包含される。規定範囲が限界値の一方又は両方を含む場合、それらの含まれる限界値の一方又は両方を除外する範囲も含まれる。
本明細書及び添付の特許請求の範囲において用いられるときに、文脈上、明確に別段の指示がない限り、単数形の「a」「an」及び「the」は、複数の指示物を含む。したがって、例えば、「1つの誘電体材料」への参照は複数のそのような材料を含み、「その堆積」への参照は1つ又は複数の堆積、及び当業者に既知であるその均等物を含み、それ以外も同様である。
本明細書及び添付の特許請求の範囲において用いられるときに、「備える(comprise、comprising)」、「含む(include、including、includes)」という用語は、規定された特徴、整数、構成要素又はステップの存在を指定することを意図しているが、1つ又は複数の他の特徴、整数、構成要素、ステップ、作用又はグループの存在又は追加を除外しない。

Claims (19)

  1. 半導体基板の表面に誘電体層を堆積し、前記半導体基板の表面から前記誘電体層をエッチングする方法であって、前記方法は、
    HF水溶液内で第1のウエットエッチング速度を有する第1の誘電体層を堆積することと、
    第2の誘電体層を堆積することであって、前記第2の誘電体層は前記堆積後に初めは流動性を有し、前記第2の誘電体層は、HF水溶液内で、前記第1のウエットエッチング速度より速い第2のウエットエッチング速度を有する、堆積することと、
    フッ素含有ガス及びアンモニアを含むエッチャントガス混合物で前記第1の誘電体層及び前記第2の誘電体層をエッチングすることであって、前記第1の誘電体層及び前記第2の誘電体層は、HF水溶液内の前記第1のウエットエッチング速度に対する前記第2のウエットエッチング速度の比より1に近い前記エッチャントガス混合物とのエッチング速度比を有する、エッチングすることと
    を含む、半導体基板の表面に誘電体層を堆積し、前記半導体基板の表面から前記誘電体層をエッチングする方法。
  2. 前記エッチャントガス混合物は、プラズマ排出物を含むドライエッチャントガス混合物である、請求項1に記載の方法。
  3. 前記第1の誘電体層及び前記第2の誘電体層の少なくとも一方は酸化物を含む、請求項1に記載の方法。
  4. 前記第1の誘電体層は熱堆積プロセス又は高密度プラズマ堆積プロセスのいずれかによって堆積される、請求項1に記載の方法。
  5. 前記第1の誘電体層は高密度プラズマ堆積プロセスによって堆積される、請求項4に記載の方法。
  6. 前記第2の誘電体層はスピン−オン−ガラス又は流動性CVDのいずれかによって堆積される、請求項1に記載の方法。
  7. 前記第2の誘電体層は流動性CVDによって堆積される、請求項6に記載の方法。
  8. 前記第2の誘電体層を堆積した後に前記第2の誘電体層を硬化させることを更に含む、請求項1に記載の方法。
  9. 前記第2の誘電体層は約400℃以下の温度で堆積され、硬化され、エッチングされる、請求項8に記載の方法。
  10. 前記エッチャントガス混合物との前記エッチング速度比は約1.1未満である、請求項1に記載の方法。
  11. 置換金属ゲート半導体プロセスにおいて半導体基板の表面上の誘電体材料を除去する方法であって、前記方法は、
    前記基板上に第1の誘電体材料を堆積して、HF水溶液内で第1のウエットエッチング速度を有する第1の品質の誘電体層を作製することと、
    第2の誘電体材料を堆積することであって、前記第2の誘電体は前記堆積後に初めは流動性を有する、堆積することと、
    前記第2の誘電体材料を硬化させ、HF水溶液内で、前記第1のウエットエッチング速度より速い第2のウエットエッチング速度を有する第2の品質の第2の誘電体層を作製することと、
    ドライエッチャントガス混合物で前記第1の誘電体層及び前記第2の誘電体層をエッチングすることであって、前記第1の誘電体層及び前記第2の誘電体は、HF水溶液内での前記第1のウエットエッチング速度に対する前記第2のウエットエッチング速度の比よりも1に近い前記ドライエッチャントガス混合物とのエッチング速度比を有する、エッチングすることと
    を含む、置換金属ゲート半導体プロセスにおいて半導体基板の表面上の誘電体材料を除去する方法。
  12. 前記ドライエッチャントガス混合物はフッ素含有ガス及びアンモニアからなるプラズマ排出物を含む、請求項11に記載の方法。
  13. 前記フッ素含有ガスは三フッ化窒素である、請求項12に記載の方法。
  14. 前記第2の誘電体層は約400℃以下の温度で堆積され、硬化され、エッチングされる、請求項11に記載の方法。
  15. 前記第1の誘電体層の前記ドライエッチャントガスとの前記エッチング速度に対する前記第2の誘電体層の前記ドライエッチャントガスとの前記エッチング速度の比が約1.1未満であるように、前記ドライエッチャントガスは、エッチングされる前記誘電体層の前記品質に対して実質的に反応しない、請求項11に記載の方法。
  16. 前記第1の誘電体層は熱堆積プロセス又は高密度プラズマ堆積プロセスのいずれかによって堆積される、請求項11に記載の方法。
  17. 前記第1の誘電体層は高密度プラズマ堆積プロセスによって堆積される、請求項11に記載の方法。
  18. 前記第2の誘電体層はスピン−オン−ガラス又は流動性CVDのいずれかによって堆積される、請求項11に記載の方法。
  19. 前記第2の誘電体層は流動性CVDによって堆積される、請求項11に記載の方法。
JP2014532110A 2011-09-26 2012-09-26 半導体集積のための反応しないドライ除去プロセス Pending JP2014527315A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201161539270P 2011-09-26 2011-09-26
US61/539,270 2011-09-26
US13/624,693 US20130260564A1 (en) 2011-09-26 2012-09-21 Insensitive dry removal process for semiconductor integration
US13/624,693 2012-09-21
PCT/US2012/057358 WO2013049223A2 (en) 2011-09-26 2012-09-26 Insensitive dry removal process for semiconductor integration

Publications (1)

Publication Number Publication Date
JP2014527315A true JP2014527315A (ja) 2014-10-09

Family

ID=47996724

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014532110A Pending JP2014527315A (ja) 2011-09-26 2012-09-26 半導体集積のための反応しないドライ除去プロセス

Country Status (6)

Country Link
US (1) US20130260564A1 (ja)
JP (1) JP2014527315A (ja)
KR (1) KR20140070630A (ja)
CN (1) CN103843118A (ja)
TW (1) TWI541898B (ja)
WO (1) WO2013049223A2 (ja)

Families Citing this family (130)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US8921235B2 (en) * 2013-03-04 2014-12-30 Applied Materials, Inc. Controlled air gap formation
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US20150206803A1 (en) * 2014-01-19 2015-07-23 United Microelectronics Corp. Method of forming inter-level dielectric layer
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP6434367B2 (ja) * 2015-05-14 2018-12-05 東京エレクトロン株式会社 基板液処理装置及び基板液処理方法並びに基板液処理プログラムを記憶したコンピュータ読み取り可能な記憶媒体
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6303418B1 (en) * 2000-06-30 2001-10-16 Chartered Semiconductor Manufacturing Ltd. Method of fabricating CMOS devices featuring dual gate structures and a high dielectric constant gate insulator layer
US20050272191A1 (en) * 2004-06-03 2005-12-08 Uday Shah Replacement gate process for making a semiconductor device that includes a metal gate electrode
JP2008288560A (ja) * 2007-04-18 2008-11-27 Sony Corp 半導体装置及びその製造方法
US20110195575A1 (en) * 2010-02-11 2011-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. novel hard mask removal method
US20110223752A1 (en) * 2010-03-09 2011-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a gate structure

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5300463A (en) * 1992-03-06 1994-04-05 Micron Technology, Inc. Method of selectively etching silicon dioxide dielectric layers on semiconductor wafers
JP3330554B2 (ja) * 1999-01-27 2002-09-30 松下電器産業株式会社 エッチング方法
US6291282B1 (en) * 1999-02-26 2001-09-18 Texas Instruments Incorporated Method of forming dual metal gate structures or CMOS devices
KR100350056B1 (ko) * 2000-03-09 2002-08-24 삼성전자 주식회사 다마신 게이트 공정에서 자기정렬콘택패드 형성 방법
KR100484258B1 (ko) * 2001-12-27 2005-04-22 주식회사 하이닉스반도체 반도체 소자 제조 방법
US7582555B1 (en) * 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
KR100703014B1 (ko) * 2005-10-26 2007-04-06 삼성전자주식회사 실리콘 산화물 식각액 및 이를 이용한 반도체 소자의 제조 방법
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
KR100818708B1 (ko) * 2006-08-18 2008-04-01 주식회사 하이닉스반도체 표면 세정을 포함하는 반도체소자 제조방법
US8110787B1 (en) * 2006-08-23 2012-02-07 ON Semiconductor Trading, Ltd Image sensor with a reflective waveguide
US20100059889A1 (en) * 2006-12-20 2010-03-11 Nxp, B.V. Adhesion of diffusion barrier on copper-containing interconnect element
KR100877107B1 (ko) * 2007-06-28 2009-01-07 주식회사 하이닉스반도체 반도체 소자의 층간절연막 형성방법
TW200933812A (en) * 2008-01-30 2009-08-01 Promos Technologies Inc Process for forming trench isolation structure and semiconductor device produced thereby
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US7910491B2 (en) * 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
TWI579916B (zh) * 2009-12-09 2017-04-21 諾菲勒斯系統公司 整合可流動氧化物及頂蓋氧化物之新穎間隙填充
US20110151677A1 (en) * 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US8501629B2 (en) * 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US8475674B2 (en) * 2010-04-30 2013-07-02 Applied Materials, Inc. High-temperature selective dry etch having reduced post-etch solid residue
KR20120058962A (ko) * 2010-11-30 2012-06-08 삼성전자주식회사 반도체 장치의 제조 방법
US8415250B2 (en) * 2011-04-29 2013-04-09 International Business Machines Corporation Method of forming silicide contacts of different shapes selectively on regions of a semiconductor device
US9012283B2 (en) * 2011-05-16 2015-04-21 International Business Machines Corporation Integrated circuit (IC) chip having both metal and silicon gate field effect transistors (FETs) and method of manufacture
US8927390B2 (en) * 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6303418B1 (en) * 2000-06-30 2001-10-16 Chartered Semiconductor Manufacturing Ltd. Method of fabricating CMOS devices featuring dual gate structures and a high dielectric constant gate insulator layer
US20050272191A1 (en) * 2004-06-03 2005-12-08 Uday Shah Replacement gate process for making a semiconductor device that includes a metal gate electrode
JP2008288560A (ja) * 2007-04-18 2008-11-27 Sony Corp 半導体装置及びその製造方法
US20110195575A1 (en) * 2010-02-11 2011-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. novel hard mask removal method
US20110223752A1 (en) * 2010-03-09 2011-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a gate structure

Also Published As

Publication number Publication date
WO2013049223A3 (en) 2013-05-23
TWI541898B (zh) 2016-07-11
TW201330101A (zh) 2013-07-16
KR20140070630A (ko) 2014-06-10
WO2013049223A2 (en) 2013-04-04
CN103843118A (zh) 2014-06-04
US20130260564A1 (en) 2013-10-03

Similar Documents

Publication Publication Date Title
JP2014527315A (ja) 半導体集積のための反応しないドライ除去プロセス
TWI553726B (zh) 改良的溝槽內輪廓
KR102618370B1 (ko) 순차적인 증착-에칭-처리 프로세싱을 사용한 실리콘 산화물 및 실리콘 질화물의 상향식 성장
KR101161098B1 (ko) 낮은 에칭 레이트 유전체 라이너들을 이용한 갭충진 향상
TWI479044B (zh) 硼膜界面工程
US9390914B2 (en) Wet oxidation process performed on a dielectric material formed from a flowable CVD process
CN101743631B (zh) 硼衍生的材料的沉积方法
KR20190101893A (ko) 고품질 에칭 저항성 갭필 유전체 막의 퇴적 및 리플로우를 위한 방법
JP2019500756A (ja) 半導体アプリケーション用の水平ゲートオールアラウンドデバイスのためのナノワイヤ製造方法
US20150099342A1 (en) Mechanism of Forming a Trench Structure
KR20100038311A (ko) 보론 니트라이드 및 보론 니트라이드-유도된 물질 증착 방법
US8828841B2 (en) Semiconductor device and method of manufacture
JP2020516079A (ja) シリコン間隙充填のための二段階プロセス
JP5378287B2 (ja) 半導体装置の製造方法
KR100968153B1 (ko) 반도체 소자의 소자분리막 형성방법
JP2007204851A (ja) 半導体装置の製造法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150925

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20160920

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20161004

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170104

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20170523