KR102618370B1 - 순차적인 증착-에칭-처리 프로세싱을 사용한 실리콘 산화물 및 실리콘 질화물의 상향식 성장 - Google Patents

순차적인 증착-에칭-처리 프로세싱을 사용한 실리콘 산화물 및 실리콘 질화물의 상향식 성장 Download PDF

Info

Publication number
KR102618370B1
KR102618370B1 KR1020180064979A KR20180064979A KR102618370B1 KR 102618370 B1 KR102618370 B1 KR 102618370B1 KR 1020180064979 A KR1020180064979 A KR 1020180064979A KR 20180064979 A KR20180064979 A KR 20180064979A KR 102618370 B1 KR102618370 B1 KR 102618370B1
Authority
KR
South Korea
Prior art keywords
film
feature
approximately
silicon
plasma
Prior art date
Application number
KR1020180064979A
Other languages
English (en)
Other versions
KR20180133338A (ko
Inventor
루이 쳉
아브히지트 바수 말릭
프라미트 만나
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20180133338A publication Critical patent/KR20180133338A/ko
Priority to KR1020230187256A priority Critical patent/KR20240000433A/ko
Application granted granted Critical
Publication of KR102618370B1 publication Critical patent/KR102618370B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02565Oxide semiconducting materials not being Group 12/16 materials, e.g. ternary compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76227Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials the dielectric materials being obtained by full chemical transformation of non-dielectric materials, such as polycristalline silicon, metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Element Separation (AREA)
  • Weting (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

고종횡비 피처들의 갭충전을 위한 방법들이 설명된다. 제1 막은 피처의 최하부 및 상부 측벽들 상에 증착된다. 제1 막은 피처의 측벽들로부터 에칭되고, 피처의 최하부의 제1 막은 제2 막을 형성하기 위해 처리된다. 피처를 충전하기 위해, 증착, 에칭 및 처리 프로세스들이 반복된다.

Description

순차적인 증착-에칭-처리 프로세싱을 사용한 실리콘 산화물 및 실리콘 질화물의 상향식 성장{BOTTOM-UP GROWTH OF SILICON OXIDE AND SILICON NITRIDE USING SEQUENTIAL DEPOSITION-ETCH-TREAT PROCESSING}
[0001] 본 개시내용은 일반적으로, 갭충전(gapfill)을 위한 방법들에 관한 것이다. 구체적으로, 본 개시내용은, 순차적인 증착-에칭-처리 프로세스(sequential deposition-etch-treat process)를 사용하여 갭을 충전(fill)하는 프로세스들에 관한 것이다.
[0002] 갭충전 프로세스는 반도체 제조에서 매우 중요한 스테이지이다. 갭충전 프로세스는 고종횡비 갭(또는 피처(feature))을 절연성 또는 전도성 재료로 충전하는 데 사용된다. 예컨대, 얕은 트렌치 격리(shallow trench isolation), 금속간 유전체 층들, 패시베이션 층들, 더미 게이트 등이 있다. 디바이스 기하학적 구조들이 축소되고(예컨대, 임계 치수들 < 20 nm) 그리고 열적 버짓(thermal budget)들이 감소됨에 따라, 고종횡비 공간들(예컨대, AR>10:1)의 무공극 충전(void-free filling)은 종래의 증착 프로세스들의 제한들로 인해 점점 더 어려워지고 있다.
[0003] 대부분의 증착 방법들은 구조의 최하부 구역보다는 최상부 구역 상에 더 많은 재료를 증착한다. 프로세스는 종종 버섯 형상 막 프로파일(mushroom shape film profile)을 형성한다. 결과적으로, 고종횡비 구조의 최상부 부분은 때때로 너무 이르게 핀치 오프되어(pinch off), 구조의 하부 부분들 내에 시임(seam)들/공극들을 남긴다. 이 문제는 작은 피처들에서 더 일반적이다.
[0004] 갭충전(gap fill)에 대한 하나의 접근법은 고밀도 플라즈마 화학 기상 증착(HDP CVD; high-density plasma chemical vapor deposition)이다. HDP CVD는, 고종횡비 갭-충전에 사용되는 방향성(상향식(bottom-up)) CVD 프로세스이다. 이 방법은 고종횡비 구조의 측벽들보다는 그 구조의 최하부에 더 많은 재료를 증착한다. 이는, 대전된 유전체 전구체 종을 하향으로, 갭의 최하부까지 지향시킴으로써 달성된다. 증착 프로세스의 방향성 양상은, 최하부 충전물을 멀리 스퍼터링하는 일부 높은 운동량의 대전된 종을 생성한다. 스퍼터링된 재료는 측벽들 상에 재증착되는 경향이 있다. 오버행 형성으로 인한 제한들은, 충전되는 갭의 폭이 감소되고 종횡비가 증가됨에 따라 더욱더 심각해진다.
[0005] 높은 AR 피처들을 갭충전하기 위한 다른 접근법은 유동성 CVD 프로세스(flowable CVD process)의 사용에 의한 것이다. 유동성 CVD 프로세스는 일반적으로, 복잡한 증착-경화-처리 프로세싱을 요구한다. 따라서, 고종횡비 구조들에서 막들을 증착할 수 있는 갭충전 방법들이 당해 기술분야에서 필요하다.
[0006] 본 개시내용의 하나 또는 그 초과의 실시예들은, 기판 표면을 갖는 기판을 제공하는 단계 ― 기판 표면에는 복수의 피처들이 형성되어 있음 ― 를 포함하는 프로세싱 방법들에 관한 것이다. 각각의 피처는 기판 표면으로부터 일정 거리로 연장되고, 최하부 및 적어도 하나의 측벽을 갖는다. 제1 막이 적어도 하나의 피처에 증착되며, 그 증착은, 제1 막이 피처의 최하부 상에 그리고 기판 표면 근처의, 피처의 측벽들 상에 형성되도록 이루어진다. 제1 막은 피처의 측벽들로부터 에칭된다. 피처에 제2 막을 형성하기 위해 피처의 최하부의 제1 막이 처리된다.
[0007] 본 개시내용의 부가적인 실시예들은 갭충전 방법들에 관한 것이다. 기판 표면을 갖는 기판이 제공되며, 기판 표면에는 복수의 피처들이 형성되어 있다. 각각의 피처는 기판 표면으로부터 일정 거리로 연장되고, 최하부 및 적어도 하나의 측벽을 갖는다. 실리콘을 포함하는 제1 막이 적어도 하나의 피처에 증착되며, 그 증착은, 제1 막이 피처의 최하부 상에 그리고 기판 표면 근처의, 피처의 측벽들 상에 형성되도록 이루어진다. 제1 막은 피처의 측벽들로부터 에칭된다. 피처에 제2 막을 형성하기 위해 피처의 최하부의 제1 막이 처리된다. 제2 막은 실리콘 산화물, 실리콘 질화물 또는 실리콘 산질화물 중 하나 또는 그 초과를 포함한다.
[0008] 본 개시내용의 추가의 실시예들은, 기판 표면을 갖는 기판을 제공하는 단계 ― 기판 표면에는 복수의 피처들이 형성되어 있음 ― 를 포함하는 갭충전 방법들에 관한 것이다. 각각의 피처는 기판 표면으로부터 일정 거리로 연장되고, 최하부 및 적어도 하나의 측벽을 갖는다. 실리콘을 포함하는 제1 막을 적어도 하나의 피처에 증착하기 위해, 기판이 실리콘 전구체 및 반응물에 노출되며, 그 증착은, 제1 막이 피처의 최하부 상에 그리고 기판 표면 근처의, 피처의 측벽들 상에 형성되도록 이루어진다. 실리콘 전구체는 실란, 디실란, 트리실란, 테트라실란, 더 고차의 실란(higher order silane) 또는 디클로로실란 중 하나 또는 그 초과를 포함한다. 반응물은 수소 또는 질소 중 하나 또는 그 초과를 포함하는 플라즈마를 포함한다. 제1 막은 대략 1 Å 내지 대략 50 Å의 범위의 깊이로 형성된다. 기판은 피처의 측벽들로부터 제1 막을 에칭하기 위해, H2, HCl 또는 Cl2 중 하나 또는 그 초과를 포함하는 플라즈마를 포함하는 에천트(etchant)에 노출된다. 실리콘 산화물, 실리콘 질화물 또는 실리콘 산질화물 중 하나 또는 그 초과를 포함하는 제2 막을 피처에 형성하기 위해, 피처의 최하부의 제1 막이 처리된다. 막을 처리하는 단계는, 기판을, Ar, He, H2, O2, N2O, O3, H2O, NH3 또는 N2 중 하나 또는 그 초과를 포함하는 플라즈마에 노출시키는 단계를 포함한다. 피처를 충전하기 위해, 증착, 에칭 및 처리 프로세스들이 반복된다.
[0009] 본 발명의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 발명의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 실시예들을 예시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0010] 도 1은 본 개시내용의 하나 또는 그 초과의 실시예들에 따른 프로세스 흐름을 예시하고; 그리고
[0011] 도 2a 내지 2e는 도 1의 프로세스 흐름 동안의 기판의 개략적 표현들을 예시한다.
[0012] 본 발명의 몇몇 예시적인 실시예들을 설명하기 전에, 본 발명은 하기의 설명에서 기술되는 구성 또는 프로세스 단계들의 세부사항들로 제한되지 않음이 이해되어야 한다. 본 발명은 다른 실시예들이 가능하며, 다양한 방식들로 실시되거나 수행될 수 있다.
[0013] 본원에서 사용되는 바와 같은 "기판"은, 제조 프로세스 동안 막 프로세싱이 상부에서 수행되는, 임의의 기판, 또는 기판 상에 형성된 재료 표면을 지칭한다. 예컨대, 프로세싱이 수행될 수 있는 기판 표면은, 애플리케이션에 따라, 실리콘, 실리콘 산화물, 스트레인드 실리콘(strained silicon), 실리콘 온 인슐레이터(SOI; silicon on insulator), 탄소 도핑된 실리콘 산화물들, 비정질 실리콘, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리, 사파이어와 같은 재료들, 및 임의의 다른 재료들, 이를테면 금속들, 금속 질화물들, 금속 합금들, 및 다른 전도성 재료들을 포함한다. 기판들은 반도체 웨이퍼들을 포함한다(그러나 이에 제한되지 않음). 기판들은, 기판 표면을 폴리싱, 에칭, 환원, 산화, 히드록실화(hydroxylate), 어닐링, UV 경화, e-빔 경화 및/또는 베이킹하기 위해 전처리 프로세스에 노출될 수 있다. 본 발명에서, 기판 자체의 표면 상에서 직접적으로 막 프로세싱을 하는 것에 추가하여, 개시되는 막 프로세싱 단계들 중 임의의 막 프로세싱 단계는 또한, 하기에서 보다 상세히 개시되는 바와 같이, 기판 상에 형성된 하부층(underlayer) 상에서 수행될 수 있으며, "기판 표면"이라는 용어는 문맥이 표시하는 바와 같이 그러한 하부층을 포함하도록 의도된다. 따라서, 예컨대, 막/층 또는 부분적인 막/층이 기판 표면 상에 증착된 경우, 새롭게 증착된 막/층의 노출되는 표면이 기판 표면이 된다.
[0014] 본 개시내용의 실시예들은 유리하게, 고종횡비 피처를 충전하기 위해 막(예컨대, 실리콘)을 증착하는 방법들을 제공한다. 일부 실시예들은 유리하게, 클러스터 툴 환경에서 수행될 수 있는 순환적인 증착-에칭-처리 프로세스들을 포함하는 방법들을 제공한다. 일부 실시예들은 유리하게, 플라즈마-강화 화학 기상 증착(PECVD; plasma-enhanced chemical vapor deposition) 프로세스들을 사용하여, 실리콘 산화물(SiO), 실리콘 질화물(SiN) 및/또는 실리콘 산질화물(SiON) 갭충전 막들을 증착한다. 당업자는, 실리콘 산화물에 대한 화학식 SiO가 특정 화학량론적 양의 실리콘 및 산소 원자들을 의미하지는 않는다는 것을 인식할 것이다. 오히려, SiO, SiN, SiON 및 다른 것들에 대한 화학식은 단지, 대상 막에서 발견되는 원소들의 아이덴티티를 표시할 뿐이다. 원소들의 특정 조성은 변화할 수 있다.
[0015] 도 1은 막을 증착하기 위한 방법(100)의 브로드 뷰(broad view)를 예시한다. 도 2a 내지 2e는, 기판의 막 증착의 개략도를 사용하여 도 1의 프로세스를 예시한다.
[0016] 스테이지(110)에서, 프로세싱을 위해 기판(10)이 제공된다. 이와 관련하여 사용되는 바와 같이, "제공되는"이라는 용어는, 기판(10) 상에 하나 또는 그 초과의 막들을 증착시키는 포지션 또는 환경 내에 기판(10)이 배치되는 것을 의미한다. 기판(10)은 기판 표면(12)을 가지며, 기판 표면(12)에는 복수의 피처들(14)이 형성되어 있다. 각각의 피처(14)는 기판 표면(12)으로부터 거리(D)로 연장된다. 각각의 피처는 최하부(16) 및 적어도 하나의 측벽(18)을 갖는다. 피처가 원통형 형상을 갖는 경우, 하나의 측벽(18)이 존재하지만; 단면으로 보면, 도 2a에 도시된 것과 같이, 2개의 측벽들을 갖는 것처럼 보일 것이다. 측벽들(18)의 수는 본 개시내용의 범위로 제한되지 않으며, 임의의 수의 측벽들을 갖는 임의의 형상의 피처가 사용될 수 있다.
[0017] 피처(14)가 표면(12)으로부터 연장되는 거리(D)는 임의의 적절한 거리일 수 있다. 거리(D) 대 피처(14)의 폭의 비율은 종횡비로 지칭된다. 일부 실시예들에서, 피처(14)는 대략 10:1, 15:1, 20:1, 25:1, 30:1, 35:1, 40:1, 45:1 또는 50:1과 동일한 또는 그 초과의 고종횡비를 갖는다. 일부 실시예들에서, 피처는 대략 9:1, 8:1, 7:1, 6:1, 5:1, 4:1, 3:1 또는 2:1과 동일한 또는 그 미만의 저종횡비를 갖는다.
[0018] 일부 실시예들의 기판(10)은 V-NAND 디바이스의 부분이다. 예시된 피처들(14)이 단일 재료(unitary material)의 리세스로서 도시되며, 따라서 최하부(16) 및 측벽들(18)은 동일한 재료로 제조된다. 일부 실시예들에서, 제1 재료가 제2 재료보다 더 짧아서 리세스 형상을 형성하도록, 상이한 재료들의 교번하는 층들에 의해 피처들이 형성된다. 이러한 타입의 시스템에서, 피처의 최하부는 제1 재료에 의해 형성되고, 피처의 측벽은 높이가 더 높은(taller) 제2 재료에 의해 형성된다. 예컨대, V-NAND 구조는 실리콘 산화물 및 실리콘 질화물 막들의 교번적인 층들로 제조된다. 달리 말하면, 일부 실시예들에서, 피처는 2개의 이격된 층들(예컨대, 산화물 층들) 사이에 형성되고, 피처의 최하부는 이격된 층들과 상이한 재료이다.
[0019] 일부 실시예들에서, 배리어 층(도시되지 않음)이 표면 상에 형성된다. 배리어 층은 티타늄 질화물을 포함하는(그러나 이에 제한되지 않음) 임의의 적절한 재료일 수 있다. 배리어 층의 두께는 임의의 적절한 두께일 수 있다. 일부 실시예들에서, 배리어 층의 두께는 대략 10 Å 내지 대략 50 Å의 범위, 또는 대략 20 Å 내지 대략 45 Å의 범위, 또는 대략 30 Å 내지 대략 40 Å의 범위이다.
[0020] 갭충전 방법(100)은, 피처(14)를 충전하는 것을 시작하기 위해 증착(120) 프로세스로 이동한다. 도 2b를 참조하면, 제1 막(20)이 기판 표면(12) 근처의 측벽들(18) 및 최하부(16) 상에 형성되도록, 제1 막(20)이 적어도 하나의 피처(14)에 증착된다. 이러한 방식에서 사용되는 바와 같이, "기판 표면 근처"라는 용어는, 제1 막(20)이 기판 표면(12) 상에 그리고 피처(14)의 측벽들(18)의 상부 부분들 상에 형성될 수 있다는 것을 의미한다. 피처의 측벽들(18) 상에 제1 막(20)이 형성되는 깊이는 임의의 적절한 깊이일 수 있고, 프로세스 조건들 및 막 조성에 따라 변화할 수 있다. 일반적으로, 제1 막(20)은, 도 2b에 예시된 바와 같이, 기판 표면(12) 부근의, 피처의 최상부 근처에 버섯 형상을 형성한다.
[0021] 제1 막(20)은 임의의 적절한 재료일 수 있다. 일부 실시예들에서, 제1 막(20)은 실리콘을 포함한다. 일부 실시예들에서, 제1 막(20)은 본질적으로 실리콘으로 이루어진다. 이러한 방식에서 사용되는 바와 같이, "본질적으로 실리콘으로 이루어진"이라는 용어는, 막 조성이 원자 기준으로 대략 95%, 98% 또는 99%와 동일한 또는 그 초과의 실리콘이라는 것을 의미한다.
[0022] 일부 실시예들에서, 제1 막(20)을 기판(10) 상에 증착하는 것은 기판(10)을 실리콘 전구체 및 반응물에 노출시키는 것을 포함한다. 일부 실시예들에서, 실리콘 전구체는 화학식을 갖는 적어도 하나의 종(species)을 포함한다. 일부 실시예들에서, 실리콘 전구체는 실란, 디실란, 트리실란, 테트라실란, 더 높은 차수의 실란 또는 디클로로실란 중 하나 또는 그 초과를 포함한다. 더 높은 차수의 실란은 실험식 SinH2n +2(여기서 n은 5와 동일하거나 또는 그 초과임)를 갖는 실란 화합물로 정의된다. 일부 실시예들에서, 실리콘 전구체는 본질적으로 SiH4로 이루어진다. 일부 실시예들에서, 실리콘 전구체는 본질적으로 Si2H6으로 이루어진다. 일부 실시예들에서, 실리콘 전구체는 본질적으로 디클로로실란, 즉, SiH2Cl2로 이루어진다. 이러한 방식에서 사용되는 바와 같이, "본질적으로 ~으로 이루어진"이라는 용어는, 실리콘 전구체가 몰(molar) 기준으로 대략 95%, 98% 또는 99%와 동일한 또는 그 초과의 명시된 종(species)이라는 것을 의미한다.
[0023] 일부 실시예들에서, 실리콘 전구체는 실리콘 할로겐화물 종을 포함하며, 여기서 할로겐 원자들은 F, Cl, Br 및 I 중 하나 또는 그 초과를 포함한다. 일부 실시예들에서, 실리콘 할로겐화물은 실질적으로 어떤 불소 원자들도 포함하지 않는다. 이러한 방식에서 사용되는 바와 같이, "실질적으로 어떤 불소 원자들도 없는"이라는 용어는, 할로겐 종의 조성이 원자 기준으로 대략 95%, 98% 또는 99%와 동일한 또는 그 미만의 불소라는 것을 의미한다. 실리콘 전구체는 불활성, 희석 또는 캐리어 가스와 공동-유동될(co-flowed) 수 있다. 일부 실시예들에서, 실리콘 전구체는 아르곤 또는 헬륨 중 하나 또는 그 초과와 공동-유동된다.
[0024] 일부 실시예들에서, 반응물은 Ar, He, H2 또는 N2 중 하나 또는 그 초과를 포함한다. 반응물 또는 실리콘 전구체는 화학 기상 증착(CVD) 프로세스에서 프로세싱 챔버 내로 공동-유동될 수 있다. 일부 실시예들에서, 반응물 및 실리콘 전구체는 원자 층 증착(ALD; atomic layer deposition) 프로세스에서, 가스상(gas phase)에서 혼합되지 않으면서 기판 표면에 순차적으로 노출된다.
[0025] 일부 실시예들에서, 증착 반응물은 증착 플라즈마를 포함하며, 증착 플라즈마는, 플라즈마-강화 CVD 프로세스에서 실리콘 전구체와 동시에 기판에 노출되거나 또는 플라즈마-강화 ALD 프로세스에서 실리콘 전구체와 순차적으로 노출된다.
[0026] 일부 실시예들에서, 증착 플라즈마는 Ar, He, H2 또는 N2 중 하나 또는 그 초과를 포함한다. 일부 실시예들에서, 증착 플라즈마는 본질적으로 Ar로 이루어진다. 일부 실시예들에서, 증착 플라즈마는 본질적으로 He로 이루어진다. 일부 실시예들에서, 증착 플라즈마는 본질적으로 H2로 이루어진다. 일부 실시예들에서, 증착 플라즈마는 본질적으로 N2로 이루어진다. 이러한 방식에서 사용되는 바와 같이, "본질적으로 ~으로 이루어진"이라는 용어는, 증착 플라즈마가 원자 기준으로 대략 95%, 98% 또는 99%와 동일한 또는 그 초과의 명시된 종(species)이라는 것을 의미한다.
[0027] 증착 플라즈마는 전도성으로-커플링된 플라즈마(CCP; conductively-coupled plasma) 또는 유도성으로 커플링된 플라즈마(ICP; inductively coupled plasma)일 수 있고, 직접 플라즈마 또는 원격 플라즈마일 수 있다. 일부 실시예들에서, 증착 플라즈마는 대략 0 W 내지 대략 2000 W의 범위의 전력을 갖는다. 일부 실시예들에서, 최소 플라즈마 전력은 0 W, 10 W, 50 W 또는 100 W보다 더 크다.
[0028] 증착(120) 동안의 온도는, 예컨대 사용되는 전구체(들) 및/또는 증착 플라즈마(들)에 따라 임의의 적절한 온도일 수 있다. 일부 실시예들에서, 증착 온도는, 대략 100 ℃ 내지 500 ℃의 범위, 또는 대략 150 ℃ 내지 대략 450 ℃의 범위, 또는 대략 200 ℃ 내지 대략 400 ℃의 범위이다.
[0029] 증착(120) 동안의 프로세싱 챔버 압력은 대략 100 mTorr 내지 300 Torr의 범위, 또는 대략 200 mTorr 내지 대략 250 Torr의 범위, 또는 대략 500 mTorr 내지 대략 200 Torr의 범위, 또는 대략 1 Torr 내지 대략 150 Torr의 범위일 수 있다.
[0030] 증착된 막은, 에칭 프로세스(130)로 이동하기 전에, 임의의 적절한 두께일 수 있다. 일부 실시예들에서, 증착된 제1 막(20)의 두께는 대략 0.1 Å 내지 대략 100 Å의 범위, 또는 대략 1 Å 내지 대략 50 Å의 범위이다. 일부 실시예들에서, 증착된 제1 막(20)의 두께는, 처리 프로세스로 이동하기 전에, 대략 5 Å, 10 Å, 15 Å, 20 Å 또는 25 Å과 동일하거나 또는 그 초과이다. 일부 실시예들에서, 증착된 제1 막(20)의 두께는, 처리 프로세스로 이동하기 전에, 대략 100 Å, 90 Å, 80 Å, 70 Å, 60 Å 또는 50 Å과 동일하거나 또는 그 미만이다.
[0031] 증착(120) 후에, 제1 막(20)은 에칭 프로세스(130)를 겪는다. 도 2c를 참조하면, 제1 막(20)은 피처(14)의 측벽들(18)로부터 에칭된다. 제1 막(20)은 피처(14)의 최하부(16)에 남는다. 피처(14)의 최하부(16)에 남아있는 제1 막(20)의 양은, 예컨대, 에칭 프로세스 조건들 및 제1 막(20)의 조성에 기반하여 변화할 수 있다. 일부 실시예들에서, 증착된 제1 막(20)의 대략 10%, 20%, 30%, 40%, 50%, 60%, 70%, 80% 또는 90%와 동일한 또는 그 초과가, 에칭 프로세스(130) 후에, 남는다.
[0032] 일부 실시예들에서, 측벽들로부터 제1 막(20)을 에칭하는 것은 기판을 H2, HCl 또는 Cl2 중 하나 또는 그 초과에 노출시키는 것을 포함한다. 일부 실시예들에서, 제1 막(20)은, 실질적으로 제1 막(20) 전부를 측벽으로부터 제거하도록 그리고 피처의 최하부 상에 실리콘 막의 적어도 일부를 남기도록 에칭된다. 이러한 방식에서 사용되는 바와 같이, "실질적으로 전부"라는 용어는, 기판 표면(12) 상에 증착된 제1 막의 대략 95%, 98% 또는 99%와 동일한 또는 그 초과가 제거된다는 것을 의미한다.
[0033] 일부 실시예들에서, 제1 막(20)은 열적 에칭 프로세스로 에칭된다. 일부 실시예들에서, 열적 에칭 프로세스는 H2를 포함하는 에천트를 이용하여 수행된다. 일부 실시예들에서, 불활성 가스는 열적 에칭 프로세스 동안 에천트와 공동-유동된다.
[0034] 일부 실시예들에서, 제1 막(20)은 플라즈마 에칭 프로세스로 에칭된다. 플라즈마 에칭 프로세스에서 활용되는 플라즈마는 에칭 플라즈마로 지칭된다. 일부 실시예들에서, 에칭 플라즈마는 H2, HCl, Cl2, 또는 NF3 중 하나 또는 그 초과를 포함한다. 일부 실시예들에서, 에칭 플라즈마는 본질적으로 H2로 이루어진다. 일부 실시예들에서, 에칭 플라즈마는 본질적으로 HCl로 이루어진다. 일부 실시예들에서, 에칭 플라즈마는 본질적으로 Cl2로 이루어진다. 일부 실시예들에서, 에칭 플라즈마는 본질적으로 NF3로 이루어진다. 이러한 방식에서 사용되는 바와 같이, "본질적으로 ~으로 이루어진"이라는 용어는, 에칭 플라즈마가 원자 기준으로 대략 95%, 98% 또는 99%와 동일한 또는 그 초과의 명시된 종(species)이라는 것을 의미한다. 일부 실시예들에서, 불활성 가스는 플라즈마 에칭 프로세스 동안 에칭 플라즈마와 공동-유동된다.
[0035] 에칭 플라즈마는 전도성으로-커플링된 플라즈마(CCP) 또는 유도성으로 커플링된 플라즈마(ICP)일 수 있고, 직접 플라즈마 또는 원격 플라즈마일 수 있다. 일부 실시예들에서, 플라즈마는 대략 0 내지 대략 2000 W의 범위의 전력을 갖는다. 일부 실시예들에서, 최소 플라즈마 전력은 0 W, 10 W, 50 W 또는 100 W보다 더 크다.
[0036] 에칭 프로세스(130) 동안의 온도는, 예컨대 사용되는 에칭 프로세스, 에천트 및/또는 에칭 플라즈마(들)에 따라 임의의 적절한 온도일 수 있다. 일부 실시예들에서, 에칭 온도는, 대략 100 ℃ 내지 500 ℃의 범위, 또는 대략 150 ℃ 내지 대략 450 ℃의 범위, 또는 대략 200 ℃ 내지 대략 400 ℃의 범위이다.
[0037] 에칭 프로세스(130) 동안의 프로세싱 챔버 압력은 대략 100 mTorr 내지 300 Torr의 범위, 또는 대략 200 mTorr 내지 대략 250 Torr의 범위, 또는 대략 500 mTorr 내지 대략 200 Torr의 범위, 또는 대략 1 Torr 내지 대략 150 Torr의 범위일 수 있다.
[0038] 에칭 프로세스(130) 후에, 제1 막(20)은 처리 프로세스(140)를 겪는다. 제1 막(20)을 처리하는 것은 피처(14)에 제2 막(30)을 형성한다. 일부 실시예들에서, 제2 막(30)은 제1 막(20)의 산화물, 질화물, 붕소화물, 탄화물 또는 이들의 조합이다. 예컨대, 일부 실시예들에서, 제1 막(20)은 실리콘을 포함하거나 또는 본질적으로 실리콘으로 이루어지고, 제2 막은 실리콘 산화물, 실리콘 질화물 또는 실리콘 산질화물 중 하나 또는 그 초과를 포함한다. 일부 실시예들에서, 제2 막은 본질적으로 실리콘 질화물로 이루어진다. 일부 실시예들에서, 제2 막(30)은 본질적으로 실리콘 산화물로 이루어진다. 일부 실시예들에서, 제2 막(30)은 본질적으로 실리콘 산질화물로 이루어진다. 일부 실시예들에서, 제2 막(30)은 본질적으로, 붕소, 탄소, 산소 또는 질소 원자들 중 하나 또는 그 초과를 갖는 실리콘으로 이루어진다. 이러한 방식에서 사용되는 바와 같이, "본질적으로 ~으로 이루어진"이라는 용어는, 제2 막의 조성이 원자 기준으로 막의 총 조성의 대략 95%, 98% 또는 99%와 동일한 또는 그 초과인, 명시된 원소들의 합을 갖는다는 것을 의미한다.
[0039] 일부 실시예들에서, 제1 막(20)을 처리하는 것은, 실리콘 산화물을 포함하는 제2 막(30)을 형성하기 위해 제1 막(20)을 Ar, He, H2, O2, N2O, O3 또는 H2O 중 하나 또는 그 초과에 노출시키는 것을 포함한다. 일부 실시예들에서, 제1 막(20)을 처리하는 것은, 실리콘 질화물을 포함하는 제2 막을 형성하기 위해 제1 막(20)을 Ar, He, H2, NH3, N2 중 하나 또는 그 초과에 노출시키는 것을 포함한다. H2O2, 히드라진, 히드라진 유도체들 및 이들의 조합들을 포함하는(그러나 이에 제한되지 않음) 다른 산화제(oxidizing agent)들 및 질화제(nitriding agent)들이 또한 사용될 수 있다.
[0040] 일부 실시예들에서, 제1 막(20)을 처리하는 것은, 제1 막(20)을 Ar, He, H2, O2, N2O, O3, H2O, NH3, N2, H2O2, 히드라진 또는 히드라진 유도체들 중 하나 또는 그 초과를 포함하는 플라즈마에 노출시키는 것을 포함한다. 일부 실시예들에서, 처리 플라즈마는 증착 플라즈마와 동일하다. 일부 실시예들에서, 처리 플라즈마는 증착 플라즈마와 상이하다.
[0041] 처리 플라즈마는 전도성으로-커플링된 플라즈마(CCP) 또는 유도성으로 커플링된 플라즈마(ICP)일 수 있고, 직접 플라즈마 또는 원격 플라즈마일 수 있다. 일부 실시예들에서, 플라즈마는 대략 0 내지 대략 2000 W의 범위의 전력을 갖는다. 일부 실시예들에서, 최소 플라즈마 전력은 0 W, 10 W, 50 W 또는 100 W보다 더 크다.
[0042] 처리 프로세스(140) 동안의 온도는, 예컨대 사용되는 처리 플라즈마(들)에 따라 임의의 적절한 온도일 수 있다. 일부 실시예들에서, 처리 온도는, 대략 100 ℃ 내지 500 ℃의 범위, 또는 대략 150 ℃ 내지 대략 450 ℃의 범위, 또는 대략 200 ℃ 내지 대략 400 ℃의 범위이다.
[0043] 처리 프로세스(140) 동안의 프로세싱 챔버 압력은 대략 100 mTorr 내지 300 Torr의 범위, 또는 대략 200 mTorr 내지 대략 250 Torr의 범위, 또는 대략 500 mTorr 내지 대략 200 Torr의 범위, 또는 대략 1 Torr 내지 대략 150 Torr의 범위일 수 있다.
[0044] 처리 프로세스(140) 후에, 방법(100)은 결정 포인트(150)에 도달한다. 제2 막(30)의 미리 결정된 두께로 갭이 충전되었다면, 기판은 선택적으로 사후-프로세싱을 위해 160에서 계속된다. 제2 막(30)이 미리 결정된 두께에 도달하지 않았거나 또는 피처(14)를 충전하지 않았다면, 방법은 증착(120), 에칭 프로세스(130) 및 처리 프로세스(140)의 적어도 하나의 추가의 사이클을 위해 증착(120)으로 복귀한다. 도 2e는 증착-에칭-처리 프로세스를 통한 다수의 사이클들 후에 제2 막(30)으로 충전된 피처를 예시한다.
[0045] 일부 실시예들은 선택적인 사후-프로세싱(160) 프로세스를 포함한다. 사후-프로세싱(160)은, 증착된 막 또는 기판을 수정하여 막 또는 기판의 일부 파라미터를 개선하는 데 사용될 수 있다. 일부 실시예들에서, 사후-프로세싱(160)은 막을 어닐링하는 것을 포함한다. 일부 실시예들에서, 사후-프로세싱(160)은 증착(120), 에칭 프로세스(130) 또는 처리 프로세스(140)에 사용되는 것과 동일한 프로세스 챔버 내에서 인-시튜 어닐링(in-situ anneal)에 의해 수행될 수 있다. 적절한 어닐링 프로세스들은, 급속 열적 프로세싱(RTP; rapid thermal processing) 또는 급속 열적 어닐링(RTA; rapid thermal anneal), 스파이크 어닐링(spike anneal), 또는 UV 경화, 또는 e-빔 경화 및/또는 레이저 어닐링을 포함한다(그러나 이에 제한되지 않음). 어닐링 온도는 대략 500 ℃ 내지 900 ℃의 범위일 수 있다. 어닐링 동안의 환경의 조성은 H2, Ar, He, N2, NH3, SiH4 등 중 하나 또는 그 초과를 포함할 수 있다. 어닐링 동안의 압력은 대략 100 mTorr 내지 대략 1 atm의 범위일 수 있다.
[0046] 본 개시내용에 의해 설명되는 방법들 동안의 임의의 시점에서, 기판은 가열되거나 냉각될 수 있다. 그러한 가열 또는 냉각은, 기판 지지부의 온도를 변화시키는 것 및 가열된 또는 냉각된 가스들을 기판 표면으로 유동시키는 것을 포함하는(그러나 이에 제한되지 않음) 임의의 적합한 수단에 의해 달성될 수 있다. 일부 실시예들에서, 기판 지지부는, 기판 온도를 전도성으로 변화시키도록 제어될 수 있는 가열기/냉각기를 포함한다. 하나 또는 그 초과의 실시예들에서, 이용되는 가스들(반응성 가스들 또는 불활성 가스들)은, 기판 온도를 국부적으로 변화시키도록 가열 또는 냉각된다. 일부 실시예들에서, 가열기/냉각기는, 기판 온도를 대류성으로 변화시키기 위해, 챔버 내에서 기판 표면 부근에 포지셔닝된다.
[0047] 기판은 또한, 프로세싱 동안에, 정지되어 있을 수 있거나 또는 회전될 수 있다. 회전되는 기판은, 연속적으로 또는 불연속적인 단계들로 회전될 수 있다. 예컨대, 기판은 전체 프로세스 전반에 걸쳐 회전될 수 있거나, 또는 기판은 상이한 반응성 가스들, 퍼지 가스들, 반응물들 또는 플라즈마들에 대한 노출들 사이에서 소량만큼 회전될 수 있다. 프로세싱 동안에 기판을 (연속적으로 또는 단계들로) 회전시키는 것은, 예컨대, 가스 유동 기하형상들에서의 국부적인 변동성의 영향을 최소화함으로써, 더 균일한 증착, 처리 또는 에칭을 생성하는 것을 도울 수 있다.
[0048] 본 명세서 전반에 걸쳐 "일 실시예", "특정 실시예들", "하나 또는 그 초과의 실시예들" 또는 "실시예"에 대한 언급은, 실시예와 관련하여 설명되는 특정 피처, 구조, 재료, 또는 특징이 본 발명의 적어도 하나의 실시예에 포함된다는 것을 의미한다. 따라서, 본 명세서 전반에 걸쳐 다양한 위치들에서의 "하나 또는 그 초과의 실시예들에서", "일부 실시예들에서", "일 실시예에서" 또는 "실시예에서"와 같은 문구들의 출현들은 반드시 본 발명의 동일한 실시예를 지칭하는 것은 아니다. 더욱이, 특정 피처들, 구조들, 재료들, 또는 특징들은 하나 또는 그 초과의 실시예들에서 임의의 적합한 방식으로 조합될 수 있다.
[0049] 본원의 본 발명이 특정 실시예들을 참조하여 설명되었지만, 이러한 실시예들은 단지 본 발명의 원리들 및 애플리케이션들을 예시하는 것임을 이해해야 한다. 본 발명의 사상 및 범위를 벗어나지 않으면서 본 발명의 방법 및 장치에 대해 다양한 수정들 및 변형들이 이루어질 수 있음이 당업자들에게 자명할 것이다. 따라서, 본 발명은 첨부된 청구항들 및 그 등가물들의 범위 내에 있는 수정들 및 변형들을 포함하는 것으로 의도된다.

Claims (15)

  1. 방법으로서,
    기판 표면을 갖는 기판을 제공하는 단계 ― 상기 기판 표면에는 복수의 피처(feature)들이 형성되어 있고, 각각의 피처는 상기 기판 표면으로부터 일정 거리로 연장되고 그리고 최하부 및 적어도 하나의 측벽을 가짐 ―;
    적어도 하나의 피처에 제1 막을 증착하는 단계 ― 상기 적어도 하나의 피처에 제1 막을 증착하는 단계는, 상기 제1 막이 상기 피처의 최하부 상에 그리고 상기 피처의 측벽들의 상부 부분들 상에만 형성되도록 이루어짐 ―;
    상기 피처의 측벽들로부터 상기 제1 막을 에칭하는 단계; 및
    상기 피처에 제2 막을 형성하기 위해 상기 피처의 최하부의 제1 막을 처리하는 단계를 포함하는,
    방법.
  2. 제1 항에 있어서,
    상기 제1 막은 실리콘을 포함하는,
    방법.
  3. 제2 항에 있어서,
    상기 제2 막은 실리콘 산화물, 실리콘 질화물 또는 실리콘 산질화물 중 하나 또는 그 초과를 포함하는,
    방법.
  4. 제3 항에 있어서,
    상기 제1 막을 증착하는 단계는 상기 기판 표면을 실리콘 전구체 및 반응물에 노출시키는 단계를 포함하는,
    방법.
  5. 제4 항에 있어서,
    상기 실리콘 전구체는 실란, 디실란, 트리실란, 테트라실란, 더 높은 차수의 실란(higher order silane) 또는 디클로로실란 중 하나 또는 그 초과를 포함하는,
    방법.
  6. 제5 항에 있어서,
    상기 반응물은 수소 또는 질소 중 하나 또는 그 초과를 포함하는,
    방법.
  7. 제6 항에 있어서,
    상기 반응물은 플라즈마를 포함하는,
    방법.
  8. 제3 항에 있어서,
    상기 측벽들로부터 상기 제1 막을 에칭하는 단계는 상기 기판을 H2, HCl 또는 Cl2 중 하나 또는 그 초과에 노출시키는 단계를 포함하는,
    방법.
  9. 제8 항에 있어서,
    상기 제1 막을 에칭하는 단계는 플라즈마를 포함하는,
    방법.
  10. 제3 항에 있어서,
    상기 제1 막을 처리하는 단계는, 실리콘 산화물을 포함하는 제2 막을 형성하기 위해 상기 제1 막을 O2, N2O, O3 또는 H2O 중 하나 또는 그 초과에 노출시키는 단계를 포함하는,
    방법.
  11. 제10 항에 있어서,
    상기 제1 막을 처리하는 단계는 상기 제1 막을 플라즈마에 노출시키는 단계를 포함하는,
    방법.
  12. 제3 항에 있어서,
    상기 제1 막을 처리하는 단계는, 실리콘 질화물을 포함하는 제2 막을 형성하기 위해 상기 제1 막을 NH3 또는 N2 중 하나 또는 그 초과에 노출시키는 단계를 포함하는,
    방법.
  13. 제1 항에 있어서,
    증착, 에칭 및 처리 동안의 프로세스 온도는 대략 100 ℃ 내지 대략 500 ℃의 범위인,
    방법.
  14. 제1 항에 있어서,
    상기 피처를 상기 제2 막으로 충전(fill)하기 위해 상기 증착, 에칭 및 처리를 반복하는 단계를 더 포함하는,
    방법.
  15. 제1 항에 있어서,
    상기 제1 막은 에칭 전에 대략 0.01 nm 내지 대략 10 nm의 범위의 두께로 증착되는,
    방법.
KR1020180064979A 2017-06-06 2018-06-05 순차적인 증착-에칭-처리 프로세싱을 사용한 실리콘 산화물 및 실리콘 질화물의 상향식 성장 KR102618370B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020230187256A KR20240000433A (ko) 2017-06-06 2023-12-20 순차적인 증착-에칭-처리 프로세싱을 사용한 실리콘 산화물 및 실리콘 질화물의 상향식 성장

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762516069P 2017-06-06 2017-06-06
US62/516,069 2017-06-06

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020230187256A Division KR20240000433A (ko) 2017-06-06 2023-12-20 순차적인 증착-에칭-처리 프로세싱을 사용한 실리콘 산화물 및 실리콘 질화물의 상향식 성장

Publications (2)

Publication Number Publication Date
KR20180133338A KR20180133338A (ko) 2018-12-14
KR102618370B1 true KR102618370B1 (ko) 2023-12-26

Family

ID=64460594

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020180064979A KR102618370B1 (ko) 2017-06-06 2018-06-05 순차적인 증착-에칭-처리 프로세싱을 사용한 실리콘 산화물 및 실리콘 질화물의 상향식 성장
KR1020230187256A KR20240000433A (ko) 2017-06-06 2023-12-20 순차적인 증착-에칭-처리 프로세싱을 사용한 실리콘 산화물 및 실리콘 질화물의 상향식 성장

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020230187256A KR20240000433A (ko) 2017-06-06 2023-12-20 순차적인 증착-에칭-처리 프로세싱을 사용한 실리콘 산화물 및 실리콘 질화물의 상향식 성장

Country Status (5)

Country Link
US (2) US10626495B2 (ko)
JP (2) JP7203515B2 (ko)
KR (2) KR102618370B1 (ko)
CN (2) CN109003880B (ko)
TW (2) TW202305161A (ko)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170218517A1 (en) * 2016-02-01 2017-08-03 Tokyo Electron Limited Method of forming nitride film
JP6548586B2 (ja) 2016-02-03 2019-07-24 東京エレクトロン株式会社 成膜方法
JP6584347B2 (ja) * 2016-03-02 2019-10-02 東京エレクトロン株式会社 成膜方法
JP6733516B2 (ja) 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
KR20200029015A (ko) * 2017-08-14 2020-03-17 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
WO2020068770A1 (en) * 2018-09-24 2020-04-02 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
TW202117802A (zh) * 2019-07-02 2021-05-01 美商應用材料股份有限公司 固化介電質材料的方法與設備
KR20210050453A (ko) * 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TWI766438B (zh) * 2020-04-28 2022-06-01 台灣積體電路製造股份有限公司 半導體元件的製造方法
US11955370B2 (en) 2020-04-28 2024-04-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture
US11615966B2 (en) 2020-07-19 2023-03-28 Applied Materials, Inc. Flowable film formation and treatments
US11699571B2 (en) 2020-09-08 2023-07-11 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
US11887811B2 (en) 2020-09-08 2024-01-30 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
KR20220102569A (ko) * 2021-01-13 2022-07-20 에이에스엠 아이피 홀딩 비.브이. 갭 충진 유체를 증착하기 위한 방법 그리고 이와 관련된 시스템 및 장치
US11862458B2 (en) 2021-09-08 2024-01-02 Applied Materials, Inc. Directional selective deposition
CN116072528A (zh) * 2021-11-03 2023-05-05 联芯集成电路制造(厦门)有限公司 半导体结构的制造方法
US20240167148A1 (en) * 2022-11-18 2024-05-23 Applied Materials, Inc. Methods of removing metal oxide using cleaning plasma

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008547224A (ja) 2005-06-24 2008-12-25 アプライド マテリアルズ インコーポレイテッド 堆積・エッチングシーケンスを用いたギャップ充填
JP2015179729A (ja) 2014-03-19 2015-10-08 東京エレクトロン株式会社 シリコン酸化膜の形成方法およびその形成装置
JP2017011136A (ja) * 2015-06-23 2017-01-12 東京エレクトロン株式会社 シリコン含有膜の成膜方法及び成膜装置

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4714520A (en) * 1985-07-25 1987-12-22 Advanced Micro Devices, Inc. Method for filling a trench in an integrated circuit structure without producing voids
KR0157875B1 (ko) * 1994-11-03 1999-02-01 문정환 반도체 장치의 제조방법
JPH11219950A (ja) * 1998-02-03 1999-08-10 Hitachi Ltd 半導体集積回路の製造方法並びにその製造装置
US6074954A (en) * 1998-08-31 2000-06-13 Applied Materials, Inc Process for control of the shape of the etch front in the etching of polysilicon
US6265297B1 (en) * 1999-09-01 2001-07-24 Micron Technology, Inc. Ammonia passivation of metal gate electrodes to inhibit oxidation of metal
JP3485081B2 (ja) * 1999-10-28 2004-01-13 株式会社デンソー 半導体基板の製造方法
US6777274B2 (en) * 2000-01-25 2004-08-17 Samsung Electronics Co., Ltd. Low temperature polycrystalline silicon type thin film transistor and a method of the thin film transistor fabrication
US6706634B1 (en) * 2000-09-19 2004-03-16 Infineon Technologies Ag Control of separation between transfer gate and storage node in vertical DRAM
US6518166B1 (en) * 2001-04-23 2003-02-11 Taiwan Semiconductor Manufacturing Company Liquid phase deposition of a silicon oxide layer for use as a liner on the surface of a dual damascene opening in a low dielectric constant layer
US6798038B2 (en) * 2001-09-20 2004-09-28 Kabushiki Kaisha Toshiba Manufacturing method of semiconductor device with filling insulating film into trench
US6908862B2 (en) * 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
US6802944B2 (en) * 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
JP2005011872A (ja) * 2003-06-17 2005-01-13 Sony Corp 半導体装置の製造方法
US7078312B1 (en) * 2003-09-02 2006-07-18 Novellus Systems, Inc. Method for controlling etch process repeatability
JP4534041B2 (ja) * 2005-08-02 2010-09-01 株式会社デンソー 半導体装置の製造方法
US8304322B2 (en) * 2006-04-18 2012-11-06 Micron Technology, Inc. Methods of filling isolation trenches for semiconductor devices and resulting structures
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
CN101388341B (zh) * 2007-09-07 2011-07-27 应用材料股份有限公司 在hdp-cvd沉积/蚀刻/沉积工艺中的杂质控制
US7910491B2 (en) * 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
JP5599350B2 (ja) * 2011-03-29 2014-10-01 東京エレクトロン株式会社 成膜装置及び成膜方法
US8552525B2 (en) * 2011-07-01 2013-10-08 Micron Technology, Inc. Semiconductor structures and devices and methods of forming the same
US9472392B2 (en) * 2015-01-30 2016-10-18 Applied Materials, Inc. Step coverage dielectric
US9852923B2 (en) 2015-04-02 2017-12-26 Applied Materials, Inc. Mask etch for patterning
US9502238B2 (en) * 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
CN114121605A (zh) * 2015-06-26 2022-03-01 应用材料公司 氧化硅膜的选择性沉积
US9847245B1 (en) * 2016-06-16 2017-12-19 Samsung Electronics Co., Ltd. Filling processes
TWI733850B (zh) 2016-07-27 2021-07-21 美商應用材料股份有限公司 使用沉積/蝕刻技術之無接縫溝道填充
US20180047567A1 (en) * 2016-08-09 2018-02-15 Samsung Electronics Co., Ltd. Method of fabricating thin film

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008547224A (ja) 2005-06-24 2008-12-25 アプライド マテリアルズ インコーポレイテッド 堆積・エッチングシーケンスを用いたギャップ充填
JP2015179729A (ja) 2014-03-19 2015-10-08 東京エレクトロン株式会社 シリコン酸化膜の形成方法およびその形成装置
JP2017011136A (ja) * 2015-06-23 2017-01-12 東京エレクトロン株式会社 シリコン含有膜の成膜方法及び成膜装置

Also Published As

Publication number Publication date
KR20180133338A (ko) 2018-12-14
JP7499834B2 (ja) 2024-06-14
JP2019024080A (ja) 2019-02-14
US20180350668A1 (en) 2018-12-06
US11236418B2 (en) 2022-02-01
CN109003880A (zh) 2018-12-14
TW202305161A (zh) 2023-02-01
CN117038433A (zh) 2023-11-10
KR20240000433A (ko) 2024-01-02
US10626495B2 (en) 2020-04-21
TWI780160B (zh) 2022-10-11
JP7203515B2 (ja) 2023-01-13
US20200248303A1 (en) 2020-08-06
CN109003880B (zh) 2023-09-12
JP2023052113A (ja) 2023-04-11
TW201908507A (zh) 2019-03-01

Similar Documents

Publication Publication Date Title
KR102618370B1 (ko) 순차적인 증착-에칭-처리 프로세싱을 사용한 실리콘 산화물 및 실리콘 질화물의 상향식 성장
CN110476239B (zh) 使用反应性退火的间隙填充
US10811303B2 (en) Methods for gapfill in high aspect ratio structures
KR102271729B1 (ko) 고 종횡비 구조들에서의 갭충전을 위한 방법들
US10096514B2 (en) Seamless trench fill using deposition/etch techniques
JP7118511B2 (ja) シリコン間隙充填のための二段階プロセス
KR102270458B1 (ko) 워드라인 저항을 낮추는 방법들
US11170994B1 (en) CD dependent gap fill and conformal films
US20220238331A1 (en) Gapfill process using pulsed high-frequency radio-frequency (hfrf) plasma
US20230340661A1 (en) Gapfill Process Using Pulsed High-Frequency Radio-Frequency (HFRF) Plasma

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant