TW201908507A - 使用依序沉積-蝕刻-處理製程的氧化矽及氮化矽之由下而上的生長 - Google Patents

使用依序沉積-蝕刻-處理製程的氧化矽及氮化矽之由下而上的生長

Info

Publication number
TW201908507A
TW201908507A TW107119251A TW107119251A TW201908507A TW 201908507 A TW201908507 A TW 201908507A TW 107119251 A TW107119251 A TW 107119251A TW 107119251 A TW107119251 A TW 107119251A TW 201908507 A TW201908507 A TW 201908507A
Authority
TW
Taiwan
Prior art keywords
film
feature
silicon
plasma
substrate
Prior art date
Application number
TW107119251A
Other languages
English (en)
Other versions
TWI780160B (zh
Inventor
程睿
亞伯希吉特巴蘇 馬禮克
帕拉米特 曼納
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201908507A publication Critical patent/TW201908507A/zh
Application granted granted Critical
Publication of TWI780160B publication Critical patent/TWI780160B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02565Oxide semiconducting materials not being Group 12/16 materials, e.g. ternary compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76227Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials the dielectric materials being obtained by full chemical transformation of non-dielectric materials, such as polycristalline silicon, metals

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Element Separation (AREA)
  • Weting (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

描述了用於高深寬比特徵的間隙填充的方法。將第一膜沉積在特徵的底部和上部側壁上。從該特徵的該側壁蝕刻該第一膜,並且處理在該特徵的該底壁中的該第一膜以形成第二膜。重複該沉積製程、該蝕刻製程和該處理製程以填充該特徵。

Description

使用依序沉積-蝕刻-處理製程的氧化矽及氮化矽之由下而上的生長
本公開內容大體上涉及用於間隙填充的方法。具體地,本公開內容涉及用於使用依序沉積-蝕刻-處理製程來填充間隙的製程。
間隙填充製程是半導體製造的非常重要的階段。間隙填充製程用於用絕緣材料或導電材料來填充高深寬比間隙(或特徵)。例如,淺溝槽隔離、金屬間介電層、鈍化層、虛設閘極等。隨著元件幾何形狀縮小(例如,臨界尺寸<20 nm)並且熱預算減少,高深寬比空間的無孔隙填充(例如AR>10:1)因常規沉積製程的限制而變得越來越困難。
大多數的沉積方法在結構的頂部區域上比在底部區域上沉積更多材料。製程通常形成蘑菇形狀的膜輪廓。因此,高深寬比結構的頂部部分有時會過早地夾斷,從而在結構的下部部分內留下接縫/孔隙。這個問題在小的特徵中更為普遍。
用於間隙填充的一種方法是高密度電漿化學氣相沉積(HDP CVD)。HDP CVD是用於高深寬比間隙填充的定向(自底向上)CVD製程。該方法在高深寬比結構的底部處比在高深寬比結構的側壁上沉積更多材料。這種情況通過將帶電荷介電質前驅物物質向下導引到間隙的底部來實現。沉積製程的定向方面產生一些高動量帶電荷物質,這些高動量帶電荷物質將底部填料濺射開。所濺射的材料趨於在側壁上再沉積。隨著要填充的間隙的寬度減小並且深寬比增加,因懸垂成形造成的限制會變得越來越嚴重。
用於對高AR特徵進行間隙填充的另一方法是通過使用可流動CVD製程。可流動CVD製程通常需要複雜的沉積-固化-處理加工。因此,本領域中需要可在高深寬比結構中沉積膜的間隙填充方法。
本公開內容的一個或多個實施方式針對加工方法,該加工方法包括提供基板,該基板具有基板表面,基板表面中形成有多個特徵。每個特徵從該基板表面延伸一定距離並且具有底部和至少一個側壁。將第一膜沉積在至少一個特徵中,使得第一膜形成在該特徵的底部上並形成在該特徵的靠近基板表面的側壁上。從該特徵的側壁蝕刻第一膜。處理該特徵的底部中的第一膜以在該特徵中形成第二膜。
本公開內容的另外的實施方式針對間隙填充方法。提供基板,該基板具有基板表面,基板表面中形成有多個特徵。每個特徵從基板表面延伸一定距離並且具有底部和至少一個側壁。將包含矽的第一膜沉積在至少一個特徵中,使得第一膜形成在該特徵的底部上並形成在該特徵的靠近該基板表面的側壁上。從該特徵的側壁蝕刻第一膜。處理該特徵的底部中的第一膜以在該特徵中形成第二膜。第二膜包含氧化矽、氮化矽或氧氮化矽中的一種或多種。
本公開內容的另外的實施方式針對間隙填充方法,該間隙填充方法包括提供基板,該基板具有基板表面,基板表面中形成有多個特徵。每個特徵從基板表面延伸一定距離並且具有底部和至少一個側壁。將該基板暴露於矽前驅物和反應物以在至少一個特徵中沉積包含矽的第一膜,使得第一膜形成在該特徵的底部上並形成在該特徵的靠近基板表面的側壁上。矽前驅物包括甲矽烷、乙矽烷、丙矽烷、丁矽烷、更高價矽烷或二氯矽烷中的一種或多種。反應物包含包括氫或氮中的一種或多種的電漿。第一膜被形成為達在約1 Å至約50 Å的範圍內的深度。將基板暴露於包含包括H2 、HCl或Cl2 中的一種或多種的電漿的蝕刻劑以從該特徵的側壁蝕刻第一膜。處理該特徵的底部中的第一膜以在該特徵中形成第二膜,第二膜包含氧化矽、氮化矽或氧氮化矽中的一種或多種。處理膜包括將基板暴露於包括Ar、He、H2 、O2 、N2 O、O3 、H2 O、NH3 或N2 中的一種或多種的電漿。重複沉積製程、蝕刻製程和處理製程以填充該特徵。
在描述本發明的數個示例性實施方式前,將理解,本發明不限於以下描述中闡述的構造或製程步驟的細節。本發明能夠具有其他實施方式並能夠以各種方式來實踐或實施。
如本文所用的「基板」是指任何基板或在製造製程期間在執行膜加工的基板上形成的材料表面。例如,可執行加工的基板表面包括如下材料,諸如矽、氧化矽、應變矽、絕緣體上矽(SOI)、碳摻雜氧化矽、非晶矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石,以及任何其他材料(諸如金屬、金屬氮化物、金屬合金和其他導電材料),這取決於應用。基板包括但不限於半導體晶圓。基板可暴露於預處理製程以拋光、蝕刻、還原、氧化、羥化、退火、UV固化、E束固化和/或烘烤基板表面。除了直接在基板本身的表面上進行膜加工之外,在本發明中,所公開的膜加工步驟中的任一者也可在基板上形成的下層上執行,如下文更詳細公開地,並且術語「基板表面」意在包括此類如上下文指示的下層。因此,例如,在膜/層或部分膜/層已經沉積在基板表面上的情況下,新沉積的膜/層的暴露表面就變成為基板表面。
本公開內容的實施方式有利地提供了沉積膜(例如,矽)以填充高深寬比特徵的方法。一些實施方式有利地提供了可在群集工具環境中執行的包括迴圈沉積-蝕刻-處理製程的方法。一些實施方式有利地使用電漿增強化學氣相沉積(PECVD)製程來沉積氧化矽(SiO)、氮化矽(SiN)和/或氧氮化矽(SiON)間隙填充膜。本領域的技術人員將認識到,氧化矽的化學式SiO並不意味著特定的化學計量的矽原子和氧原子。相反,SiO、SiN、SiON等的化學式僅表示了在主體膜中發現的元素的身份。元素的特定組分可以變化。
圖1示出了用於沉積膜的方法100的概括圖。圖2A至圖2E使用基板的示意圖示出了圖1的膜沉積的製程。
在階段110,提供基板10用於加工。如就此所用,術語「提供」是指基板10放置到某個位置或環境中以在基板10上沉積一個或多個膜。基板10具有基板表面12,基板表面中形成有多個特徵14。每個特徵14從基板表面12延伸一定距離D。每個特徵具有底部16和至少一個側壁18。如果特徵具有圓柱形狀,那麼存在一個側壁18;然而,以橫截面(像圖2A所示的那樣)所觀察到地,看上去將有兩個側壁。側壁18的數量不限於本公開內容的範圍,並且可使用具有任何數量的側壁的任何形狀的特徵。
特徵14從表面12延伸的距離D可以是任何合適的距離。距離D與特徵14的寬度的比率稱為深寬比。在一些實施方式中,特徵14具有大於或等於約10:1、15:1、20:1、25:1、30:1、35:1、40:1、45:1或50:1的高深寬比。在一些實施方式中,特徵具有小於或等於約9:1、8:1、7:1、6:1、5:1、4:1、3:1或2:1的低深寬比。
一些實施方式的基板10是V-NAND元件的一部分。所示出的特徵14被示出為單一材料中的凹陷,使得底部16和側壁18由相同材料製成。在一些實施方式中,特徵通過交替不同材料的層形成,使得第一材料比第二材料短,從而形成凹陷形狀。在此類型的系統中,特徵的底部由第一材料形成,並且特徵的側壁由較高的第二材料形成。例如,V-NAND結構由交替的氧化矽膜層和氮化矽膜層製成。換句話說,在一些實施方式中,特徵形成在兩個間隔的層(例如,氧化物層)之間,並且特徵的底部是與間隔的層不同的材料。
在一些實施方式中,在表面上形成阻擋層(未示出)。阻擋層可以是任何合適的材料,包括但不限於氮化鈦。阻擋層的厚度可以是任何合適的厚度。在一些實施方式中,阻擋層的厚度在約10 Å至約50 Å的範圍內,或在約20 Å至約45 Å的範圍內,或約30 Å至約40 Å的範圍內。
間隙填充方法100進展到沉積120製程以開始填充特徵14。參考圖2B,將第一膜20沉積在至少一個特徵14中,使得第一膜20形成在底部16和靠近基板表面12的側壁18上。如以此方式所用,術語「靠近基板表面」是指第一膜20可形成在基板表面12上並形成在特徵14的側壁18的上部部分上。第一膜20在特徵的側壁18上形成的深度可以是任何合適的深度,並且可以隨製程條件和膜組分而變化。一般地,第一膜20靠近特徵的頂部鄰近基板表面12形成蘑菇形狀,如圖2B所示。
第一膜20可以是任何合適的材料。在一些實施方式中,第一膜20包含矽。在一些實施方式中,第一膜20基本上由矽組成。如以此方式所用,術語「基本上由矽組成」是指膜組分是以原子計大於或等於約95%、98%或99%的矽。
在一些實施方式中,在基板10上沉積第一膜20包括將基板10暴露於矽前驅物和反應物。在一些實施方式中,矽前驅物包括至少一種具有以下化學式的物質。在一些實施方式中,矽前驅物包括甲矽烷、乙矽烷、丙矽烷、丁矽烷、更高價矽烷或二氯矽烷中的一種或多種。更高價矽烷被定義為具有經驗式Sin H2n+2 的矽烷化合物,其中n大於或等於5。在一些實施方式中,矽前驅物基本上由SiH4 組成。在一些實施方式中,矽前驅物基本上由Si2 H6 組成。在一些實施方式中,矽前驅物基本上由二氯矽烷SiH2 Cl2 組成。如以此方式所用,術語「基本上由…組成」是指矽前驅物以摩爾計大於或等於該物質的約95%、98%或99%。
在一些實施方式中,矽前驅物包括鹵化矽物質,其中鹵原子包含F、Cl、Br和I中的一種或多種。在一些實施方式中,鹵化矽大體上不包含氟原子。如以此方式所用,術語「大體上不包含氟原子」是指鹵素物質的組分是以原子計小於或等於約95%、98%或99%的氟。矽前驅物可與惰性氣體、稀釋氣體或載體氣體共流。在一些實施方式中,矽前驅物與氬或氦中的一種或多種共流。
在一些實施方式中,反應物包括Ar、He、H2 或N2 中的一種或多種。反應物和矽前驅物可在化學氣相沉積(CVD)製程中共流到加工腔室中。在一些實施方式中,在原子層沉積(ALD)製程中,反應物和矽前驅物依序地暴露於基板表面而不在氣相中進行混合、混成。
在一些實施方式中,沉積反應物包括沉積電漿,沉積電漿在電漿增強CVD製程中與矽前驅物同時地暴露於基板,或在電漿增強ALD製程中與矽前驅物依序地暴露於基板。
在一些實施方式中,沉積電漿包括Ar、He、H2 或N2 中的一種或多種。在一些實施方式中,沉積電漿基本上由Ar組成。在一些實施方式中,沉積電漿基本上由He組成。在一些實施方式中,沉積電漿基本上由H2 組成。在一些實施方式中,沉積電漿基本上由N2 組成。如以此方式所用,術語「基本上由…組成」是指沉積電漿以原子計大於或等於該物質的約95%、98%或99%。
沉積電漿可以是導電耦合電漿(CCP)或電感耦合電漿(ICP),並且可以是直接電漿或遠端電漿。在一些實施方式中,沉積電漿具有在約0 W至約2000 W的範圍內的功率。在一些實施方式中,最小電漿功率大於0 W、10 W、50 W或100 W。
在沉積120期間的溫度可以是任何合適的溫度,這取決於例如所使用的前驅物和/或沉積電漿。在一些實施方式中,沉積溫度在約100℃至500℃的範圍內,或在約150℃至約450℃的範圍內,或在約200℃至約400℃的範圍內。
在沉積120期間的加工腔室壓力可在約100 mTorr至300 Torr的範圍內,或在約200 mTorr至約250 Torr的範圍內,或在約500 mTorr至約200 Torr的範圍內,或在約1 Torr至約150 Torr的範圍內。
在進展到蝕刻製程130之前,沉積的膜可以是任何合適的厚度。在一些實施方式中,沉積的第一膜20的厚度在約0.1 Å至約100 Å的範圍內,或在約1 Å至約50 Å的範圍內。在一些實施方式中,在進展到處理製程之前,沉積的第一膜20的厚度大於或等於約5 Å、10 Å、15 Å、20 Å或25 Å。在一些實施方式中,在進展到處理製程之前,沉積的第一膜20的厚度小於或等於約100 Å、90 Å、80 Å、70 Å、60 Å或50 Å。
在沉積120之後,第一膜20經受蝕刻製程130。參考圖2C,從特徵14的側壁18蝕刻第一膜20。第一膜20保留在特徵14的底部16中。保留在特徵14的底部16中的第一膜20的量可基於例如蝕刻製程條件和第一膜20的組分而變化。在一些實施方式中,大於或等於約10%、20%、30%、40%、50%、60%、70%、80%或90%的沉積的第一膜20在蝕刻製程130之後得以保留。
在一些實施方式中,從側壁蝕刻第一膜20包括將基板暴露於H2 、HCl或Cl2 中的一種或多種。在一些實施方式中,第一膜20被蝕刻以從側壁移除大體上所有的第一膜20,並且在特徵的底部上留下矽膜中的至少一些。如以此方式所用,術語「大體上所有的」是指大於或等於約95%、98%或99%的沉積在基板表面12上的第一膜被移除。
在一些實施方式中,用熱蝕刻製程來蝕刻第一膜20。在一些實施方式中,用包含H2 的蝕刻劑來執行熱蝕刻製程。在一些實施方式中,在熱蝕刻製程期間,惰性氣體與蝕刻劑共流。
在一些實施方式中,用電漿蝕刻製程來蝕刻第一膜20。電漿蝕刻製程中使用的電漿稱為蝕刻電漿。在一些實施方式中,蝕刻電漿包括H2 、HCl、Cl2 或NF3 中的一種或多種。在一些實施方式中,蝕刻電漿基本上由H2 組成。在一些實施方式中,蝕刻電漿基本上由HCl組成。在一些實施方式中,蝕刻電漿基本上由Cl2 組成。在一些實施方式中,蝕刻電漿基本上由NF3 組成。如以此方式所用,術語「基本上由…組成」是指蝕刻電漿以原子計大於或等於該物質的約95%、98%或99%。在一些實施方式中,在電漿蝕刻製程期間,惰性氣體與蝕刻電漿共流。
蝕刻電漿可以是導電耦合電漿(CCP)或電感耦合電漿(ICP),並且可以是直接電漿或遠端電漿。在一些實施方式中,電漿具有在約0 W至約2000 W的範圍內的。在一些實施方式中,最小電漿大於0 W、10 W、50 W或100 W。
在蝕刻製程130期間的溫度可以是任何合適的溫度,這取決於例如所使用的蝕刻製程、蝕刻劑和/或蝕刻電漿。在一些實施方式中,蝕刻溫度在約100℃至500℃的範圍內,或在約150℃至約450℃的範圍內,或在約200℃至約400℃的範圍內。
在蝕刻製程130期間的加工腔室壓力可在約100 mTorr至300 Torr的範圍內,或在約200 mTorr至約250 Torr的範圍內,或在約500 mTorr至約200 Torr的範圍內,或在約1 Torr至約150 Torr的範圍內。
在蝕刻製程130之後,第一膜20經受處理製程140。處理第一膜20在特徵14中形成第二膜30。在一些實施方式中,第二膜30是第一膜20的氧化物、氮化物、硼化物、碳化物或它們的組合。例如,在一些實施方式中,第一膜20包含矽或基本上由矽組成,並且第二膜包含氧化矽、氮化矽或氧氮化矽中的一種或多種。在一些實施方式中,第二膜基本上由氮化矽組成。在一些實施方式中,第二膜30基本上由氧化矽組成。在一些實施方式中,第二膜30基本上由氧氮化矽組成。在一些實施方式中,第二膜30基本上由具有硼、碳、氧或氮原子中的一個或多個的矽組成。如以此方式所用,術語「基本上由…組成」是指第二膜的組分具有以原子計大於或等於膜的總組分的約95%、98%或99%的該元素的總和。
在一些實施方式中,處理第一膜20包括將第一膜20暴露於Ar、He、H2 、O2 、N2 O、O3 或H2 O中的一種或多種以形成包含氧化矽的第二膜30。在一些實施方式中,處理第一膜20包括將第一膜20暴露於Ar、He、H2 、NH3 、N2 中的一種或多種以形成包含氮化矽的第二膜。也可使用其他氧化劑和氮化劑,包括但不限於H2 O2 、肼、肼衍生物和它們的組合。
在一些實施方式中,處理第一膜20包括將第一膜20暴露於包含Ar、He、H2 、O2 、N2 O、O3 、H2 O、NH3 、N2 、H2 O2 、肼或肼衍生物中的一種或多種的電漿。在一些實施方式中,處理電漿與沉積電漿相同。在一些實施方式中,處理電漿與沉積電漿不同。
處理電漿可以是導電耦合電漿(CCP)或電感耦合電漿(ICP),並且可以是直接電漿或遠端電漿。在一些實施方式中,電漿具有在約0 W至約2000 W的範圍內的功率。在一些實施方式中,最小電漿功率大於0 W、10 W、50 W或100 W。
在處理製程140期間的溫度可以是任何合適的溫度,這取決於例如所使用的處理電漿。在一些實施方式中,處理溫度在約100℃至500℃的範圍內,或在約150℃至約450℃的範圍內,或在約200℃至約400℃的範圍內。
在處理製程140期間的加工腔室壓力可在約100 mTorr至300 Torr的範圍內,或在約200 mTorr至約250 Torr的範圍內,或在約500 mTorr至約200 Torr的範圍內,或在約1 Torr至約150 Torr的範圍內。
在處理製程140之後,方法100到達判定點150。如果間隙已填充有預定厚度的第二膜30,那麼基板可選地在160處繼續進行後加工。如果第二膜30沒有達到預定厚度或沒有填充特徵14,那麼方法返回到沉積120,進行至少一個附加的沉積120、蝕刻製程130和處理製程140的迴圈。圖2E示出了在通過沉積-蝕刻-處理製程的多個迴圈之後已經用第二膜30填充的特徵。
一些實施方式包括可選的後加工160製程。後加工160可用於改型沉積的膜或基板以改善膜或基板的某些參數。在一些實施方式中,後加工160包括對膜退火。在一些實施方式中,後加工160可通過在用於沉積120、蝕刻製程130或處理製程140的相同製程腔室中進行原位退火來執行。合適的退火製程包括但不限於快速熱加工(RTP)或快速熱退火(RTA)、尖峰退火或UV固化,或E束固化和/或鐳射退火。退火溫度可在約500℃至900℃的範圍內。在退火期間的環境的組分可以包括H2 、Ar、He、N2 、NH3 、SiH4 等中的一種或多種。在退火期間的壓力可在約100 mTorr至約1 atm的範圍內。
在本公開內容描述的方法期間的任何點上,基板都可被加熱或冷卻。此加熱或冷卻可通過任何合適的手段來完成,包括但不限於改變基板支撐件的溫度和使加熱氣體或冷卻氣體流動到基板表面。在一些實施方式中,基板支撐件包括加熱器/冷卻器,加熱器/冷卻器可被控制來以傳導方式改變基板溫度。在一個或多個實施方式中,所採用的氣體(反應氣體或惰性氣體)被加熱或冷卻以使基板溫度局部改變。在一些實施方式中,加熱器/冷卻器鄰近基板表面定位在腔室內,從而以對流方式改變基板溫度。
基板也可在加工期間靜止或旋轉。旋轉的基板可連續地或以分立步驟旋轉。例如,基板可以在整個製程中一直旋轉,或基板可在暴露於不同的反應氣體、淨化氣體、反應物或電漿的操作之間小幅旋轉。在加工期間旋轉基板(連續或逐步地)可有助於通過使例如氣流幾何形狀的局部變化的影響最小化來產生更均勻的沉積、處理或蝕刻。
在本說明書全文中提到「一個實施方式」、「某些實施方式」、「一個或多個實施方式」或「實施方式」是指結合實施方式而描述的特定特徵、結構、材料或特性包括在本發明的至少一個實施方式中。因此,本說明書全文各處出現諸如「在一個或多個實施方式中」、「在某些實施方式中」、「在一個實施方式中」或「在實施方式中」之類的短語不一定指本發明的同一實施方式。此外,特定的特徵、結構、材料或特性可以任何合適的方式結合在一個或多個實施方式中。
雖然本發明在本文中已參考特定的實施方式來進行了描述,但將理解,這些實施方式僅說明了本發明的原理和應用。本領域的技術人員將清楚,在不背離本發明的精神和範圍的情況下,可對本發明的方法和設備做出各種的修改和變化。因此,本發明意在包括在所附的申請專利範圍和它們的等效物的範圍內的修改和變化。
100‧‧‧方法
110-160‧‧‧階段
10‧‧‧基板
12‧‧‧基板表面
14‧‧‧特徵
16‧‧‧底部
18‧‧‧側壁
20‧‧‧第一膜
30‧‧‧第二膜
為了可詳細地理解本發明的上述特徵,在上文簡要概述的本發明可參考實施方式來作更具體的描述,實施方式中的一些示出在附圖中。然而,應當注意,附圖僅示出了本發明的典型實施方式,並且因此不應視為限制本發明的範圍,因為本發明可允許其他等效實施方式。
圖1示出根據本公開內容的一個或多個實施方式的製程流程;和
圖2A至圖2E示出了在圖1的製程流程期間的基板的示意性表示。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無

Claims (20)

  1. 一種方法,包含以下步驟: 提供一基板,該基板具有一基板表面,該基板表面具有形成在其中的多個特徵,每個特徵從該基板表面延伸一定距離並且具有一底部和至少一個側壁;將一第一膜沉積在該至少一個特徵中,使得該第一膜形成在該特徵的該底部上並形成在該特徵的靠近該基板表面的該側壁上;從該特徵的該側壁蝕刻該第一膜;和處理該特徵的該底部中的該第一膜以在該特徵中形成一第二膜。
  2. 如請求項1所述的方法,其中該第一膜包含矽。
  3. 如請求項2所述的方法,其中該第二膜包含氧化矽、氮化矽或氧氮化矽中的一種或多種。
  4. 如請求項3所述的方法,其中沉積該第一膜之步驟包含以下步驟:將該基板表面暴露於一矽前驅物和一反應物。
  5. 如請求項4所述的方法,其中該矽前驅物包括甲矽烷、乙矽烷、丙矽烷、丁矽烷、更高價矽烷或二氯矽烷中的一種或多種。
  6. 如請求項5所述的方法,其中該反應物包括氫或氮中的一種或多種。
  7. 如請求項6所述的方法,其中該反應物包含一電漿。
  8. 如請求項3所述的方法,其中從該側壁蝕刻該第一膜之步驟包含以下步驟:將該基板暴露於H2 、HCl或Cl2 中的一種或多種。
  9. 如請求項8所述的方法,其中蝕刻該第一膜包括一電漿。
  10. 如請求項3所述的方法,其中處理該第一膜之步驟包含以下步驟:將該第一膜暴露於Ar、He、H2 、O2 、N2 O、O3 或H2 O中的一種或多種以形成包含氧化矽的一第二膜。
  11. 如請求項10所述的方法,其中處理該第一膜之步驟包含以下步驟:將該第一膜暴露於一電漿。
  12. 如請求項3所述的方法,其中處理該第一膜之步驟包含以下步驟:將該第一膜暴露於Ar、He、H2 、NH3 、N2 中的一種或多種以形成包含氮化矽的一第二膜。
  13. 如請求項12所述的方法,其中處理該第一膜之步驟包含以下步驟:將該第一膜暴露於一電漿。
  14. 如請求項1所述的方法,其中在沉積、蝕刻和處理期間的一製程溫度在約100℃至約500℃的範圍內。
  15. 如請求項1所述的方法,還包含以下步驟:重複該沉積、蝕刻和處理以用該第二膜來填充該特徵。
  16. 如請求項1所述的方法,其中在蝕刻之前將該第一膜沉積達在約0.01 nm至約10 nm的範圍內的一厚度。
  17. 一種方法,包含以下步驟: 提供一基板,該基板具有一基板表面,該基板表面具有形成在其中的多個特徵,每個特徵從該基板表面延伸一定距離並且具有一底部和至少一個側壁; 將包含矽的一第一膜沉積在該至少一個特徵中,使得該第一膜形成在該特徵的該底部上並形成在該特徵的靠近該基板表面的該側壁上; 從該特徵的該側壁蝕刻該第一膜;和 處理該特徵的該底部中的該第一膜以在該特徵中形成一第二膜,該第二膜包含氧化矽、氮化矽或氧氮化矽中的一種或多種。
  18. 如請求項17所述的方法,其中沉積該第一膜之步驟包含以下步驟:將該基板表面暴露於一矽前驅物和一反應物,該矽前驅物包括甲矽烷、乙矽烷、丙矽烷、丁矽烷、更高價矽烷或二氯矽烷中的一種或多種,該反應物包含包括氫或氮中的一種或多種的一電漿,從該側壁蝕刻該第一膜之步驟包含以下步驟:將該基板暴露於包括H2 、HCl或Cl2 中的一種或多種的一電漿,並且處理該第一膜之步驟包含以下步驟:將該第一膜暴露於包括Ar、He、H2 、O2 、N2 O、O3 或H2 O中的一種或多種的一電漿以形成包含氧化矽的一第二膜或將該第一膜暴露於包括Ar、He、H2 、NH3 、N2 中的一種或多種的一電漿以形成包含氮化矽的一第二膜。
  19. 如請求項18所述的方法,其中在沉積、蝕刻和處理期間的一製程溫度在約100℃至約500℃的範圍內。
  20. 一種方法,包含以下步驟: (a) 提供一基板,該基板具有一基板表面,該基板表面具有形成在其中的多個特徵,每個特徵從該基板表面延伸一定距離並且具有一底部和至少一個側壁; (b) 將該基板暴露於一矽前驅物和一反應物以在該至少一個特徵中沉積包含矽的一第一膜,使得該第一膜形成在該特徵的該底部上並形成在該特徵的靠近該基板表面的該側壁上,該矽前驅物包括甲矽烷、乙矽烷、丙矽烷、丁矽烷、更高價矽烷或二氯矽烷中的一種或多種,該反應物包含包括氫或氮中的一種或多種的一電漿,該第一膜被形成為達在約1 Å至約50 Å的範圍內的一深度; (c) 將該基板暴露於包含包括H2 、HCl或Cl2 中的一種或多種的一電漿的一蝕刻劑以從該特徵的該側壁蝕刻該第一膜; (d) 處理該特徵的該底部中的該第一膜以在該特徵中形成一第二膜,該第二膜包含氧化矽、氮化矽或氧氮化矽中的一種或多種,處理該膜包括將該基板暴露於包括Ar、He、H2 、O2 、N2 O、O3 或H2 O、NH3 、N2 中的一種或多種的一電漿;和 (e) 重複(b)至(d)以填充該特徵。
TW107119251A 2017-06-06 2018-06-05 使用依序沉積-蝕刻-處理製程的氧化矽及氮化矽之由下而上的生長 TWI780160B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762516069P 2017-06-06 2017-06-06
US62/516,069 2017-06-06

Publications (2)

Publication Number Publication Date
TW201908507A true TW201908507A (zh) 2019-03-01
TWI780160B TWI780160B (zh) 2022-10-11

Family

ID=64460594

Family Applications (2)

Application Number Title Priority Date Filing Date
TW111136642A TW202305161A (zh) 2017-06-06 2018-06-05 使用依序沉積-蝕刻-處理製程的氧化矽及氮化矽之由下而上的生長
TW107119251A TWI780160B (zh) 2017-06-06 2018-06-05 使用依序沉積-蝕刻-處理製程的氧化矽及氮化矽之由下而上的生長

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW111136642A TW202305161A (zh) 2017-06-06 2018-06-05 使用依序沉積-蝕刻-處理製程的氧化矽及氮化矽之由下而上的生長

Country Status (5)

Country Link
US (2) US10626495B2 (zh)
JP (2) JP7203515B2 (zh)
KR (2) KR102618370B1 (zh)
CN (2) CN109003880B (zh)
TW (2) TW202305161A (zh)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170218517A1 (en) * 2016-02-01 2017-08-03 Tokyo Electron Limited Method of forming nitride film
JP6548586B2 (ja) 2016-02-03 2019-07-24 東京エレクトロン株式会社 成膜方法
JP6584347B2 (ja) * 2016-03-02 2019-10-02 東京エレクトロン株式会社 成膜方法
JP6733516B2 (ja) 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
KR20200029015A (ko) * 2017-08-14 2020-03-17 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
WO2020068770A1 (en) * 2018-09-24 2020-04-02 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
TW202117802A (zh) * 2019-07-02 2021-05-01 美商應用材料股份有限公司 固化介電質材料的方法與設備
KR20210050453A (ko) * 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TWI766438B (zh) * 2020-04-28 2022-06-01 台灣積體電路製造股份有限公司 半導體元件的製造方法
US11955370B2 (en) 2020-04-28 2024-04-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture
US11615966B2 (en) 2020-07-19 2023-03-28 Applied Materials, Inc. Flowable film formation and treatments
US11699571B2 (en) 2020-09-08 2023-07-11 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
US11887811B2 (en) 2020-09-08 2024-01-30 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
KR20220102569A (ko) * 2021-01-13 2022-07-20 에이에스엠 아이피 홀딩 비.브이. 갭 충진 유체를 증착하기 위한 방법 그리고 이와 관련된 시스템 및 장치
US11862458B2 (en) 2021-09-08 2024-01-02 Applied Materials, Inc. Directional selective deposition
CN116072528A (zh) * 2021-11-03 2023-05-05 联芯集成电路制造(厦门)有限公司 半导体结构的制造方法
US20240167148A1 (en) * 2022-11-18 2024-05-23 Applied Materials, Inc. Methods of removing metal oxide using cleaning plasma

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4714520A (en) * 1985-07-25 1987-12-22 Advanced Micro Devices, Inc. Method for filling a trench in an integrated circuit structure without producing voids
KR0157875B1 (ko) * 1994-11-03 1999-02-01 문정환 반도체 장치의 제조방법
JPH11219950A (ja) * 1998-02-03 1999-08-10 Hitachi Ltd 半導体集積回路の製造方法並びにその製造装置
US6074954A (en) * 1998-08-31 2000-06-13 Applied Materials, Inc Process for control of the shape of the etch front in the etching of polysilicon
US6265297B1 (en) * 1999-09-01 2001-07-24 Micron Technology, Inc. Ammonia passivation of metal gate electrodes to inhibit oxidation of metal
JP3485081B2 (ja) * 1999-10-28 2004-01-13 株式会社デンソー 半導体基板の製造方法
US6777274B2 (en) * 2000-01-25 2004-08-17 Samsung Electronics Co., Ltd. Low temperature polycrystalline silicon type thin film transistor and a method of the thin film transistor fabrication
US6706634B1 (en) * 2000-09-19 2004-03-16 Infineon Technologies Ag Control of separation between transfer gate and storage node in vertical DRAM
US6518166B1 (en) * 2001-04-23 2003-02-11 Taiwan Semiconductor Manufacturing Company Liquid phase deposition of a silicon oxide layer for use as a liner on the surface of a dual damascene opening in a low dielectric constant layer
US6798038B2 (en) * 2001-09-20 2004-09-28 Kabushiki Kaisha Toshiba Manufacturing method of semiconductor device with filling insulating film into trench
US6908862B2 (en) * 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
US6802944B2 (en) * 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
JP2005011872A (ja) * 2003-06-17 2005-01-13 Sony Corp 半導体装置の製造方法
US7078312B1 (en) * 2003-09-02 2006-07-18 Novellus Systems, Inc. Method for controlling etch process repeatability
US7329586B2 (en) * 2005-06-24 2008-02-12 Applied Materials, Inc. Gapfill using deposition-etch sequence
JP4534041B2 (ja) * 2005-08-02 2010-09-01 株式会社デンソー 半導体装置の製造方法
US8304322B2 (en) * 2006-04-18 2012-11-06 Micron Technology, Inc. Methods of filling isolation trenches for semiconductor devices and resulting structures
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
CN101388341B (zh) * 2007-09-07 2011-07-27 应用材料股份有限公司 在hdp-cvd沉积/蚀刻/沉积工艺中的杂质控制
US7910491B2 (en) * 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
JP5599350B2 (ja) * 2011-03-29 2014-10-01 東京エレクトロン株式会社 成膜装置及び成膜方法
US8552525B2 (en) * 2011-07-01 2013-10-08 Micron Technology, Inc. Semiconductor structures and devices and methods of forming the same
JP2015179729A (ja) * 2014-03-19 2015-10-08 東京エレクトロン株式会社 シリコン酸化膜の形成方法およびその形成装置
US9472392B2 (en) * 2015-01-30 2016-10-18 Applied Materials, Inc. Step coverage dielectric
US9852923B2 (en) 2015-04-02 2017-12-26 Applied Materials, Inc. Mask etch for patterning
US9502238B2 (en) * 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
JP6468955B2 (ja) * 2015-06-23 2019-02-13 東京エレクトロン株式会社 シリコン含有膜の成膜方法及び成膜装置
CN114121605A (zh) * 2015-06-26 2022-03-01 应用材料公司 氧化硅膜的选择性沉积
US9847245B1 (en) * 2016-06-16 2017-12-19 Samsung Electronics Co., Ltd. Filling processes
TWI733850B (zh) 2016-07-27 2021-07-21 美商應用材料股份有限公司 使用沉積/蝕刻技術之無接縫溝道填充
US20180047567A1 (en) * 2016-08-09 2018-02-15 Samsung Electronics Co., Ltd. Method of fabricating thin film

Also Published As

Publication number Publication date
KR20180133338A (ko) 2018-12-14
JP7499834B2 (ja) 2024-06-14
KR102618370B1 (ko) 2023-12-26
JP2019024080A (ja) 2019-02-14
US20180350668A1 (en) 2018-12-06
US11236418B2 (en) 2022-02-01
CN109003880A (zh) 2018-12-14
TW202305161A (zh) 2023-02-01
CN117038433A (zh) 2023-11-10
KR20240000433A (ko) 2024-01-02
US10626495B2 (en) 2020-04-21
TWI780160B (zh) 2022-10-11
JP7203515B2 (ja) 2023-01-13
US20200248303A1 (en) 2020-08-06
CN109003880B (zh) 2023-09-12
JP2023052113A (ja) 2023-04-11

Similar Documents

Publication Publication Date Title
TWI780160B (zh) 使用依序沉積-蝕刻-處理製程的氧化矽及氮化矽之由下而上的生長
TWI680535B (zh) 金屬及含金屬化合物之氧化體積膨脹
CN110476239B (zh) 使用反应性退火的间隙填充
CN107533962B (zh) 经由原子层沉积(ald)循环选择性沉积金属硅化物的方法
TWI744522B (zh) 高深寬比結構中的間隙填充的方法
TWI733850B (zh) 使用沉積/蝕刻技術之無接縫溝道填充
TW201824453A (zh) 形成自對準介層窗之方法
JP7118511B2 (ja) シリコン間隙充填のための二段階プロセス
TWI723282B (zh) 藉由矽化法之含金屬薄膜體積膨脹
JP6946463B2 (ja) ワードライン抵抗を低下させる方法
JP2024504165A (ja) パルス高周波無線周波数(hfrf)プラズマを使用した間隙充填処理
US20230340661A1 (en) Gapfill Process Using Pulsed High-Frequency Radio-Frequency (HFRF) Plasma
TWI780922B (zh) 形成鎢支柱的方法
JP2023065305A (ja) 成膜方法及び成膜システム

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent