JP2008288560A - 半導体装置及びその製造方法 - Google Patents

半導体装置及びその製造方法 Download PDF

Info

Publication number
JP2008288560A
JP2008288560A JP2008060164A JP2008060164A JP2008288560A JP 2008288560 A JP2008288560 A JP 2008288560A JP 2008060164 A JP2008060164 A JP 2008060164A JP 2008060164 A JP2008060164 A JP 2008060164A JP 2008288560 A JP2008288560 A JP 2008288560A
Authority
JP
Japan
Prior art keywords
gate electrode
insulating film
forming
offset spacer
silicon nitride
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2008060164A
Other languages
English (en)
Other versions
JP2008288560A5 (ja
JP5282419B2 (ja
Inventor
Yoshiaki Kikuchi
善明 菊池
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP2008060164A priority Critical patent/JP5282419B2/ja
Priority to TW097112894A priority patent/TWI446522B/zh
Priority to US12/101,568 priority patent/US8350335B2/en
Priority to KR1020080035540A priority patent/KR101457006B1/ko
Priority to CN2008102103134A priority patent/CN101335300B/zh
Publication of JP2008288560A publication Critical patent/JP2008288560A/ja
Publication of JP2008288560A5 publication Critical patent/JP2008288560A5/ja
Priority to US12/817,949 priority patent/US20100255650A1/en
Application granted granted Critical
Publication of JP5282419B2 publication Critical patent/JP5282419B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

【課題】ダマシンゲートプロセスを用いて特性の高いMOSトランジスタを形成半導体装置及びその製造方法を提供する。
【解決手段】チャネル形成領域を有する半導体基板10上に形成された絶縁膜Iにゲート電極用溝Aが形成され、ゲート電極用溝の底部にゲート絶縁膜21が形成され、ゲート絶縁膜の上層においてゲート電極用溝に埋め込まれてゲート電極22が形成され、絶縁膜の一部としてゲート電極用溝の側壁を構成し、酸化シリコンまたはホウ素含有窒化シリコンからなるオフセットスペーサ15が形成され、さらに絶縁膜の一部としてゲート電極から遠い側のオフセットスペーサの両側部にサイドウォールスペーサ17aが形成され、オフセットスペーサ及びサイドウォールスペーサの下部における半導体基板においてエクステンション領域16を有するソース・ドレイン領域18が形成されている構成とする。
【選択図】図1

Description

本発明は半導体装置及びその製造方法に関し、特に、電界効果トランジスタを有する半導体装置及びその製造方法に関するものである。
半導体装置の製造方法において、配線の形成方法としてダマシン(Damascene)プロセスが知られている。
ダマシンプロセスにおいては、例えば、基板の絶縁膜にゲート電極用溝を形成し、ゲート電極用溝の内部を埋めこんで導電性材料を堆積させ、CMP(化学機械研磨)処理などを行い、ゲート電極用溝内に導電性材料を残して外部の導電性材料を除去することにより、配線とする。
ところで、半導体装置の基本的な素子であるMOSFET(金属―酸化膜―半導体電界効果トランジスタ;以下MOSトランジスタと称する)は、半導体装置の小型化及び高集積化を進めるにつれてますます微細化されてきており、スケーリングに従ってゲート長とともにゲート絶縁膜も薄膜化しなければならない。
ゲート絶縁膜として用いられるSiON系絶縁膜は、32nmルール以降の世代ではリークが大きくなってしまうのでゲート絶縁膜として用いることは難しい。
そこで、ゲート絶縁膜材料として、物理膜厚を厚くできる高誘電率膜(いわゆるHigh−k膜)を用いる方法が検討されている。
一般に、High−k膜は耐熱性が弱いため、高温処理が必要なソース・ドレイン領域の拡散熱処理の後でゲート絶縁膜を形成する必要がある。
上記の手順を可能にする方法として、上記のダマシンプロセスを用いて、MOSトランジスタのゲート電極を形成するダマシンゲートプロセスが知られている。
特許文献1には、上記のダマシンゲートプロセスを用いて、エクステンション領域が設けられたソース・ドレイン領域を有するMOSトランジスタを形成する方法が開示されている。
上記の方法では、例えば、半導体基板の活性領域にダミーゲート絶縁膜とダミーゲート電極を形成し、ダミーゲート絶縁膜の両側部における基板上に窒化シリコンからなるオフセットスペーサを形成し、ダミーゲート電極とオフセットスペーサをマスクとして半導体基板にイオン注入して、エクステンション領域を形成する。
次に、オフセットスペーサの両側部における基板上にサイドウォールスペーサを形成し、ダミーゲート電極、オフセットスペーサ及びサイドウォールスペーサをマスクとして半導体基板にイオン注入して、ソース・ドレイン領域を形成する。
以上のようにして、エクステンション領域が設けられたソース・ドレイン領域を形成することができる。
次に、ダミーゲート電極を被覆して全面に層間絶縁膜を形成し、ダミーゲート電極の表面が露出するまで上面から研磨し、エッチング処理によりダミーゲート電極及びダミーゲート絶縁膜を除去して、ゲート電極用溝を形成する。
次に、ゲート電極用溝の底部にゲート絶縁膜を形成し、その上層においてゲート電極用溝を埋め込んでゲート電極を形成する。
以上のようにして、ダマシンゲートプロセスを用いてMOSトランジスタを形成することができる。
上記のゲート電極用溝を形成するためのダミーゲート絶縁膜の除去は、基板にダメージを与えないためにはウェットエッチングである必要があり、ウェットエッチングでオフセットスペーサが除去されないように、特許文献1ではオフセットスペーサを窒化シリコンで形成している。
しかし、上記のウェットエッチングでオフセットスペーサの除去は防止できるが、窒化シリコンは酸化シリコンよりも誘電率が高いことからゲート電極とソース・ドレイン間の寄生容量が大きくなってしまい、こちらの場合もMOSトランジスタの特性の劣化を引き起こすことになる。
特開2005−303256号公報
解決しようとする課題は、ダマシンゲートプロセスを用いてMOSトランジスタを形成する際に、特性の高いトランジスタを形成することが困難であることである。
本発明の半導体装置は、チャネル形成領域を有する半導体基板と、前記半導体基板上に形成された絶縁膜と、前記絶縁膜に形成されたゲート電極用溝と、前記ゲート電極用溝の底部に形成されたゲート絶縁膜と、前記ゲート絶縁膜の上層において前記ゲート電極用溝に埋め込まれて形成されたゲート電極と、前記絶縁膜の一部として前記ゲート電極用溝の側壁を構成し、酸化シリコンまたはホウ素含有窒化シリコンからなるオフセットスペーサと、前記絶縁膜の一部として前記ゲート電極から遠い側の前記オフセットスペーサの両側部に形成されたサイドウォールスペーサと、少なくとも前記オフセットスペーサ及び前記サイドウォールスペーサの下部における前記半導体基板において形成されたエクステンション領域を有するソース・ドレイン領域とを有し、電界効果トランジスタが構成されていることを特徴とする。
上記の本発明の半導体装置は、チャネル形成領域を有する半導体基板上に絶縁膜が形成され、絶縁膜にゲート電極用溝が形成され、ゲート電極用溝の底部にゲート絶縁膜が形成され、ゲート絶縁膜の上層においてゲート電極用溝に埋め込まれてゲート電極が形成されている。
また、絶縁膜の一部としてゲート電極用溝の側壁を構成し、酸化シリコンまたはホウ素含有窒化シリコンからなるオフセットスペーサが形成されており、さらに絶縁膜の一部としてゲート電極から遠い側のオフセットスペーサの両側部にサイドウォールスペーサが形成されている。
また、少なくともオフセットスペーサ及びサイドウォールスペーサの下部における半導体基板においてエクステンション領域を有するソース・ドレイン領域が形成されている。
上記のようにして、電界効果トランジスタが構成されている。
また、本発明の半導体装置は、チャネル形成領域を有する半導体基板と、前記半導体基板上に形成された絶縁膜と、前記絶縁膜に形成されたゲート電極用溝と、前記ゲート電極用溝の底部に形成されたゲート絶縁膜と、前記ゲート絶縁膜の上層において前記ゲート電極用溝に埋め込まれて形成されたゲート電極と、前記絶縁膜の一部として前記ゲート電極用溝の側壁を構成し、前記ゲート電極側から窒化シリコン膜またはホウ素含有窒化シリコン膜と酸化シリコン膜とが積層した構成のオフセットスペーサと、前記絶縁膜の一部として前記ゲート電極から遠い側の前記オフセットスペーサの両側部に形成されたサイドウォールスペーサと、少なくとも前記オフセットスペーサ及び前記サイドウォールスペーサの下部における前記半導体基板において形成されたエクステンション領域を有するソース・ドレイン領域とを有し、電界効果トランジスタが構成されていることを特徴とする。
上記の本発明の半導体装置は、チャネル形成領域を有する半導体基板上に絶縁膜が形成され、絶縁膜にゲート電極用溝が形成され、ゲート電極用溝の底部にゲート絶縁膜が形成され、ゲート絶縁膜の上層においてゲート電極用溝に埋め込まれてゲート電極が形成されている。
また、絶縁膜の一部としてゲート電極用溝の側壁を構成し、ゲート電極側から窒化シリコン膜またはホウ素含有窒化シリコン膜と酸化シリコン膜とが積層した構成のオフセットスペーサが形成されており、さらに絶縁膜の一部としてゲート電極から遠い側のオフセットスペーサの両側部にサイドウォールスペーサが形成されている。
また、少なくともオフセットスペーサ及びサイドウォールスペーサの下部における半導体基板においてエクステンション領域を有するソース・ドレイン領域が形成されている。
以上のようにして、電界効果トランジスタが構成されている。
また、本発明の半導体装置の製造方法は、チャネル形成領域を有する半導体基板にダミーゲート絶縁膜及びダミーゲート電極を形成する工程と、前記ダミーゲート電極の両側部に酸化シリコンまたはホウ素含有窒化シリコンからなるオフセットスペーサを形成する工程と、前記オフセットスペーサ及び前記ゲート電極をマスクとして前記半導体基板にエクステンション領域を形成する工程と、前記オフセットスペーサの両側部にサイドウォールスペーサを形成する工程と、前記サイドウォールスペーサ、前記オフセットスペーサ及び前記ゲート電極をマスクとして前記半導体基板にソース・ドレイン領域を形成する工程と、前記ダミーゲート電極を被覆する絶縁膜を形成する工程と、前記絶縁膜の上面から前記ダミーゲート電極が露出するまで前記絶縁膜を除去する工程と、前記ダミーゲート電極及びダミーゲート絶縁膜を除去してゲート電極用溝を形成する工程と、前記ゲート電極用溝の底部にゲート絶縁膜を形成する工程と、前記ゲート電極用溝を埋め込んで前記ゲート絶縁膜の上層に導電層を形成する工程と、前記ゲート電極用溝の外部の前記導電層を除去する工程とを有して、電界効果トランジスタを形成し、少なくとも前記ダミーゲート絶縁膜を形成する工程において、露出した前記絶縁層の表面をアンモニア及びフッ化水素を含むエッチングガスで処理する第1処理と、前記第1処理で形成された生成物を分解及び蒸発させる第2処理とを含むエッチング処理を行うことを特徴とする。
上記の本発明の半導体装置の製造方法は、チャネル形成領域を有する半導体基板にダミーゲート絶縁膜及びダミーゲート電極を形成し、ダミーゲート電極の両側部に酸化シリコンまたはホウ素含有窒化シリコンからなるオフセットスペーサを形成し、オフセットスペーサ及びゲート電極をマスクとして半導体基板にエクステンション領域を形成する。
次に、オフセットスペーサの両側部にサイドウォールスペーサを形成し、サイドウォールスペーサ、オフセットスペーサ及びゲート電極をマスクとして半導体基板にソース・ドレイン領域を形成する。
次に、ダミーゲート電極を被覆する絶縁膜を形成し、絶縁膜の上面からダミーゲート電極が露出するまで絶縁膜を除去し、ダミーゲート電極及びダミーゲート絶縁膜を除去してゲート電極用溝を形成する。
次に、ゲート電極用溝の底部にゲート絶縁膜を形成し、ゲート電極用溝を埋め込んでゲート絶縁膜の上層に導電層を形成し、ゲート電極用溝の外部の導電層を除去する。
以上のようにして、電界効果トランジスタを形成する。
ここで、少なくともダミーゲート絶縁膜を除去する工程において、第1処理として、露出した絶縁層の表面をアンモニア及びフッ化水素を含むエッチングガスで処理を行い、第2処理として、第1処理で形成された生成物を分解及び蒸発させる工程を含むエッチング処理を行う。
また、本発明の半導体装置の製造方法は、チャネル形成領域を有する半導体基板にダミーゲート絶縁膜及びダミーゲート電極を形成する工程と、前記ダミーゲート電極の両側部に窒化シリコン膜と酸化シリコン膜またはホウ素含有窒化シリコン膜とを順に積層させてオフセットスペーサを形成する工程と、前記オフセットスペーサ及び前記ゲート電極をマスクとして前記半導体基板にエクステンション領域を形成する工程と、前記オフセットスペーサの両側部にサイドウォールスペーサを形成する工程と、前記サイドウォールスペーサ、前記オフセットスペーサ及び前記ゲート電極をマスクとして前記半導体基板にソース・ドレイン領域を形成する工程と、前記ダミーゲート電極を被覆する絶縁膜を形成する工程と、前記絶縁膜の上面から前記ダミーゲート電極が露出するまで前記絶縁膜を除去する工程と、前記ダミーゲート電極及びダミーゲート絶縁膜を除去してゲート電極用溝を形成し、前記オフセットスペーサを構成する前記窒化シリコン膜を除去する工程と、前記ゲート電極用溝の底部にゲート絶縁膜を形成する工程と、前記ゲート電極用溝を埋め込んで前記ゲート絶縁膜の上層に導電層を形成する工程と、前記ゲート電極用溝の外部の前記導電層を除去する工程とを有して、電界効果トランジスタを形成することを特徴とする。
上記の本発明の半導体装置の製造方法は、チャネル形成領域を有する半導体基板にダミーゲート絶縁膜及びダミーゲート電極を形成し、ダミーゲート電極の両側部に窒化シリコン膜と酸化シリコン膜またはホウ素含有窒化シリコン膜とを順に積層させてオフセットスペーサを形成し、オフセットスペーサ及びゲート電極をマスクとして半導体基板にエクステンション領域を形成する。
次に、オフセットスペーサの両側部にサイドウォールスペーサを形成し、サイドウォールスペーサ、オフセットスペーサ及びゲート電極をマスクとして半導体基板にソース・ドレイン領域を形成する。
次に、ダミーゲート電極を被覆する絶縁膜を形成し、絶縁膜の上面からダミーゲート電極が露出するまで絶縁膜を除去し、ダミーゲート電極及びダミーゲート絶縁膜を除去してゲート電極用溝を形成し、オフセットスペーサを構成する窒化シリコン膜を除去する。
次に、ゲート電極用溝の底部にゲート絶縁膜を形成し、ゲート電極用溝を埋め込んでゲート絶縁膜の上層に導電層を形成し、ゲート電極用溝の外部の導電層を除去する。
以上のようにして、電界効果トランジスタを形成する。
また、本発明の半導体装置の製造方法は、チャネル形成領域を有する半導体基板にダミーゲート絶縁膜及びダミーゲート電極を形成する工程と、前記ダミーゲート電極の両側部に窒化シリコン膜またはホウ素含有窒化シリコン膜と酸化シリコン膜とを順に積層させてオフセットスペーサを形成する工程と、前記オフセットスペーサ及び前記ゲート電極をマスクとして前記半導体基板にエクステンション領域を形成する工程と、前記オフセットスペーサの両側部にサイドウォールスペーサを形成する工程と、前記サイドウォールスペーサ、前記オフセットスペーサ及び前記ゲート電極をマスクとして前記半導体基板にソース・ドレイン領域を形成する工程と、前記ダミーゲート電極を被覆する絶縁膜を形成する工程と、前記絶縁膜の上面から前記ダミーゲート電極が露出するまで前記絶縁膜を除去する工程と、前記オフセットスペーサを構成する前記窒化シリコン膜または前記ホウ素含有窒化シリコン膜の少なくとも一部を残しながら、前記ダミーゲート電極及びダミーゲート絶縁膜を除去してゲート電極用溝を形成する工程と、前記ゲート電極用溝の底部にゲート絶縁膜を形成する工程と、前記ゲート電極用溝を埋め込んで前記ゲート絶縁膜の上層に導電層を形成する工程と、前記ゲート電極用溝の外部の前記導電層を除去する工程とを有して、電界効果トランジスタを形成することを特徴とする。
上記の本発明の半導体装置の製造方法は、チャネル形成領域を有する半導体基板にダミーゲート絶縁膜及びダミーゲート電極を形成し、ダミーゲート電極の両側部に窒化シリコン膜またはホウ素含有窒化シリコン膜と酸化シリコン膜とを順に積層させてオフセットスペーサを形成し、オフセットスペーサ及びゲート電極をマスクとして半導体基板にエクステンション領域を形成する。
次に、オフセットスペーサの両側部にサイドウォールスペーサを形成し、サイドウォールスペーサ、オフセットスペーサ及びゲート電極をマスクとして半導体基板にソース・ドレイン領域を形成する。
次に、ダミーゲート電極を被覆する絶縁膜を形成し、絶縁膜の上面からダミーゲート電極が露出するまで絶縁膜を除去し、さらに、オフセットスペーサを構成する窒化シリコン膜またはホウ素含有窒化シリコン膜の少なくとも一部を残しながら、ダミーゲート電極及びダミーゲート絶縁膜を除去してゲート電極用溝を形成する。
次に、ゲート電極用溝の底部にゲート絶縁膜を形成し、ゲート電極用溝を埋め込んでゲート絶縁膜の上層に導電層を形成し、ゲート電極用溝の外部の導電層を除去する。
以上のようにして、電界効果トランジスタを形成する。
本発明の半導体装置は、窒化シリコンからなるオフセットスペーサと比べて誘電率が低い酸化シリコン膜が用いられ、それが製造プロセス中において除去されずに残された構造となっていることから、ダマシンゲートプロセスを用いて形成されたMOSトランジスタとして、高い特性を確保することができる。
本発明の半導体装置の製造方法によれば、ダマシンゲートプロセスを用いてMOSトランジスタを形成する際に、窒化シリコンからなるオフセットスペーサと比べて誘電率が低い酸化シリコン膜を含むオフセットスペーサを形成し、製造プロセス中においてそれが除去されないように形成するので、MOSトランジスタの特性を高めることができる。
以下に、本発明の半導体装置及びその製造方法の実施の形態について、図面を参照して説明する。
第1実施形態
図1は、本実施形態に係る半導体装置の模式断面図である。
例えば、チャネル形成領域を有するシリコンの半導体基板10に、活性領域を区切るSTI(shallow trench isolation)法による素子分離絶縁膜11が形成されており、半導体基板10上に、オフセットスペーサ15、窒化シリコン膜(サイドウォールスペーサ)17a及び層間絶縁膜20などを含む絶縁膜Iが形成されている。
例えば、上記の絶縁膜Iにはゲート電極用溝Aが形成されており、ゲート電極用溝Aの底部には、酸化ハフニウムあるいは酸化アルミニウムなどの酸化シリコンより誘電率が高い、いわゆるHigh−k膜あるいは酸化シリコンなどからなるゲート絶縁膜21が形成されている。また、ゲート絶縁膜21の上層においてゲート電極用溝Aに埋め込まれて、ポリシリコンあるいは金属材料などからなるゲート電極22が形成されている。また、ゲート電極22がポリシリコンなどからなる場合、図示のようにゲート電極22の上部表面にNiSiなどの高融点金属シリサイド層23が形成されている。ゲート電極22が金属材料などからなる場合、例えば、タングステン、ハフニウム、タンタル、チタン、モリブデン、ルテニウム、ニッケル、白金からなる群から選択された金属、該金属を含む合金、または、該金属の化合物からなる。
例えば、上記のオフセットスペーサ15は、絶縁膜Iの一部として半導体基板10に接して形成され、ゲート電極用溝Aの側壁を構成し、酸化シリコンから構成される。
また、窒化シリコン膜(サイドウォールスペーサ)17aは、絶縁膜Iの一部として半導体基板10に接して形成され、ゲート電極22から遠い側のオフセットスペーサ15の両側部に形成されている。
層間絶縁膜20は、例えば酸化シリコンから形成されている。
また、例えば、少なくともオフセットスペーサ15及び窒化シリコン膜(サイドウォールスペーサ)17aの下部における半導体基板10において、エクステンション領域16を有するソース・ドレイン領域18が形成されている。ソース・ドレイン領域18の表層部分においても、NiSiなどの高融点金属シリサイド層19が形成されている。
上記のようにして、電界効果トランジスタが構成されている。
また、上記の絶縁膜I及びゲート電極22(あるいは高融点金属シリサイド層23)を被覆して、酸化シリコンなどからなる上層絶縁膜24が形成されている。上層絶縁膜24及び層間絶縁膜20を貫通して、ソース・ドレイン領域18の高融点金属シリサイド層19及びゲート電極22の高融点金属シリサイド層23に達する開口部CHが設けられ、金属などの導電性材料からなるプラグ25が埋め込まれる。また、これに接続して上層絶縁膜24上に導電性材料からなる上層配線26が形成されている。
上記のオフセットスペーサ15は、エクステンション領域を形成するためのマスクとなっていた層である。従って、活性化熱処理の条件などにも依存するが、オフセットスペーサ15のゲート電極22と反対側端面の位置が、実質的にエクステンション領域16のチャネル側の先端の位置決めになっている。従って、オフセットスペーサの幅はエクステンション領域のプロファイルと関係しており、プロファイルから、上記のような酸化シリコン膜がオフセットスペーサとして使用された膜であることを調べることができる。
また、窒化シリコン膜(サイドウォールスペーサ)17aもまた、ソース・ドレイン領域を形成するためのマスクとなっていた層であり、従って、活性化熱処理の条件などにも依存するが、窒化シリコン膜(サイドウォールスペーサ)17aのゲート電極22と反対側端面の位置が、実質的にソース・ドレイン領域18のチャネル側の先端の位置決めになっている。
上記の本実施形態に係る半導体装置は、窒化シリコンからなるオフセットスペーサと比べて誘電率が低い酸化シリコン膜が用いられ、それが製造プロセス中において除去されずに残された構造となっていることから、ダマシンゲートプロセスを用いて形成されたMOSトランジスタとして、高い特性を確保することができる。
なお、オフセットスペーサ15としては酸化シリコンに限定されず、ボロン含有窒化シリコン(SiBN)膜であってもよい。SiBNは、シリコン窒化膜と比較し比誘電率が低く、B/N比が2のときに比誘電率は5程度になる。また、SiBNはシリコン酸化膜と比較し耐酸性が高く、エッチング処理の際にエッチング量が比較的少ない。従って、SiBNを用いた場合であっても、上記実施形態と同様に高いトランジスタ特性を確保することができる。
次に、本実施形態に係る半導体装置の製造方法について図面を参照して説明する。
まず、図2(a)に示すように、例えば、チャネル形成領域を有するシリコンの半導体基板10において、STI(shallow trench isolation)法により、活性領域を区切る素子分離絶縁膜11を形成する。
次に、例えば熱酸化法により全面に膜厚4nm程度の酸化シリコンを形成し、さらにCVD(化学気相成長)法により膜厚が150〜200nmのポリシリコンを堆積し、さらに膜厚が50〜100nmの窒化シリコンを堆積させる。つづいて、フォトリソグラフィによりゲート形成領域を残してエッチング加工することにより、半導体基板10の活性領域におけるゲート電極形成領域上において、酸化シリコンのダミーゲート絶縁膜12、ポリシリコンからなるダミーゲート電極13、及び窒化シリコンからなるハードマスク層14を積層する。
次に、図2(b)に示すように、例えば、TEOS(tetraethylorthosilicate)を原料ガスとするCVD法により全面に8〜14nmの厚みの酸化シリコンを堆積し、全面にエッチバックすることで、ダミーゲート電極13の両側部に半導体基板10に接してオフセットスペーサ15を形成する。
次に、図3(a)に示すように、例えば、活性領域においてオフセットスペーサ15及びハードマスク層14(あるいはダミーゲート電極13)をマスクとして不純物をイオン注入して、半導体基板10中にポケット層(Halo;不図示)及びエクステンション領域16を形成する。
次に、図3(b)に示すように、例えば、プラズマCVD法により全面に20nmの厚みの窒化シリコンを堆積し、さらに50nmの厚みの酸化シリコンを堆積し、全面にエッチバックすることで、オフセットスペーサ15の両側部に半導体基板10に接して、窒化シリコン膜17aと酸化シリコン膜17bからなるサイドウォールスペーサ17を形成する。サイドウォールスペーサ17は、酸化シリコン膜/窒化シリコン膜/酸化シリコン膜などの3層積層絶縁膜であってもよい。
次に、図4(a)に示すように、例えば、活性領域においてサイドウォールスペーサ17、オフセットスペーサ15及びハードマスク層14(あるいはダミーゲート電極13)をマスクとして不純物をイオン注入して、半導体基板10中にソース・ドレイン領域18を形成する。
例えば、ホウ素を1.5〜3.5×1015/cmのドーズ量、2〜4keVのエネルギーで注入する。
以上のようにして、少なくともオフセットスペーサ15及びサイドウォールスペーサ17の下部における半導体基板10において、エクステンション領域16を有するソース・ドレイン領域18が形成される。
この後、不純物の活性化のためにRTA処理(1050℃)の熱処理を施す。
次に、図4(b)に示すように、例えば、希フッ酸(DHF)の前処理を経て、スパッタリングにより全面にニッケル、コバルト、白金などの高融点金属を8nmの膜厚で堆積させ、ソース・ドレイン領域の表面における、高融点金属とシリコンが接しているところでシリサイド化させて、高融点金属シリサイド層19を形成する。この後で、未反応の高融点金属を除去する。
ここで、上記のDHF処理において、サイドウォールスペーサを構成する酸化シリコン膜17bが除去されてしまう。以降は、窒化シリコン膜17aのみでもサイドウォールスペーサと称することがある。
次に、図5(a)に示すように、例えば、ハードマスク層14(あるいはダミーゲート電極13)を被覆するように全面にCVD法などで酸化シリコンを堆積させて層間絶縁膜20を形成する。その後、ハードマスク層14(あるいはダミーゲート電極13)の表面が露出するまで上面からCMP(化学機械研磨)法により研磨する。
上記のようにして得られた層間絶縁膜20と、オフセットスペーサ15及び窒化シリコン膜(サイドウォールスペーサ)17aを合わせて絶縁膜Iと称する。
次に、図5(b)に示すように、例えば、所定条件のエッチング処理によりダミーゲート電極13(及びハードマスク層14)を除去する。
上記のエッチングが、酸化シリコンのダミーゲート絶縁膜に対して十分に選択比を有するようなエッチング条件とする。
次に、図6(a)に示すように、例えば、下記に詳細を記載するエッチング処理により、ダミーゲート絶縁膜12を除去する。
上記のダミーゲート絶縁膜12の除去のためのエッチング処理は、まず、第1処理として、露出したダミーゲート絶縁膜12の表面をアンモニア及びフッ化水素を含むエッチングガスで処理する。次に、第2処理として、第1処理で形成された生成物を分解及び蒸発させる。
上記の第1処理について説明する。
ダミーゲート絶縁膜12の表面を、NH3,HF,Arからなる混合ガス雰囲気でケミカルエッチングする。
具体的には、エッチング装置のケミカルエッチング室にウェーハ(半導体基板10)を搬送し、ウェーハ用ステージにウェーハを載置した後に、以下のガス雰囲気をつくり、ダミーゲート絶縁膜12の表面にSiを含む錯体を形成させる。
上記のガス雰囲気は以下のようにする。
NH3/HF/Ar=50/50/80sccm,圧力=6.7Pa,ステージ温度=30℃
上記の混合ガス雰囲気での化学反応は、以下のように説明される。
ケミカルエッチング室に、気相でHF/NH3/Arが供給されると、ダミーゲート絶縁膜12の表面に露出している酸化シリコンの表面に、ガスがラングミュア吸着される。同時に次のような化学反応が進行する。
[化1]
SiO2+4HF→SiF4+2H2O (1)
SiF4+2NH3+2HF→(NH42SiF6 (2)
つまり、HFで一旦、SiF4とH2Oが生成した後に、NH3とHFとSiF4の化学反応により、酸化シリコンからなる絶縁層の表面に、(NH42SiF6の錯体の層が形成されるものである。
この反応は、ラングミュア吸着による分子数層レベルのガス吸着に支配されており、吸着ガス分子の被覆率が飽和すると自己停止する。したがって、(NH42SiF6錯体の生成量も飽和する。
次に、第2処理として、(NH42SiF6の錯体で被覆されたウェーハを加熱室に搬送して、加熱用ステージに載置した後に、ヒーター加熱を開始して、(NH42SiF6の錯体をSiF4などに分解して蒸発させる。
上記の加熱条件は以下のようにする。
ステージ温度=200℃,圧力=26.7Pa
この反応は以下の式で説明される。酸化シリコンのダミーゲート絶縁膜12の表面に被覆した(NH42SiF6の錯体は、基板温度が200℃に加熱されると、SiF4、NH3、HFなどに分解して蒸発し、ガスとしてドライポンプにより排気される。
(NH42SiF6→SiF4+2NH3+HF
上記のケミカルエッチングは表面反応を利用しているので、パターンの疎密差が生じないなどの大きなメリットがある。
例えば、ガスの供給時間を設定することで、酸化シリコンのダミーゲート絶縁膜12のエッチング量を所望の値にできる。
上記のダミーゲート絶縁膜の除去処理においては、半導体基板の表面が露出されるものの、基板にダメージを入れずに処理を行うことができる。
以上のようにして、絶縁膜Iにゲート電極用溝Aを形成する。
上記のエッチングにおいては、後述するように、エッチング時間を選択することにより、熱酸化法により形成された酸化シリコン膜のエッチング量を、TEOSを原料とするCVD法により形成された酸化シリコン膜のエッチング量より多く設定することができる。これによって、ダミーゲート絶縁膜12が完全に除去されるまでに、オフセットスペーサ15の一部のみが除去されるに留まり、オフセットスペーサ15の若干の後退はあるが、ゲート電極用溝の幅が拡大することを防止できる。よって、トランジスタの性能をある程度確保することができる。
例えば、オフセットスペーサ15の厚みを8nm、ダミーゲート絶縁膜12の厚みを4nmとし、上記のエッチング条件でエッチングすると、ダミーゲート絶縁膜12を完全にエッチングするまでに45秒を要し、この間にオフセットスペーサ15は3.9nm除去される。従って、4.1nm程度の厚みでオフセットスペーサを残すことが可能となる。
次に、図6(b)に示すように、例えば、熱酸化法によりゲート電極用溝Aの底面を被覆して窒化酸化シリコン、あるいは、ALD法によりゲート電極用溝Aの内側表面を被覆して、酸化ハフニウムあるいは酸化アルミニウムなどのHigh−k膜からなるゲート絶縁膜21を形成する。High−k膜を形成した後の工程においては、High−k膜に耐熱性が低いため、処理温度が500℃を超えないような工程で行う。
次に、例えば、スパッタリング法あるいはCVD法などにより、ゲート絶縁膜21の上層において、ゲート電極用溝Aの内壁面を被覆して、ルテニウム、窒化チタン、ハフニウムシリサイド(HfSix)やタングステンなどの金属材料またはポリシリコンなどの導電体材料を堆積させ、研磨などによりゲート電極用溝Aの外部に堆積された導電体材料を除去し、ゲート電極22を形成する。
さらに、ゲート電極22をポリシリコンなどで形成した場合には、ゲート電極22の上部表面にNiSiなどの高融点金属シリサイド層23を形成してもよい。
以降の工程としては、例えば、CVD法により上記の絶縁膜I及びゲート電極22(あるいは高融点金属シリサイド層23)を被覆して、酸化シリコンを堆積させ、上層絶縁膜24を形成する。
次に、上層絶縁膜24及び層間絶縁膜20を貫通して、ソース・ドレイン領域18の高融点金属シリサイド層19及びゲート電極22の高融点金属シリサイド層23に達する開口部CHを形成する。
得られた開口部CH内に、金属などの導電性材料からなるプラグ25を埋め込んで形成し、さらに、これに接続して上層絶縁膜24上に導電性材料からなる上層配線26を形成する。
以上で、図1に示す構造の半導体装置と同様の半導体装置を製造することができる。
上記のオフセットスペーサ15は、エクステンション領域を形成するためのマスクとしていることから、活性化熱処理の条件などにも依存するが、オフセットスペーサ15のゲート電極22と反対側端面の位置が実質的にエクステンション領域16のチャネル側の先端の位置決めになっている。
また、窒化シリコン膜(サイドウォールスペーサ)17aもまた、ソース・ドレイン領域を形成するためのマスクとなっていた層であり、活性化熱処理の条件などにも依存するが、窒化シリコン膜(サイドウォールスペーサ)17aのゲート電極22と反対側端面の位置が実質的にソース・ドレイン領域18のチャネル側の先端の位置決めになっている。
本発明の半導体装置の製造方法によれば、ダマシンゲートプロセスを用いてMOSトランジスタを形成する際に、窒化シリコンからなるオフセットスペーサと比べて誘電率が低い酸化シリコン膜を含むオフセットスペーサを形成し、製造プロセス中においてそれが除去されないように形成するので、MOSトランジスタの特性を高めることができる。
第2実施形態
本実施形態に係る半導体装置は、実質的に第1実施形態と同様である。
本実施形態に係る半導体装置の製造方法について図面を参照して説明する。
まず、図7(a)に示すように、まず、チャネル形成領域を有するシリコンの半導体基板10において、STI法により活性領域を区切る素子分離絶縁膜11を形成する。次に、熱酸化法により全面に膜厚4nm程度の酸化シリコンを堆積し、さらにCVD法によりポリシリコン及び窒化シリコンを堆積させ、フォトリソグラフィによりゲート形成領域を残してエッチング加工することで、ダミーゲート絶縁膜12、ポリシリコンからなるダミーゲート電極13、及び窒化シリコンからなるハードマスク層14を積層する。
次に、例えば、プラズマCVD法あるいはALD(原子層堆積)法などにより、全面に0.28nmの厚みで窒化シリコンを堆積し、さらにCVD法などによって8〜14nmの厚みの酸化シリコンを堆積し、全面にエッチバックすることで、ダミーゲート電極13の両側部に半導体基板10に接して、窒化シリコン膜15a及び酸化シリコン膜15bからなるオフセットスペーサ15を形成する。
以降の工程は第1実施形態と同様にして、図7(b)に示すように、層間絶縁膜20の形成までを行う。
次に、図8(a)に示すように、例えば、所定条件のエッチング処理によりダミーゲート電極13(及びハードマスク層14)を除去する。
上記のエッチングが、酸化シリコンのダミーゲート絶縁膜に対して十分に選択比を有するようなエッチング条件とする。
次に、図8(b)に示すように、例えば、第1実施形態においてダミーゲート絶縁膜12を除去したエッチング処理を用いて、ダミーゲート絶縁膜12を除去する。
以上のようにして、絶縁膜Iにゲート電極用溝Aを形成する。
上記のエッチングにおいては、後述するように、熱酸化法により形成された酸化シリコン膜に対して、窒化シリコンのエッチング速度は十分小さい。例えば、オフセットスペーサ15が、0.28nmの厚みの窒化シリコン膜と8nmの厚みの酸化シリコン膜からなる積層体とする。このとき、ダミーゲート絶縁膜12を完全にエッチングするまでに45秒を要し、この間にオフセットスペーサ15の窒化シリコン膜15aが0.28nm除去され、即ち、窒化シリコン膜が丁度除去される。従って、8nmの厚みの酸化シリコンからなる部分は完全にそのままで残すことが可能となり、ゲート電極用溝の幅が拡大することを防止できる。ここで、酸化シリコン膜15b自体がオフセットスペーサ15となる。
上記のように、本実施形態においては、ダミーゲート絶縁膜を除去する時間で丁度除去される厚みの窒化シリコンをオフセットスペーサの溝側の一部として予め形成しておくものである。
ダミーゲート絶縁膜を除去する処理時間が変更になった場合には、窒化シリコン膜15aの厚みを適宜変更することができる。
また、DHF処理でダミーゲート絶縁膜を除去する場合にも適用できる。この場合、熱酸化法により形成された酸化シリコン膜4nmを除去するのに103秒かかり、この時間のDHF処理で窒化シリコンが除去されるのは0.86nmである。従って、例えば、オフセットスペーサ15が、0.86nmの厚みの窒化シリコン膜と8nmの厚みの酸化シリコン膜からなる積層体とすれば、ダミーゲート絶縁膜12を完全にエッチングするまでの103秒間に、オフセットスペーサ15の窒化シリコン膜15aが0.86nm除去され、即ち、窒化シリコン膜が丁度除去される。従って、8nmの厚みの酸化シリコンからなる部分は完全にそのままで残すことが可能となる。
以降は、第1実施形態と同様にして、例えば、ゲート電極用溝Aにゲート絶縁膜21、ゲート電極22及び高融点金属シリサイド層23を形成し、上層絶縁膜24を形成し、開口部CHを形成し、プラグ25を埋め込み、上層配線26を形成する。
以上で、本実施形態に係る半導体装置と同様の半導体装置を製造することができる。
本発明の半導体装置の製造方法によれば、ダマシンゲートプロセスを用いてMOSトランジスタを形成する際に、窒化シリコンからなるオフセットスペーサと比べて誘電率が低い酸化シリコン膜を含むオフセットスペーサを形成し、製造プロセス中においてそれが除去されないように形成するので、MOSトランジスタの特性を高めることができる。
なお、オフセットスペーサ15となる膜として酸化シリコン膜15bを用いているが、これに限定されず、酸化シリコン膜の代わりにボロン含有窒化シリコン(SiBN)膜を用いてもよい。SiBNは、シリコン窒化膜と比較し比誘電率が低く、B/N比が2のときに比誘電率は5程度になる。また、SiBNはシリコン酸化膜と比較し耐酸性が高く、エッチング処理の際にエッチング量が比較的少ない。従って、SiBNを用いた場合であっても、上記実施形態と同様に高いトランジスタ特性を確保することができる。
第3実施形態
図9は、本実施形態に係る半導体装置の断面図である。
実質的に第1実施形態と同様であるが、オフセットスペーサ15が、窒化シリコン膜15aと酸化シリコン膜15bの積層体として残されていることが異なる。上記以外は第1実施形態と同様である。
本実施形態に係る半導体装置の製造方法について図面を参照して説明する。
まず、図10(a)に示すように、例えば第2実施形態と同様にして、ダミーゲート電極13(及びハードマスク層14)を除去工程までを行う。
次に、図10(b)に示すように、例えば、オフセットスペーサ15を構成する窒化シリコン膜15aの厚みについて、第1実施形態においてダミーゲート絶縁膜12を除去したエッチング処理を用いて、あるいは、DHF処理により、ダミーゲート絶縁膜12を除去する間に、窒化シリコン膜が完全に除去されないような厚みとする。
以上のようにして、絶縁膜Iにゲート電極用溝Aを形成する。
上記のエッチングにおいては、後述するように、熱酸化法により形成された酸化シリコン膜に対して、窒化シリコンのエッチング速度が小さく、例えば、オフセットスペーサ15が、0.50nmの厚みの窒化シリコン膜と8nmの厚みの酸化シリコン膜からなる積層体とする。このとき、ダミーゲート絶縁膜12を完全にエッチングするまでに45秒を要し、この間にオフセットスペーサ15の窒化シリコン膜15aが0.28nm除去され、即ち、窒化シリコン膜15aは0.22nmの膜厚に薄膜化されるが、完全には除去されずに残される。従って、8nmの厚みの酸化シリコンからなる部分は完全にそのままで残すことが可能となり、ゲート電極用溝の幅が拡大することを防止できる。
上記のように、本実施形態においては、ダミーゲート絶縁膜を除去する時間で除去される厚みよりも厚い窒化シリコン膜をオフセットスペーサの溝側の一部として予め形成しておくものである。このように窒化シリコン膜を残す場合でも、誘電率が高い窒化シリコン膜はできるだけ薄いほうが好ましく、オフセットスペーサを構成する酸化シリコン膜より十分に薄い膜とすることが好ましい。
ダミーゲート絶縁膜を除去する処理時間が変更になった場合には、窒化シリコン膜15aの厚みを適宜変更することができる。
また、DHF処理でダミーゲート絶縁膜を除去する場合にも適用でき、この場合、熱酸化法により形成された酸化シリコン膜4nmを除去するのに103秒かかり、この時間のDHF処理で窒化シリコンが除去されるのは0.86nmである。従って、例えば、オフセットスペーサ15が、1.3nmの厚みの窒化シリコン膜と8nmの厚みの酸化シリコン膜からなる積層体とすれば、ダミーゲート絶縁膜12を完全にエッチングするまでの103秒間に、オフセットスペーサ15の窒化シリコン膜15aが0.86nm薄膜化され、即ち、窒化シリコン膜が0.44nm残される。従って、8nmの厚みの酸化シリコンからなる部分は完全にそのままで残すことが可能となる。
以降は、第1実施形態と同様にして、例えば、ゲート電極用溝Aにゲート絶縁膜21、ゲート電極22及び高融点金属シリサイド層23を形成し、上層絶縁膜24を形成し、開口部CHを形成し、プラグ25を埋め込み、上層配線26を形成する。
以上で、本実施形態に係る半導体装置と同様の半導体装置を製造することができる。
本発明の半導体装置の製造方法によれば、ダマシンゲートプロセスを用いてMOSトランジスタを形成する際に、窒化シリコンからなるオフセットスペーサと比べて誘電率が低い酸化シリコン膜を含むオフセットスペーサを形成し、製造プロセス中においてそれが除去されないように形成するので、MOSトランジスタの特性を高めることができる。
第4実施形態
図11は、本実施形態に係る半導体装置の断面図である。
実質的に第1実施形態と同様であるが、オフセットスペーサ15、窒化シリコン膜(サイドウォールスペーサ)17a、層間絶縁膜20を含む絶縁膜Iの厚みがより薄くなっており、即ち、ゲート電極22の高さもより低く形成されている。上記以外は第1実施形態と同様である。
本実施形態に係る半導体装置の製造方法について図面を参照して説明する。
まず、図12(a)に示すように、例えば、第1実施形態と同様にして、ソース・ドレイン領域の表面に高融点金属シリサイド層19を形成する工程までを行う。
次に、図12(b)に示すように、例えば、ハードマスク層14(あるいはダミーゲート電極13)を被覆して全面にCVD法などで酸化シリコンを堆積させて層間絶縁膜20を形成し、ハードマスク層14(あるいはダミーゲート電極13)の表面が露出するまで上面からCMP(化学機械研磨)法により研磨する。
上記のようにして得られた層間絶縁膜20と、オフセットスペーサ15及び窒化シリコン膜(サイドウォールスペーサ)17aを合わせて絶縁膜Iと称する。
ここで、本実施形態においては、さらに研磨処理を行って、絶縁膜Iを薄膜化する。
例えば、ハードマスク層14を有していた場合には、ハードマスク層14が完全に研磨除去されて、ダミーゲート電極13の表面が露出する程度とすることができる。あるいは、さらにダミーゲート電極13の途中の高さまで研磨してもよい。
また、ハードマスク層14が有していない場合には、ダミーゲート電極13の途中の高さまで研磨除去する。
以降は、第1実施形態と同様にして、ダミーゲート電極13(及びハードマスク層14)、ダミーゲート絶縁膜12を除去して、絶縁膜Iにゲート電極用溝Aを形成し、ゲート電極用溝Aにゲート絶縁膜21、ゲート電極22及び高融点金属シリサイド層23を形成し、上層絶縁膜24を形成し、開口部CHを形成し、プラグ25を埋め込み、上層配線26を形成する。
以上で、本実施形態に係る半導体装置と同様の半導体装置を製造することができる。
本発明の半導体装置の製造方法によれば、ダマシンゲートプロセスを用いてMOSトランジスタを形成する際に、窒化シリコンからなるオフセットスペーサと比べて誘電率が低い酸化シリコン膜を含むオフセットスペーサを形成し、製造プロセス中においてそれが除去されないように形成するので、MOSトランジスタの特性を高めることができる。
本実施形態においては、第2実施形態と同様に、ダミーゲート絶縁膜を除去する時間で丁度除去される厚みの窒化シリコンをオフセットスペーサの溝側の一部として予め形成しておいてもよい。
第5実施形態
図13は、本実施形態に係る半導体装置の断面図である。
実質的に第3実施形態と同様であるが、上記の第4実施形態と同様にオフセットスペーサ15、窒化シリコン膜(サイドウォールスペーサ)17a、層間絶縁膜20を含む絶縁膜Iの厚みがより薄くなっており、即ち、ゲート電極22の高さもより低く形成されている。上記以外は第3実施形態と同様である。
本実施形態に係る半導体装置の製造方法は、第3実施形態の製造方法において、第4実施形態と同様に、絶縁膜Iを形成した後に、さらに絶縁膜Iを薄膜化することで、製造することができる。
本発明の半導体装置の製造方法によれば、ダマシンゲートプロセスを用いてMOSトランジスタを形成する際に、窒化シリコンからなるオフセットスペーサと比べて誘電率が低い酸化シリコン膜を含むオフセットスペーサを形成し、製造プロセス中においてそれが除去されないように形成するので、MOSトランジスタの特性を高めることができる。
第6実施形態
図14〜図17は、本実施形態に係る半導体装置の断面図である。
実質的に上記の第1〜第5実施形態と同様であるが、ゲート絶縁膜として、ALD法によりゲート電極用溝Aの内壁を被覆して、酸化ハフニウムや酸化アルミニウムなどのいわゆるHigh−k材料からなるゲート絶縁膜30が形成されており、ゲート絶縁膜30の上層においてゲート電極用溝Aを埋め込んでルテニウムやタングステンなどの金属材料が埋め込まれてゲート電極31が構成されている。
図14が第1及び第2実施形態、図15が第3実施形態、図16が第4実施形態、図17が第5実施形態にそれぞれ対応している。
本発明の半導体装置の製造方法によれば、ダマシンゲートプロセスを用いてMOSトランジスタを形成する際に、窒化シリコンからなるオフセットスペーサと比べて誘電率が低い酸化シリコン膜を含むオフセットスペーサを形成し、製造プロセス中においてそれが除去されないように形成するので、MOSトランジスタの特性を高めることができる。
(実施例)
第1実施形態において記載された、ダミーゲート絶縁膜を除去するためのエッチング法について、熱酸化法による酸化シリコン膜(a)、TEOSを原料とするプラズマCVD法による酸化シリコン膜(b)、プラズマCVD法による窒化シリコン膜(c)について、エッチング処理時間とエッチング量の関係を調べた。
結果を図18に示す。
図18に示すように、エッチング時間が40数秒を超えたところから、熱酸化法による酸化シリコン膜(a)のエッチング量がTEOSを原料とするプラズマCVD法による酸化シリコン膜(b)より大きくなり、それぞれの材料をダミーゲート絶縁膜とオフセットスペーサとして用いることで、オフセットスペーサを残しながら、ダミーゲート電極を除去することができる。
また、窒化シリコン膜(c)の場合には、常に熱酸化法による酸化シリコン膜(a)のエッチング量より小さく、それぞれの材料をダミーゲート絶縁膜とオフセットスペーサとして用いることで、オフセットスペーサを残しながら、ダミーゲート電極を除去することができる。
本発明は上記の説明に限定されない。
例えば、ゲート絶縁膜及びゲート電極を構成する材料は、上記の実施形態に限定されない。
高融点金属シリサイド層は形成されていなくてもよい。
オフセットスペーサの材料は、例えば第1実施形態においては酸化シリコン膜の代わりにホウ素含有窒化シリコン(SiBN)膜を用いてもよく、第2実施形態においては窒化シリコン膜と酸化シリコン膜の積層体の代わりに窒化シリコン膜とホウ素含有窒化シリコン膜の積層体を用いてもよく、また、第3実施形態においては窒化シリコン膜と酸化シリコン膜の積層体の代わりにホウ素含有窒化シリコン膜と酸化シリコン膜の積層体を用いてもよい。ホウ素含有窒化シリコン膜は、窒化シリコン膜と比較し比誘電率が低く、B/N比が2のときに比誘電率は5程度になる。また、SiBNは酸化シリコン膜と比較し耐酸性が高く、エッチング処理の際にエッチング量が比較的少ないため、酸化シリコン膜をオフセットスペーサとして用いる場合よりも薄く形成することができる。
その他、本発明の要旨を逸脱しない範囲で、種々の変更が可能である。
本発明の半導体装置は、MOSFETを有する半導体装置に適用できる。
また、本発明の半導体装置の製造方法は、MOSFETを有する半導体装置を製造する方法に適用できる。
図1は本発明の第1実施形態に係る半導体装置の模式断面図である。 図2(a)及び(b)は本発明の第1実施形態に係る半導体装置の製造方法の工程を示す断面図である。 図3(a)及び(b)は本発明の第1実施形態に係る半導体装置の製造方法の工程を示す断面図である。 図4(a)及び(b)は本発明の第1実施形態に係る半導体装置の製造方法の工程を示す断面図である。 図5(a)及び(b)は本発明の第1実施形態に係る半導体装置の製造方法の工程を示す断面図である。 図6(a)及び(b)は本発明の第1実施形態に係る半導体装置の製造方法の工程を示す断面図である。 図7(a)及び(b)は本発明の第2実施形態に係る半導体装置の製造方法の工程を示す断面図である。 図8(a)及び(b)は本発明の第2実施形態に係る半導体装置の製造方法の工程を示す断面図である。 図9は本発明の第3実施形態に係る半導体装置の模式断面図である。 図10(a)及び(b)は本発明の第3実施形態に係る半導体装置の製造方法の工程を示す断面図である。 図11は本発明の第4実施形態に係る半導体装置の模式断面図である。 図12(a)及び(b)は本発明の第4実施形態に係る半導体装置の製造方法の工程を示す断面図である。 図13は本発明の第5実施形態に係る半導体装置の模式断面図である。 図14は本発明の第6実施形態に係る半導体装置の模式断面図である。 図15は本発明の第7実施形態に係る半導体装置の模式断面図である。 図16は本発明の第8実施形態に係る半導体装置の模式断面図である。 図17は本発明の第9実施形態に係る半導体装置の模式断面図である。 図18は本発明の実施例にかかるエッチング速度を示すグラフである。
符号の説明
10…半導体基板、11…素子分離絶縁膜、12…ダミーゲート絶縁膜、13…ダミーゲート電極、14…ハードマスク層、15…オフセットスペーサ、15a…窒化シリコン膜、15b…酸化シリコン膜、16…エクステンション領域、17…サイドウォールスペーサ、17a…窒化シリコン膜(サイドウォールスペーサ)、17b…酸化シリコン膜、18…ソース・ドレイン領域、19…高融点金属シリサイド層、20…層間絶縁膜、21…ゲート絶縁膜、22…ゲート電極、23…高融点金属シリサイド層、24…上層絶縁膜、25…プラグ、26…上層配線、30…ゲート絶縁膜、31…ゲート電極、A…ゲート電極用溝、I…絶縁膜

Claims (16)

  1. チャネル形成領域を有する半導体基板と、
    前記半導体基板上に形成された絶縁膜と、
    前記絶縁膜に形成されたゲート電極用溝と、
    前記ゲート電極用溝の底部に形成されたゲート絶縁膜と、
    前記ゲート絶縁膜の上層において前記ゲート電極用溝に埋め込まれて形成されたゲート電極と、
    前記絶縁膜の一部として前記ゲート電極用溝の側壁を構成し、酸化シリコンまたはホウ素含有窒化シリコンからなるオフセットスペーサと、
    前記絶縁膜の一部として前記ゲート電極から遠い側の前記オフセットスペーサの両側部に形成されたサイドウォールスペーサと、
    少なくとも前記オフセットスペーサ及び前記サイドウォールスペーサの下部における前記半導体基板において形成されたエクステンション領域を有するソース・ドレイン領域と
    を有し、電界効果トランジスタが構成されていることを特徴とする
    半導体装置。
  2. 前記オフセットスペーサの前記ゲート電極側端面の位置が、実質的に前記エクステンション領域のチャネル側の先端の位置決めになっている
    請求項1に記載の半導体装置。
  3. 前記ゲート電極は、タングステン、ハフニウム、タンタル、チタン、モリブデン、ルテニウム、ニッケル、白金からなる群から選択された金属、該金属を含む合金、または、該金属の化合物からなる
    請求項1に記載の半導体装置。
  4. チャネル形成領域を有する半導体基板と、
    前記半導体基板上に形成された絶縁膜と、
    前記絶縁膜に形成されたゲート電極用溝と、
    前記ゲート電極用溝の底部に形成されたゲート絶縁膜と、
    前記ゲート絶縁膜の上層において前記ゲート電極用溝に埋め込まれて形成されたゲート電極と、
    前記絶縁膜の一部として前記ゲート電極用溝の側壁を構成し、前記ゲート電極側から窒化シリコン膜またはホウ素含有窒化シリコン膜と酸化シリコン膜とが積層した構成のオフセットスペーサと、
    前記絶縁膜の一部として前記ゲート電極から遠い側の前記オフセットスペーサの両側部に形成されたサイドウォールスペーサと、
    少なくとも前記オフセットスペーサ及び前記サイドウォールスペーサの下部における前記半導体基板において形成されたエクステンション領域を有するソース・ドレイン領域と
    を有し、電界効果トランジスタが構成されていることを特徴とする
    半導体装置。
  5. 前記オフセットスペーサの前記ゲート電極側端面の位置が、実質的に前記エクステンション領域のチャネル側の先端の位置決めになっている
    請求項4に記載の半導体装置。
  6. 前記オフセットスペーサにおいて、前記窒化シリコン膜または前記ホウ素含有窒化シリコン膜が前記酸化シリコン膜より薄い
    請求項4に記載の半導体装置。
  7. 前記ゲート電極は、タングステン、ハフニウム、タンタル、チタン、モリブデン、ルテニウム、ニッケル、白金からなる群から選択された金属、該金属を含む合金、または、該金属の化合物からなる
    請求項4に記載の半導体装置。
  8. チャネル形成領域を有する半導体基板にダミーゲート絶縁膜及びダミーゲート電極を形成する工程と、
    前記ダミーゲート電極の両側部に酸化シリコンまたはホウ素含有窒化シリコンからなるオフセットスペーサを形成する工程と、
    前記オフセットスペーサ及び前記ゲート電極をマスクとして前記半導体基板にエクステンション領域を形成する工程と、
    前記オフセットスペーサの両側部にサイドウォールスペーサを形成する工程と、
    前記サイドウォールスペーサ、前記オフセットスペーサ及び前記ゲート電極をマスクとして前記半導体基板にソース・ドレイン領域を形成する工程と、
    前記ダミーゲート電極を被覆する絶縁膜を形成する工程と、
    前記絶縁膜の上面から前記ダミーゲート電極が露出するまで前記絶縁膜を除去する工程と、
    前記ダミーゲート電極及びダミーゲート絶縁膜を除去してゲート電極用溝を形成する工程と、
    前記ゲート電極用溝の底部にゲート絶縁膜を形成する工程と、
    前記ゲート電極用溝を埋め込んで前記ゲート絶縁膜の上層に導電層を形成する工程と、
    前記ゲート電極用溝の外部の前記導電層を除去する工程と
    を有して、電界効果トランジスタを形成し、
    少なくとも前記ダミーゲート絶縁膜を除去する工程において、露出した前記絶縁層の表面をアンモニア及びフッ化水素を含むエッチングガスで処理する第1処理と、前記第1処理で形成された生成物を分解及び蒸発させる第2処理とを含むエッチング処理を行う
    ことを特徴とする
    半導体装置の製造方法。
  9. 前記エッチング処理において前記第1処理で形成され、前記第2処理で分解及び蒸発される前記生成物が、(NHSiF錯体である
    請求項8に記載の半導体装置の製造方法。
  10. チャネル形成領域を有する半導体基板にダミーゲート絶縁膜及びダミーゲート電極を形成する工程と、
    前記ダミーゲート電極の両側部に窒化シリコン膜と酸化シリコン膜またはホウ素含有窒化シリコン膜とを順に積層させてオフセットスペーサを形成する工程と、
    前記オフセットスペーサ及び前記ゲート電極をマスクとして前記半導体基板にエクステンション領域を形成する工程と、
    前記オフセットスペーサの両側部にサイドウォールスペーサを形成する工程と、
    前記サイドウォールスペーサ、前記オフセットスペーサ及び前記ゲート電極をマスクとして前記半導体基板にソース・ドレイン領域を形成する工程と、
    前記ダミーゲート電極を被覆する絶縁膜を形成する工程と、
    前記絶縁膜の上面から前記ダミーゲート電極が露出するまで前記絶縁膜を除去する工程と、
    前記ダミーゲート電極及びダミーゲート絶縁膜を除去してゲート電極用溝を形成し、前記オフセットスペーサを構成する前記窒化シリコン膜を除去する工程と、
    前記ゲート電極用溝の底部にゲート絶縁膜を形成する工程と、
    前記ゲート電極用溝を埋め込んで前記ゲート絶縁膜の上層に導電層を形成する工程と、
    前記ゲート電極用溝の外部の前記導電層を除去する工程と
    を有して、電界効果トランジスタを形成することを特徴とする
    半導体装置の製造方法。
  11. 少なくとも前記ダミーゲート絶縁膜を形成する工程において、露出した前記絶縁層の表面をアンモニア及びフッ化水素を含むエッチングガスで処理する第1処理と、前記第1処理で形成された生成物を分解及び蒸発させる第2処理とを含むエッチング処理を行う
    ことを特徴とする
    請求項10に記載の半導体装置の製造方法。
  12. 前記エッチング処理において前記第1処理で形成され、前記第2処理で分解及び蒸発される前記生成物が、(NHSiF錯体である
    請求項11に記載の半導体装置の製造方法。
  13. チャネル形成領域を有する半導体基板にダミーゲート絶縁膜及びダミーゲート電極を形成する工程と、
    前記ダミーゲート電極の両側部に窒化シリコン膜またはホウ素含有窒化シリコン膜と酸化シリコン膜とを順に積層させてオフセットスペーサを形成する工程と、
    前記オフセットスペーサ及び前記ゲート電極をマスクとして前記半導体基板にエクステンション領域を形成する工程と、
    前記オフセットスペーサの両側部にサイドウォールスペーサを形成する工程と、
    前記サイドウォールスペーサ、前記オフセットスペーサ及び前記ゲート電極をマスクとして前記半導体基板にソース・ドレイン領域を形成する工程と、
    前記ダミーゲート電極を被覆する絶縁膜を形成する工程と、
    前記絶縁膜の上面から前記ダミーゲート電極が露出するまで前記絶縁膜を除去する工程と、
    前記オフセットスペーサを構成する前記窒化シリコン膜または前記ホウ素含有窒化シリコン膜の少なくとも一部を残しながら、前記ダミーゲート電極及びダミーゲート絶縁膜を除去してゲート電極用溝を形成する工程と、
    前記ゲート電極用溝の底部にゲート絶縁膜を形成する工程と、
    前記ゲート電極用溝を埋め込んで前記ゲート絶縁膜の上層に導電層を形成する工程と、
    前記ゲート電極用溝の外部の前記導電層を除去する工程と
    を有して、電界効果トランジスタを形成することを特徴とする
    半導体装置の製造方法。
  14. 少なくとも前記ダミーゲート絶縁膜を形成する工程において、露出した前記絶縁層の表面をアンモニア及びフッ化水素を含むエッチングガスで処理する第1処理と、前記第1処理で形成された生成物を分解及び蒸発させる第2処理とを含むエッチング処理を行う
    ことを特徴とする
    請求項13に記載の半導体装置の製造方法。
  15. 前記エッチング処理において前記第1処理で形成され、前記第2処理で分解及び蒸発される前記生成物が、(NHSiF錯体である
    請求項14に記載の半導体装置の製造方法。
  16. 前記オフセットスペーサを形成する工程において、前記窒化シリコン膜または前記ホウ素含有窒化シリコン膜を前記酸化シリコン膜より薄くなるように形成する
    請求項13に記載の半導体装置の製造方法。
JP2008060164A 2007-04-18 2008-03-10 半導体装置及びその製造方法 Expired - Fee Related JP5282419B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2008060164A JP5282419B2 (ja) 2007-04-18 2008-03-10 半導体装置及びその製造方法
TW097112894A TWI446522B (zh) 2007-04-18 2008-04-09 半導體裝置及其製造方法
US12/101,568 US8350335B2 (en) 2007-04-18 2008-04-11 Semiconductor device including off-set spacers formed as a portion of the sidewall
KR1020080035540A KR101457006B1 (ko) 2007-04-18 2008-04-17 반도체 디바이스 및 그 제조 방법
CN2008102103134A CN101335300B (zh) 2007-04-18 2008-04-18 半导体装置及其制造方法
US12/817,949 US20100255650A1 (en) 2007-04-18 2010-06-17 Semiconductor device and method for manufacturing the same

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2007108953 2007-04-18
JP2007108953 2007-04-18
JP2008060164A JP5282419B2 (ja) 2007-04-18 2008-03-10 半導体装置及びその製造方法

Publications (3)

Publication Number Publication Date
JP2008288560A true JP2008288560A (ja) 2008-11-27
JP2008288560A5 JP2008288560A5 (ja) 2010-04-15
JP5282419B2 JP5282419B2 (ja) 2013-09-04

Family

ID=40147954

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008060164A Expired - Fee Related JP5282419B2 (ja) 2007-04-18 2008-03-10 半導体装置及びその製造方法

Country Status (4)

Country Link
JP (1) JP5282419B2 (ja)
KR (1) KR101457006B1 (ja)
CN (1) CN101335300B (ja)
TW (1) TWI446522B (ja)

Cited By (89)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014527315A (ja) * 2011-09-26 2014-10-09 アプライド マテリアルズ インコーポレイテッド 半導体集積のための反応しないドライ除去プロセス
US9837284B2 (en) 2014-09-25 2017-12-05 Applied Materials, Inc. Oxide etch selectivity enhancement
US9837249B2 (en) 2014-03-20 2017-12-05 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
CN116779611A (zh) * 2023-08-17 2023-09-19 合肥晶合集成电路股份有限公司 一种半导体结构及其制备方法
US12009228B2 (en) 2023-02-27 2024-06-11 Applied Materials, Inc. Low temperature chuck for plasma processing systems

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8048733B2 (en) * 2009-10-09 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a gate structure
KR20230173233A (ko) * 2009-11-13 2023-12-26 가부시키가이샤 한도오따이 에네루기 켄큐쇼 표시 장치 및 이 표시 장치를 구비한 전자 기기
CN102479722B (zh) * 2010-11-30 2014-03-12 中芯国际集成电路制造(北京)有限公司 晶体管的制作方法
CN102479694B (zh) * 2010-11-30 2013-09-04 中芯国际集成电路制造(北京)有限公司 一种金属栅极及mos晶体管的形成方法
CN102487085B (zh) * 2010-12-01 2014-04-23 中国科学院微电子研究所 半导体器件及其制造方法
CN102738225A (zh) * 2011-04-06 2012-10-17 联华电子股份有限公司 半导体元件及其制作方法
US8334198B2 (en) * 2011-04-12 2012-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a plurality of gate structures
CN102779751B (zh) * 2011-05-11 2015-09-09 中国科学院微电子研究所 一种半导体器件的制造方法
CN102956544B (zh) * 2011-08-25 2015-06-17 中芯国际集成电路制造(上海)有限公司 金属互连线的制造方法
US9059263B2 (en) 2011-11-09 2015-06-16 QUALCOMM Incorpated Low-K dielectric protection spacer for patterning through substrate vias through a low-K wiring layer
CN103545209A (zh) * 2012-07-13 2014-01-29 中芯国际集成电路制造(上海)有限公司 形成高k金属栅极器件的方法
KR102106885B1 (ko) * 2013-03-15 2020-05-06 삼성전자 주식회사 실리콘 산화막 증착용 전구체 조성물 및 상기 전구체 조성물을 이용한 반도체 소자 제조 방법
US9461144B2 (en) * 2014-06-13 2016-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method for semiconductor device fabrication
JP6594261B2 (ja) * 2016-05-24 2019-10-23 ルネサスエレクトロニクス株式会社 半導体装置
CN110491876B (zh) * 2019-08-23 2024-04-05 福建省晋华集成电路有限公司 半导体存储元件的制造方法及该元件
CN114093766A (zh) * 2020-08-24 2022-02-25 联华电子股份有限公司 半导体装置及其制造方法

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1174508A (ja) * 1997-06-30 1999-03-16 Toshiba Corp 半導体装置及びその製造方法
JP2000091562A (ja) * 1998-09-16 2000-03-31 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP2004015045A (ja) * 2002-06-06 2004-01-15 Huabang Electronic Co Ltd ゲート構造とその製造方法
JP2004014875A (ja) * 2002-06-07 2004-01-15 Fujitsu Ltd 半導体装置及びその製造方法
JP2004297088A (ja) * 2004-06-18 2004-10-21 Renesas Technology Corp Mosトランジスタ
JP2005303256A (ja) * 2004-03-17 2005-10-27 Renesas Technology Corp 半導体装置及び半導体装置の製造方法
JP2006523379A (ja) * 2003-03-17 2006-10-12 東京エレクトロン株式会社 基板を処理する処理システムおよび方法
JP2006295071A (ja) * 2005-04-14 2006-10-26 Toshiba Corp 半導体装置の製造方法

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7176041B2 (en) * 2003-07-01 2007-02-13 Samsung Electronics Co., Ltd. PAA-based etchant, methods of using same, and resultant structures

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1174508A (ja) * 1997-06-30 1999-03-16 Toshiba Corp 半導体装置及びその製造方法
JP2000091562A (ja) * 1998-09-16 2000-03-31 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP2004015045A (ja) * 2002-06-06 2004-01-15 Huabang Electronic Co Ltd ゲート構造とその製造方法
JP2004014875A (ja) * 2002-06-07 2004-01-15 Fujitsu Ltd 半導体装置及びその製造方法
JP2006523379A (ja) * 2003-03-17 2006-10-12 東京エレクトロン株式会社 基板を処理する処理システムおよび方法
JP2005303256A (ja) * 2004-03-17 2005-10-27 Renesas Technology Corp 半導体装置及び半導体装置の製造方法
JP2004297088A (ja) * 2004-06-18 2004-10-21 Renesas Technology Corp Mosトランジスタ
JP2006295071A (ja) * 2005-04-14 2006-10-26 Toshiba Corp 半導体装置の製造方法

Cited By (120)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
JP2014527315A (ja) * 2011-09-26 2014-10-09 アプライド マテリアルズ インコーポレイテッド 半導体集積のための反応しないドライ除去プロセス
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9837249B2 (en) 2014-03-20 2017-12-05 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US9837284B2 (en) 2014-09-25 2017-12-05 Applied Materials, Inc. Oxide etch selectivity enhancement
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US12009228B2 (en) 2023-02-27 2024-06-11 Applied Materials, Inc. Low temperature chuck for plasma processing systems
CN116779611A (zh) * 2023-08-17 2023-09-19 合肥晶合集成电路股份有限公司 一种半导体结构及其制备方法
CN116779611B (zh) * 2023-08-17 2023-11-28 合肥晶合集成电路股份有限公司 一种半导体结构及其制备方法

Also Published As

Publication number Publication date
TWI446522B (zh) 2014-07-21
CN101335300A (zh) 2008-12-31
KR20080093911A (ko) 2008-10-22
CN101335300B (zh) 2010-07-21
KR101457006B1 (ko) 2014-10-31
JP5282419B2 (ja) 2013-09-04
TW200849557A (en) 2008-12-16

Similar Documents

Publication Publication Date Title
JP5282419B2 (ja) 半導体装置及びその製造方法
US8350335B2 (en) Semiconductor device including off-set spacers formed as a portion of the sidewall
US11508583B2 (en) Selective high-k formation in gate-last process
US7615840B2 (en) Device performance improvement using flowfill as material for isolation structures
JP5070969B2 (ja) 半導体装置の製造方法
TW201113936A (en) Method for fabricating a gate structure
US8828841B2 (en) Semiconductor device and method of manufacture
JP2005191482A (ja) 半導体装置及びその製造方法
JP2009033032A (ja) 半導体装置及び半導体装置の製造方法
JP2007157744A (ja) 半導体装置および半導体装置の製造方法
JP2010171137A (ja) 半導体装置の製造方法及び半導体装置
TWI389203B (zh) 製造半導體元件之方法
JP3646718B2 (ja) 半導体装置の製造方法
JP2005064032A (ja) 半導体装置及びその製造方法
JP5130652B2 (ja) 金属膜のエッチング方法及び半導体装置の製造方法
US20060115967A1 (en) Methods of manufacturing a semiconductor device
JP5374947B2 (ja) 半導体装置およびその製造方法
CN109786254A (zh) 后栅极工艺中的选择性高k形成
CN107731747B (zh) 半导体结构及其形成方法
KR100715272B1 (ko) 게이트 구조물의 형성 방법 및 이를 이용한 반도체 장치의제조 방법
JP2010219289A (ja) 半導体装置及びその製造方法
CN107785312A (zh) 半导体器件及其制备方法
JP2008066378A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100225

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100225

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120622

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120703

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120827

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121120

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130115

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130430

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130513

R151 Written notification of patent or utility model registration

Ref document number: 5282419

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R151

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees