CN101335300B - 半导体装置及其制造方法 - Google Patents

半导体装置及其制造方法 Download PDF

Info

Publication number
CN101335300B
CN101335300B CN2008102103134A CN200810210313A CN101335300B CN 101335300 B CN101335300 B CN 101335300B CN 2008102103134 A CN2008102103134 A CN 2008102103134A CN 200810210313 A CN200810210313 A CN 200810210313A CN 101335300 B CN101335300 B CN 101335300B
Authority
CN
China
Prior art keywords
gate electrode
film
dummy gate
clearance wall
dielectric film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2008102103134A
Other languages
English (en)
Other versions
CN101335300A (zh
Inventor
菊池善明
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Publication of CN101335300A publication Critical patent/CN101335300A/zh
Application granted granted Critical
Publication of CN101335300B publication Critical patent/CN101335300B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本发明公开了一种半导体装置及其制造方法。具有场效应晶体管的半导体装置包括:具有沟道形成区域的半导体衬底、形成在半导体衬底上的绝缘膜,形成在绝缘膜中的栅极电极沟槽、形成在栅极电极沟槽底部的栅极绝缘膜、通过采用栅极绝缘膜上的层填充栅极电极沟槽形成的栅极电极、由氧化硅或含硼氮化硅构成并形成为绝缘膜一部分以构成栅极电极沟槽侧壁的偏移间隙壁、在远离栅极电极一侧于偏移间隙壁两侧上形成为绝缘薄膜一部分的侧壁间隙壁以及具有延伸区以及在半导体衬底中和偏移间隙壁和侧壁间隙壁下方形成的源-漏区域。

Description

半导体装置及其制造方法
技术领域
本发明涉及半导体装置及其制造方法,并且尤其是包括场效应晶体管的半导体装置及其制造方法。
背景技术
在制造半导体装置的方法中,金属镶嵌工艺一般作为形成布线的方法。
在金属镶嵌工艺中,例如,在衬底上的绝缘膜上形成栅极电极的沟槽,并且沉积导电材料填满栅极电极沟槽,然后通过CMP(化学机械抛光)将沟槽外的导电材料移除,在栅极电极的沟槽内保留导电材料以形成布线。
MOSFET(金属-氧化物-半导体场效应晶体管;此后称为“MOS晶体管”)是半导体装置的基本元件,随着半导体装置微型化的发展以及集成度的增加,MOSFET日益微型化。因此,栅极长度和栅极绝缘膜的厚度按比例减小。
在32nm这代工艺中采用SiON绝缘膜作为栅极绝缘膜会造成大的漏损,因此很难采用SiON绝缘膜作为栅极绝缘膜。
因此,有人研究采用物理膜厚正在增大的高介电常数薄膜(所谓高-k薄膜)作为栅极绝缘薄膜材料。
由于高-k薄膜一般耐热性差,而在源漏区扩散热处理中进行了高温热处理,因此希望在源-漏区扩散热处理之后形成栅极绝缘薄膜。
作为允许该程序的方法,一般使用采用金属镶嵌工艺的金属镶嵌栅极工艺形成MOS晶体管的栅极电极。
公开号为No.2005-303256的日本未审查专利申请公开了一种采用金属镶嵌工艺制造具有源漏区的MOS晶体管的方法,该晶体管的源漏区具有延伸区。
在这种方法中,例如,在半导体衬底的有源区上形成虚拟栅极绝缘膜和虚拟栅电极,在衬底上的虚拟栅极绝缘膜两侧形成由氮化硅构成的偏移间隙壁(offset spacer),以及采用虚拟栅极电极和偏移间隙壁作为掩模用离子注入半导体衬底以形成延伸区。
接下来,侧壁间隙壁形成在衬底上的偏移间隙壁的两侧,并且采用虚拟栅极电极、偏移间隙壁和侧壁间隙壁作为掩模在半导体衬底内注入离子以形成源-漏区。
如上所述,形成每个都具有延伸区的源-漏区。
接下来,在整个表面上形成层间绝缘膜以覆盖虚拟栅极电极,抛光上表面直到暴露虚拟栅极电极的表面,然后通过蚀刻移除虚拟栅极电极和虚拟栅极绝缘膜以形成栅极电极的沟槽。
接下来,在栅极电极沟槽底部形成栅极绝缘膜,然后在栅极绝缘膜上形成栅极电极以填充栅极电极沟槽。
如上所述,采用金属镶嵌工艺形成MOS晶体管。
当栅极电极沟槽形成时,为了防止损伤衬底,优选通过湿式蚀刻移除虚拟栅极绝缘膜。因此,在公开号为No.2005-303256的日本未审查专利申请中,为了防止偏移间隙壁在湿式蚀刻中被移除,偏移间隙壁由氮化硅构成。
虽然可以防止偏移间隙壁在湿式蚀刻中被移除,但是由于氮化硅的介电常数高于氧化硅,因此在栅极电极和源-漏区之间的寄生电容增大。这将导致MOS晶体管性能的劣化。
发明内容
本发明将解决当采用金属镶嵌工艺形成MOS晶体管时难以形成高性能晶体管的问题。
依照本发明实施例的半导体装置具有场效应晶体管,包括具有沟道形成区域的半导体衬底、形成在半导体衬底上的绝缘膜、形成在绝缘膜中的栅极电极沟槽、形成在栅极电极沟槽底部的栅极绝缘膜、形成在栅极绝缘膜上以填充栅极电极沟槽的栅极电极、由氧化硅或含硼氮化硅构成并且形成作为绝缘膜一部分以构成栅极电极沟槽侧壁的偏移间隙壁、在远离栅极电极一侧于偏移间隙壁两侧上形成作为绝缘薄膜一部分的侧壁间隙壁,以及每一个具有延伸区、形成在半导体衬底中且至少在偏移间隙壁和侧壁间隙壁下方的源-漏区域。
该半导体装置包括在具有沟道形成区域的半导体衬底上形成的绝缘膜、形成在绝缘膜中的栅极电极沟槽、形成在栅极电极沟槽底部的栅极绝缘膜,以及形成在栅极绝缘膜上以填充栅极电极沟槽的栅极电极。
同样,形成由氧化硅或含碳氮化硅构成的偏移间隙壁作为绝缘膜的一部分以构成栅极电极沟槽的侧壁,以及在远离栅极电极一侧于偏移间隙壁两侧上形成作为绝缘膜一部分的侧壁间隙壁。
此外,在半导体衬底中形成每一个具有延伸区的源-漏区域,并且它至少在偏移间隙壁和侧壁间隙壁下方。
该场效应晶体管如上所述配置。
依据本发明另一个实施例的半导体装置具有场效应晶体管,包括具有沟道形成区域的半导体衬底、形成在半导体衬底上的绝缘膜、形成在绝缘膜中的栅极电极沟槽、形成在栅极电极沟槽底部的栅极绝缘膜、形成在栅极绝缘膜上以填充栅极电极沟槽的栅极电极、每一个包括从栅电极一侧层叠的氮化硅膜或含硼氮化硅薄膜和氧化硅膜并形成为部分绝缘膜以构成栅电极沟槽侧壁的偏移间隙壁、在远离栅极电极一侧于偏移间隙壁两侧上形成作为绝缘膜一部分的侧壁间隙壁,以及每一个具有延伸区、形成在半导体衬底中并且至少在偏移间隙壁和侧壁间隙壁下方的源-漏区域。
该半导体装置包括在具有沟道形成区域的半导体衬底上形成的绝缘膜、形成在绝缘膜中的栅极电极沟槽、形成在栅极电极沟槽底部的栅极绝缘膜,以及形成在栅极绝缘膜上以填充栅极电极沟槽的栅极电极。
同样,每个偏移间隙壁包括从栅极电极一侧层叠的氮化硅膜或含硼氮化硅膜和氧化硅膜,偏移间隙壁形成作为绝缘膜的一部分以构成栅极电极沟槽的侧壁,并且侧壁间隙壁在远离栅极电极一侧的偏移间隙壁两侧上形成,作为绝缘薄膜的一部分。
此外,在半导体衬底中形成每一个具有延伸区的源-漏区域,它至少在偏移间隙壁和侧壁间隙壁下方。
该场效应晶体管的配置如上所述。
依据本发明再一个实施例的制造半导体装置的方法包括以下步骤:在具有沟道形成区域的半导体衬底上形成虚拟栅极绝缘膜和虚拟栅极电极,在虚拟栅极电极两侧上形成由氧化硅或含硼氮化硅构成的偏移间隙壁,采用偏移间隙壁和虚拟栅极电极作为掩模在半导体衬底上形成延伸区,在偏移间隙壁两侧上形成侧壁间隙壁,采用侧壁间隙壁、偏移间隙壁以及虚拟栅极电极作为掩模在半导体衬底中形成源-漏区域,形成绝缘膜以覆盖虚拟栅极电极,移除绝缘膜直到虚拟栅极电极从绝缘膜的顶部暴露,移除虚拟栅极电极和虚拟栅极绝缘膜以形成栅极电极沟槽,在栅极电极沟槽底部形成栅极绝缘膜,在栅极绝缘膜上形成导电层以填充栅极电极沟槽,并且从栅电极沟槽外侧移除导电层以形成场效应晶体管。移除虚拟栅极绝缘膜的步骤至少包括蚀刻处理,该蚀刻处理包括采用包含氨和氟化氢的蚀刻气体处理绝缘层暴露表面的第一处理以及分解并蒸发第一处理中形成的产物的第二处理。
在制造半导体装置的方法中,在具有沟道形成区域的半导体衬底上形成虚拟栅极绝缘膜和虚拟栅极电极,在虚拟栅极电极两侧形成由氧化硅或含硼氮化硅构成的偏移间隙壁,以及采用偏移间隙壁和虚拟栅极电极作为掩模在半导体衬底中形成延伸区。
接下来,侧壁间隙壁位于偏移间隙壁两侧上,以及采用侧壁间隙壁、偏移间隙壁和虚拟栅极电极作为掩模在半导体衬底内形成源-漏区域。
接下来,形成绝缘膜以覆盖虚拟栅极电极,移除绝缘膜直到虚拟栅极电极从绝缘膜的顶部暴露,以及移除虚拟栅极电极和虚拟栅极绝缘膜以形成栅极电极沟槽。
接下来,在栅极电极沟槽的底部形成栅极绝缘膜,在栅极绝缘膜上形成导电层以填充栅极电极沟槽,以及将栅极电极沟槽外侧的导电层移除。
按照这种方式,形成场效应晶体管。
移除虚拟栅极绝缘膜的步骤至少包括蚀刻处理,该蚀刻处理包括采用包含氨和氟化氢的蚀刻气体处理绝缘层暴露表面的第一处理以及分解并蒸发第一处理中形成的产物的第二处理。
依据本发明又一个实施例的半导体装置的制造方法包括以下步骤:在具有沟道形成区域的半导体衬底上形成虚拟栅极绝缘膜和虚拟栅极电极,顺序层叠氮化硅膜和氧化硅膜或含硼氮化硅膜以在虚拟栅极电极两侧形成偏移间隙壁,采用偏移间隙壁和虚拟栅极电极作为掩模在半导体衬底中形成延伸区,在偏移间隙壁的两侧形成侧壁间隙壁,采用侧壁间隙壁、偏移间隙壁和虚拟栅极电极作为掩模在半导体衬底中形成源-漏区域,形成绝缘膜以覆盖虚拟栅极电极,移除绝缘膜直到虚拟栅极电极从绝缘膜的顶部暴露,移除虚拟栅极电极和虚拟栅极绝缘膜以形成栅极电极沟槽以及移除构成偏移间隙壁的氮化硅膜,在栅极电极沟槽底部形成栅极绝缘膜,在栅极绝缘膜上形成导电层以填充栅极电极沟槽,以及将栅极电极沟槽外面的导电层移除以形成场效应晶体管。
在制造半导体装置的方法中,在具有沟道形成区域的半导体衬底上形成虚拟栅极绝缘膜和虚拟栅极电极,顺序层叠氮化硅膜和氧化硅膜或含硼氮化硅膜以在虚拟栅极电极两侧形成偏移间隙壁,以及采用偏移间隙壁和虚拟栅极电极作为掩模在半导体衬底中形成延伸区。
接下来,在偏移间隙壁的两侧形成侧壁间隙壁,以及采用侧壁间隙壁、偏移间隙壁和虚拟栅极电极作为掩模在半导体衬底上形成源-漏区域。
接下来,形成绝缘膜以覆盖虚拟栅极电极,移除绝缘膜直到虚拟栅极电极从绝缘膜顶部暴露,移除虚拟栅极电极和虚拟栅极绝缘膜以形成栅极电极沟槽,以及移除构成偏移间隙壁的氮化硅膜。
接下来,在栅极电极沟槽的底部形成栅极绝缘膜,在栅极绝缘膜上形成导电层以填充栅极电极沟槽,并且将栅极电极沟槽外面的导电层移除。
按照这种方式,形成场效应晶体管。
根据本发明其它实施例的制造场效应晶体管的方法包括以下步骤:在具有沟道形成区域的半导体衬底上形成虚拟栅极绝缘膜和虚拟栅极电极,顺序层叠氮化硅膜或含硼氮化硅膜和氧化硅膜以在虚拟栅极电极两侧上形成偏移间隙壁,采用偏移间隙壁和虚拟栅极电极作为掩模在半导体衬底中形成延伸区,在偏移间隙壁的两侧上形成侧壁间隙壁,采用侧壁间隙壁、偏移间隙壁和虚拟栅极电极作为掩模在半导体衬底中形成源-漏区域,形成绝缘膜以覆盖虚拟栅极电极,移除绝缘膜直到虚拟栅极电极从绝缘膜的顶部暴露,移除虚拟栅极电极和虚拟栅极绝缘膜以形成栅极电极沟槽而保留至少部分构成偏移间隙壁的氮化硅膜或含硼氮化硅膜,在栅极电极沟槽的底部形成栅极绝缘膜,在栅极绝缘膜上形成导电层以填充栅极电极沟槽,以及将栅极电极沟槽外的导电层移除以形成场效应晶体管。
在制造半导体装置的方法中,在具有沟道形成区域的半导体衬底上形成虚拟栅极绝缘膜和虚拟栅极电极,顺序层叠氮化硅膜或含硼氮化硅膜和氧化硅膜以在虚拟栅极电极的两侧上形成偏移间隙壁,以及采用偏移间隙壁和虚拟栅极电极作为掩模在半导体衬底中形成延伸区。
接下来,在偏移间隙壁的两侧上形成侧壁间隙壁,以及采用侧壁间隙壁、偏移间隙壁和虚拟栅极电极作为掩模在半导体衬底中形成源-漏区域。
接下来,形成绝缘膜以覆盖虚拟栅极电极,移除绝缘膜直到虚拟栅极电极从绝缘膜的顶部暴露,以及移除虚拟栅极电极和虚拟栅极绝缘膜以形成栅极电极沟槽而至少保留部分构成偏移间隙壁的氮化硅膜或含硼氮化硅膜。
接下来,在栅电极沟槽底部形成栅绝缘膜,在栅绝缘膜上形成导电层以填充栅电极沟槽,以及从栅电极沟槽的外部移除导电层。
通过这种方式,形成场效应晶体管。
依据本发明实施例的半导体装置的结构中,使用氧化硅膜并在制造工艺之后保留,该氧化硅膜具有比氮化硅构成的偏移间隙壁的介电常数低的介电常数。因此,将可以确保通过金属镶嵌栅极工艺形成的MOS晶体管具有高性能。
根据本发明实施例的半导体装置的制造方法包括形成偏移间隙壁,当采用金属镶嵌工艺制造MOS晶体管时,其每一个包括氧化硅膜,其介电常数比由氮化硅构成的偏移间隙壁的低。由于氧化硅膜在制造工艺中没有被移除,这可以提高MOS晶体管的性能。
附图说明
图1是依据本发明的第一实施例的半导体装置的示意性截面图;
图2A和2B是分别显示根据本发明第一实施例的半导体装置制造方法的步骤的截面图;
图3A和3B是分别显示根据本发明第一实施例的半导体装置制造方法的步骤的截面图;
图4A和4B是分别显示根据本发明第一实施例的半导体装置制造方法的步骤的截面图;
图5A和5B是分别显示根据本发明第一实施例的半导体装置制造方法的步骤的截面图;
图6A和6B是分别显示根据本发明第一实施例的半导体装置制造方法的步骤的截面图;
图7A和7B是分别显示根据本发明第二实施例的半导体装置制造方法的步骤的截面图;
图8A和8B是分别显示根据本发明第二实施例的半导体装置制造方法的步骤的截面图;
图9是根据本发明第三实施例的半导体装置的示意性截面图;
图10A和10B是分别显示根据本发明第三实施例的半导体装置制造方法的步骤的截面图;
图11是根据本发明第四实施例的半导体装置的示意性截面图;
图12A和12B是分别显示根据本发明第四实施例的半导体装置制造方法的步骤的截面图;
图13是根据本发明第五实施例的半导体装置的示意性截面图;
图14是根据本发明实施例的半导体装置的示意性截面图;
图15是根据本发明实施例的半导体装置的示意性截面图;
图16是根据本发明实施例的半导体装置的示意性截面图;
图17是根据本发明实施例的半导体装置的示意性截面图;以及
图18是显示在本发明一个实例中测定的蚀刻速率的图线。
具体实施方式
以下将参照附图描述根据本发明的半导体装置及其制造方法。
第一实施例
附图1是显示根据第一实施例的半导体装置的示意性截面图。
例如,通过STI(浅沟槽隔离)方法在硅半导体衬底10上形成元件隔离绝缘膜11用于隔离有源区域,衬底10具有沟道形成区域。此外,在半导体衬底10上形成包括偏移间隙壁15、氮化硅膜(侧壁间隙壁)17a和层间绝缘膜20的绝缘薄膜I。
例如,在绝缘薄膜I中形成栅极电极沟槽A,并且在栅极电极沟槽A的底部形成栅极绝缘膜21,其包括具有介电常数高于氧化硅的氧化铪膜或氧化铝膜,即高-k膜,或者氧化硅薄膜。此外,通过采用多晶硅或金属材料填充栅极电极沟槽A,在栅极绝缘膜21上形成栅极电极22。此外,如附图1所示,当栅极电极22由多晶硅构成时,在栅极电极22的上表面形成由NiSi构成的难熔金属硅化物层23。当栅极电极22由金属材料构成时,例如,采用从由钨、铪、钽、钛、钼、钌、镍和铂构成的组中选出的一种金属,含有该金属的合金,或该金属的化合物。
例如,形成偏移间隙壁15作为绝缘薄膜I的一部分以与半导体衬底10接触并且构成栅极电极沟槽A的侧壁。偏移间隙壁15由氧化硅构成。
形成氮化硅薄膜(侧壁间隙壁)17a作为绝缘薄膜I的一部分以与半导体衬底10接触。在偏移间隙壁15的远离栅极电极22的两侧形成氮化硅膜17a。
层间绝缘膜20例如由氧化硅构成。
此外,至少在偏移间隙壁15和氮化硅薄膜(侧壁间隙壁)17a下方以及半导体衬底10上形成每一个具有延伸区16的源-漏区域18。在每一个源-漏区域18的表面层上形成由NiSi构成的难熔金属硅化物层19。
场效应晶体管如上所述构成。
此外,形成由氧化硅构成的上绝缘膜24以覆盖绝缘膜I和栅极电极22(或者难熔金属硅化物层23)。另外,提供开口CH以贯穿上绝缘膜24和层间绝缘膜20并达到每一个源-漏区域18的难熔金属硅化物层19和栅极电极22的难熔金属硅化物层23。采用由导电材料构成的插塞25填充每一个开口CH。此外,在上绝缘膜24上形成由导电材料构成的上布线26,以连接到每个插塞25。
为形成延伸区采用偏移间隙壁15作为掩模层。因此,根据激活热处理的情况,采用偏移间隙壁15的远离栅极电极22的端部位置来基本定位延伸区16的沟道侧端部。因此,每个偏移间隙壁15的宽度与延伸区的外形相关,并且它可能取决于用于偏移间隙壁15的氧化硅薄膜的外形。
同样,用氮化硅膜(侧壁间隙壁)17a作为掩模层来形成源-漏区域。因此,根据激活热处理的情况,氮化硅膜(侧壁间隙壁)17a的远离栅极电极22的端部位置用于基本定位源-漏区域18的沟道侧端部。
根据该实施例的半导体装置结构中,使用氧化硅膜并在制造工艺之后使之保留,该氧化硅膜的介电常数比氮化硅构成的偏移间隙壁的低。因此,将可以确保通过金属镶嵌栅极工艺形成的MOS晶体管具有高性能。
偏移间隙壁15的材料并不限制于氧化硅,并且可以采用含硼氮化硅(SiBN)膜。SiBN膜具有低于氮化硅膜的介电常数,并且在B/N比率为2时介电常数大约为5。同样,SiBN薄膜具有高于氧化硅膜的耐酸性,因此蚀刻量相对很小。因此,即使采用SiBN薄膜时,与上述实施例一样可以确保晶体管具有高性能。
接下来,将参考附图描述根据该实施例的半导体装置的制造方法。
首先,如附图2A所示,为隔离具有沟道形成区域的硅半导体衬底10中的有源区,通过STI(浅沟槽隔离)方法形成元件隔离绝缘膜11。
其次,例如,通过热氧化方法在整个表面上沉积厚度大约4nm的氧化硅,通过CVD(化学气相沉积)方法沉积多晶硅至150到200nm,并且还沉积氮化硅至50到100nm的厚度。然后,在栅极形成区域以外的区域中执行光刻蚀刻以在半导体衬底10的有源区中的栅极电极形成区域上形成氧化硅的虚拟绝缘膜12、多晶硅的虚拟栅电极13以及氮化硅的硬掩模层14。
接着,如附图2B所示,通过采用如TEOS(四乙基正硅酸盐)作为原材料气体的CVD方法在整个表面上沉积厚度为8到14nm的氧化硅,并且然后回蚀以在虚拟栅极电极13的两侧上形成偏移间隙壁15并与半导体衬底10接触。
接下来,如附图3A所示,采用偏移间隙壁15和硬掩膜14(或虚拟栅极电极13)作为掩膜在有源区域中注入杂质离子以在半导体衬底10中形成袋层(晕;没有示出)和扩展区域16。
接下来,如附图3B所示,通过如等离子CVD方法在整个表面上沉积厚度为20nm的氮化硅,并且还沉积厚度为50nm的氧化硅。然后,在整个表面上执行回蚀以在偏移间隙壁15两侧上形成侧壁间隙壁17并与半导体衬底10接触,每一个侧壁间隙壁17包括氮化硅膜17a和氧化硅膜17b。每个侧壁间隙壁17可以是三层层叠的绝缘膜,例如氧化硅膜/氮化硅膜/氧化硅膜。
接下来,如附图4A所示,在半导体衬底10中,例如,采用侧壁间隙壁17、偏移间隙壁15和硬掩模层14(或虚拟栅极电极13)作为掩模用杂质离子注入有源区,以形成源-漏区域18。
例如,以2到4keV的能量、1.5到3.5×1015/cm2的剂量注入硼。
如上所述,在半导体衬底10中以及至少偏移间隙壁15和侧壁间隙壁17下方形成每个具有延伸区16的源-漏区域18。
然后,为激活杂质执行RTA(快速热退火,1050℃)热处理。
接下来,如附图4B所示,在采用稀释氢氟酸(DHF)的预处理之后,通过溅射在整个表面上沉积厚度为8nm的例如镍、钴、或铂的难熔金属,并且然后硅化每个源-漏区域的表面即难熔金属和硅的接触处,以形成难熔金属硅化物层19。然后,移除未反应的难熔金属。
在DHF处理中,移除构成侧壁间隙壁17的氧化硅膜17b。以下,氮化硅膜17a被称为“侧壁间隙壁”。
接下来,如图5A所示,通过CVD方法在整个表面上沉积氧化硅以覆盖例如硬掩模层14(或虚拟栅极电极13),来形成层间绝缘膜20。然后,通过CMP(化学机械抛光)方法抛光上表面直到暴露硬掩模层14(或虚拟栅极电极13)的表面。
包括如上所述形成的层间绝缘膜20、偏移间隙壁15和氮化硅膜(侧壁间隙壁)17a的膜被称为“绝缘膜I”。
然后,如图5B所示,通过如在预定条件下的蚀刻移除虚拟电极13(和硬掩模层14)。
该蚀刻是在这样的条件下进行的,即对氧化硅的虚拟栅极绝缘膜显现出足够的选择比。
接下来,如图6A所示,通过如将在以下详述的蚀刻移除虚拟栅极绝缘膜12。
移除虚拟栅极绝缘膜12的蚀刻包括用氨和氟化氢处理虚拟栅极绝缘膜12的暴露表面的第一处理,以及分解并蒸发第一处理形成的产物的第二处理。
现在描述第一处理。
用包含NH3、HF和Ar的混合气体化学蚀刻虚拟栅极绝缘膜12的表面。
具体言之,把晶片(衬底10)转移到蚀刻设备的化学蚀刻腔并放置在晶片载台上,然后形成如下所述的气体氛围以在虚拟栅极膜12的表面形成含硅络合物。
气体氛围如下:
NH3/HF/Ar=50/50/80sccm,压力=6.7Pa,载台温度=30℃。
在混合气体氛围中的化学反应如下所述。
当HF/NH3/Ar以气态供应到化学蚀刻腔中时,虚拟栅极绝缘膜12的暴露的氧化硅表面上根据朗缪尔(Langmuir)吸收定律吸收气体。同时,进行以下化学反应。
SiO2+4HF→SiF4+2H2O                 (1)
SiF4+2NH3+2HF→(NH4)2SiF6           (2)
也就是,通过与HF反应产生SiF4和H2O,然后通过NH3、HF和SiF4的化学反应在氧化硅绝缘层的表面形成(NH4)2SiF6络合物层。
根据朗缪尔吸收定律,反应由几个分子层级别的气体吸收控制,且在吸收的气体分子覆盖度饱和时反应自终止。因此,(NH4)2SiF6络合物的生成同样饱和。
在其后的第二处理中,覆盖着(NH4)2SiF6络合物的晶片转移到加热腔并放置在加热载台上,并且然后加热器开始加热将(NH4)2SiF6络合物分解成SiF4等。并将它们蒸发。
加热条件如下:
载台温度=200℃,压力=26.7Pa
通过以下反应描述该反应。通过将衬底加热到200℃并蒸发将在氧化硅虚拟栅极绝缘膜12的表面上沉积的(NH4)2SiF6络合物分解成SiF4、NH3和HF,然后通过干燥泵排尽气体。
(NH4)2SiF6→SiF4+2NH3+HF
由于化学蚀刻采用表面反应,它具有图案中的无密度差异的优点。
例如,通过决定气体供应时间控制氧化硅虚拟绝缘膜12的蚀刻量到希望值。
在移除虚拟绝缘膜的处理中,虽然半导体衬底的表面暴露,但是衬底没有受到损伤。
如上所述,在绝缘膜1中形成栅极电极沟槽A。
在上述蚀刻中,如下所述,蚀刻时间选择为可以控制通过热氧化方法形成的氧化硅膜的蚀刻量,使其大于用TEOS作为原材料由CVD方法形成的氧化硅膜的蚀刻量。因此,仅仅部分移除偏移间隙壁15直到完全移除虚拟栅极绝缘膜。虽然偏移间隙壁15略微有缩进,但是可以阻止栅极电极沟槽变宽。因此,在一定程度上保证晶体管的性能。
例如,当偏移间隙壁15的厚度为8nm,而虚拟栅极绝缘薄膜12为4nm时,在上述蚀刻条件下的蚀刻需要45秒才能完全移除虚拟栅极绝缘膜12。在这段时间内,每个偏移间隙壁15被移除3.9nm,因而每个偏移间隙壁剩余4.1nm的厚度。
接下来,如附图6B所示,例如,通过热氧化方法沉积氮氧化硅以覆盖栅极电极沟槽A的底部,或通过ALD方法沉积氧化铪或氧化铝以覆盖栅极电极沟槽A的内表面,形成由高-k膜构成的栅极绝缘膜21。形成高-k膜后,由于高-k薄膜的耐热性差,因此在500℃以下的处理温度执行处理步骤。
接下来,例如,通过溅射或CVD方法在栅极绝缘薄膜21上沉积诸如钌、氮化钛、硅化铪(HfSix)或钨的金属材料或多晶硅的导电材料,以覆盖栅电极沟槽A的内壁。然后,通过抛光移除在栅极电极沟槽A外面的沉积导电材料以形成栅极电极22。
此外,当采用多晶硅形成栅极电极22时,在栅极电极22上可以形成NiSi难熔金属硅化层23。
在后序步骤中,通过如CVD方法沉积氧化硅以覆盖绝缘膜1和栅极电极22(或难熔金属硅化层23),形成上绝缘膜24。
接下来,形成开口CH以贯穿上绝缘膜24和层间绝缘膜20并接触到源-漏区域18的难熔金属硅化层19和栅极电极22的难熔金属硅化层23。
采用由例如金属的导电材料构成的插塞25填充所得到的每一个开口CH,并且在上绝缘膜24上形成由导电材料构成的上布线26以接触插塞25。
如上所述,制造与具有图1所示结构的半导体装置类似的半导体装置。
采用偏移间隙壁作为掩模来形成延伸区,从而根据激活热处理的情况,偏移间隙壁15的远离栅电极22的端部位置基本上用来定位延伸区16的沟道侧端部。
同样采用氮化硅膜(侧壁间隙壁)17a作为掩模形成源漏区,从而根据激活热处理的情况,氮化硅膜(侧壁间隙壁)17a的远离栅极电极22的端部位置基本上用来定位源-漏区域18沟道侧的端部。
根据本发明此实施例的半导体装置的制造方法包括:当采用金属镶嵌栅工艺制造MOS晶体管时,形成每个包括氧化硅膜的偏移间隙壁,其介电常数比由氮化硅构成的偏移间隙壁的低。因为在该制造工艺中没有移除氧化硅膜,所以能够提高MOS晶体管的性能。
第二实施例
根据本发明第二实施例的半导体装置与第一实施例基本上相同。
参照附图来描述根据该实施例的半导体装置的制造方法。
首先,如附图7A所示,通过STI方法形成元件隔离绝缘膜11,用于隔离具有沟道形成区域的硅半导体衬底10中的有源区。接下来,通过热氧化方法在整个表面上沉积厚度大约4nm的氧化硅。此外,通过CVD方法沉积多晶硅和氮化硅,以及在栅极形成区域以外的区域执行光刻蚀刻以沉积虚拟栅极绝缘膜12、由多晶硅构成的虚拟栅极电极13和由氮化硅构成的硬掩模层14。
其次,通过等离子CVD方法或ALD(原子层沉积)方法在整个表面沉积厚度为0.28nm的氮化硅,并且还通过CVD方法沉积厚度为8到14nm的氧化硅。然后,执行回蚀刻以在虚拟栅极电极13的两侧形成每个具有氮化硅膜15a和氧化硅膜15b的偏移间隙壁15,并与半导体衬底10接触。
后序步骤与第一实施例相同,直到形成如附图7B所示的层间绝缘膜20。
然后,如附图8A所示,通过如在预定条件下蚀刻,移除虚拟栅极电极13(以及硬掩模14)。
在这种条件下执行蚀刻,即对氧化硅的虚拟栅极绝缘膜表现出足够的选择比。
接下来,如附图8B所示,通过如与在第一实施例中移除虚拟栅极绝缘薄膜12相同的蚀刻移除虚拟栅极绝缘膜12。
如上所述,在绝缘薄膜I中形成栅极电极沟槽A。
在上述蚀刻中,如下所述,氮化硅的蚀刻速度比由热氧化方法形成的氧化硅薄膜足够低。例如,当每个偏移间隙壁15包括厚度为0.28nm的氮化硅膜和厚度为8nm的氧化硅膜叠层时,将每个偏移间隙壁15的氮化硅薄膜15a移除0.28nm,也就是,在直到完全蚀刻虚拟栅电极绝缘膜12所需要的45秒时间内,完全移除氮化硅膜。因此,完全保留其厚度为8nm的氧化硅膜15b,从而防止栅极电极沟槽的扩宽。在这时,氧化硅膜15构成了各个偏移间隙壁15。
如上所述,在这个实施例中,预先以这样的厚度沉积氮化硅作为偏移间隙壁的沟槽侧部分,以至于在移除虚拟栅极绝缘膜需要的时间内仅仅移除氮化硅膜。
当移除虚拟栅极绝缘膜需要的处理时间改变时,可以适当改变氮化硅膜15a的厚度。
为移除虚拟栅极绝缘薄膜可以采用DHF处理。在这种情况下,为移除通过热氧化方法形成的4nm氧化硅膜需要103秒,此时在DHF处理中氮化硅被移除0.86nm。因此,例如,当每个偏移间隙壁15包括厚度为0.86nm的氮化硅膜和厚度为8nm的氧化硅膜的叠层时,每个偏移间隙壁15的氮化硅薄膜15a被移除0.86nm,也就是,在直到完全蚀刻虚拟电极绝缘膜12所需要的103秒时间内,完全移除氮化硅膜。因此,全部保留其厚度为8nm的氧化硅膜15b。
然后,如在第一实施例中,例如,在栅极电极沟槽A中形成栅极绝缘膜21、栅极电极22和难熔金属硅化物层23,形成上绝缘膜24,形成开口CH并用插塞25填充,以及形成上层布线26。
如上所述,制造类似根据该实施例的半导体装置的半导体装置。
根据本发明该实施例的半导体装置的制造方法包括:当采用金属镶嵌栅极工艺中形成MOS晶体管时,形成每个具有氧化硅膜的偏移间隙壁,其介电常数比由氮化硅构成的偏移间隙壁的低。因为在制造工艺中没有移除氧化硅膜,所以能够提高MOS晶体管的性能。
虽然用氧化硅膜15b作为构成偏移间隙壁15的膜,但偏移间隙壁15不限于此,并且可以采用含硼氮化硅(SiBN)膜代替氧化硅膜。SiBN膜具有比氮化硅膜低的介电常数,并且当B/N的比率为2时介电常数大约为5。而且,SiBN膜具有比氧化硅膜高的耐酸性,因此蚀刻量相对较小。因此,即使采用SiBN时,也与上述实施例一样,能够保证晶体管高性能。
第三实施例
图9是根据第三实施例的半导体装置截面图。
除了每个偏移间隙壁15保留作为氮化硅膜15a和氧化硅膜15b的叠层以外,该实施例基本上与第一实施例相同。其他部件与第一实施例相同。
将参照附图描述根据该实施例的半导体装置的制造方法。
首先,如图10A所述,执行与第二实施例相同的步骤,直到移除虚拟栅极电极13(和硬掩模层14)。
接下来,如图10B所述,例如,将构成每个偏移间隙壁15的氮化硅膜15a的厚度控制为这样的厚度,即当用与第一实施例相同的蚀刻方法或DHF处理法移除栅极绝缘膜12时,在移除栅极绝缘膜12所需要的时间内,未完全移除氮化硅膜。
如上所述,在绝缘膜I中形成栅极电极沟槽A。
在如上所述的蚀刻中,如下所述,氮化硅的蚀刻速度低于由热氧化方法形成的氧化硅膜的蚀刻速率。例如,当每个偏移间隙壁15包括厚度为0.50nm的氮化硅膜和厚度为8nm的氧化硅膜的叠层时,在直到完全蚀刻虚拟电极绝缘膜12所需要的45秒时间内,将每个偏移间隙壁15的氮化硅膜15a移除0.28nm。就是说,减薄氮化硅膜15a至0.22nm的厚度,但是没有完全移除。因此,完全保留其厚度为8nm的氧化硅膜,从而防止栅极电极沟槽的拓宽。
如上所述,在该实施例中,预先形成氮化硅薄膜作为偏移间隙壁的沟槽侧部分,其厚度大于在移除虚拟栅极绝缘膜所需要的时间内刚好移除的厚度。即使保留氮化硅薄膜,具有高介电常数的氮化硅薄膜也应当尽量薄,并足够薄于构成各个偏移间隙壁的氧化硅膜。
当移除虚拟栅极绝缘膜需要的处理时间改变时,氮化硅膜15a的厚度可以适当改变。
所述处理可以采用DHF处理来移除虚拟栅极绝缘膜。在这种情况下,移除通过热氧化方法形成的4nm氧化硅膜需要103秒,在该时间内在DHF处理中移除0.86nm的氮化硅。因此,例如,当每个偏移间隙壁15包括厚度为1.3nm的氮化硅膜和厚度为8nm的氧化硅膜构成的叠层时,将每个偏移间隙壁15的氮化硅膜15a移除0.86nm,也就是,在直到完全蚀刻虚拟电极绝缘膜12所需要的103秒时间内,保留厚度为0.44nm的氮化硅膜。因此,完全保留其厚度为8nm的氧化硅膜15b。
然后,如第一实施例,例如,在栅极电极沟槽A中形成栅极绝缘膜21、栅极电极22和难熔金属硅化物层23,形成上绝缘膜24,形成开口CH并用插塞25填充,以及形成上层布线26。
如上所述,来制造类似根据该实施例的半导体装置的半导体装置。
根据本发明该实施例的半导体装置的制造方法包括:当采用金属镶嵌栅极工艺形成MOS晶体管时,形成每个包括氧化硅膜的偏移间隙壁,其介电常数比由氮化硅构成的偏移间隙壁的低。因为在制造工艺中没有移除氧化硅膜,所以能够提高MOS晶体管的性能。
第四实施例
图11是根据第四实施例的半导体装置的截面图。
除了包含偏移间隙壁15、氮化硅膜(侧壁间隙壁)17a和层间绝缘膜20的绝缘膜I进一步减薄外,即栅极电极22的高度被减小,该实施例与第一实施例基本相同。其他的部件与第一实施例相同。
将参照附图描述根据该实施例的半导体装置的制造方法。
首先,如图12A所示,执行与第一实施例相同的步骤,直到在每个源-漏区域的表面上形成难熔金属硅化物层19。
接下来,如图12B所示,例如,通过CVD方法在整个表面上沉积氧化硅以覆盖硬掩模层14(或虚拟栅极电极13),以形成层间绝缘膜20,以及通过CMP方法(化学机械抛光)抛光上表面,直到暴露硬掩模层14(或虚拟栅极电极13)的表面。
包括如上所述形成的层间绝缘膜20、偏移间隙壁15和氮化硅膜(侧壁间隙壁)17a的膜称为“绝缘膜I”。
在该实施例中,进一步执行抛光以减薄绝缘膜I。
例如,当有硬掩模14时,可以抛光绝缘膜I直到完全抛光硬掩模层14以暴露虚拟栅极电极13的表面,或可以抛光绝缘膜I至虚拟栅极电极13的中间高度。
当无硬掩模层14时,通过抛光至虚拟栅极电极13的中间高度来移除绝缘膜I。
然后,如第一实施例,移除虚拟栅极电极13(和硬掩模层14)和虚拟栅极绝缘膜12以在绝缘膜I中形成栅极电极沟槽A,在栅极电极沟槽A中形成栅极绝缘膜21、栅极电极22和难熔金属硅化物层23,形成上绝缘膜24,形成开口CH并用插塞25填充,以及形成上层布线26。
如上所述,来制造类似根据该实施例的半导体装置的半导体装置。
根据本发明该实施例的半导体装置的制造方法包括:当采用金属镶嵌工艺形成MOS晶体管时,形成每个具有氧化硅膜的偏移间隙壁,其介电常数比由氮化硅构成的偏移间隙壁的低。因为在制造工艺中没有移除氧化硅薄膜,所以能够提高MOS晶体管的性能。
在该实施例中,如第二实施例,可以预先将作为偏移间隙壁的沟槽侧部分的氮化硅膜形成为这样的厚度,使得在移除虚拟栅绝缘膜所需要的时间内,刚好移除氮化硅膜。
第五实施例
图13是根据第五实施例的半导体装置的截面图。
与第四实施例一样,除了包含偏移间隙壁15、氮化硅膜(侧壁间隙壁)17a和层间绝缘膜20的绝缘膜I被减薄外,也就是,除了减小栅极电极22的高度外,该实施例与第三实施例基本上相同。其他的部件与第三实施例相同。
除了如第四实施例一样进一步减薄绝缘膜外I,根据该实施例的半导体装置的制造方法与第三实施例相同。
在根据本发明该实施例的半导体装置的制造方法中,当采用金属镶嵌栅极工艺形成MOS晶体管时,形成每个具有氧化硅膜的偏移间隙壁,其介电常数比由氮化硅构成的偏移间隙壁的低。因为在制造工艺中没有移除氧化硅薄膜,所以能够提高MOS晶体管的性能。
第六实施例
图14至17分别是根据本发明实施例的半导体装置的截面图。
除了通过ALD方法形成由例如氧化铪或氧化铝的所谓高-k材料构成栅极绝缘膜30以覆盖栅极电极沟槽A的内壁,以及用例如钌或钨的金属材料填充栅极电极沟槽以在栅极绝缘膜30上形成栅极电极31外,这些实施例基本上与第一至第五实施例相同。
附图14、15、16和17分别对应于第一和第二实施例、第三实施例、第四实施例和第五实施例。
根据本发明实施例的半导体装置的制造方法,当采用金属镶嵌栅极工艺形成MOS晶体管时,形成每个具有氧化硅膜的偏移间隙壁,其介电常数比由氮化硅构成的偏移间隙壁的低。因为在制造工艺中没有移除氧化硅薄膜,所以能够提高MOS晶体管的性能。
实例
针对第一实施例中移除虚拟栅极绝缘膜的蚀刻方法,对(a)通过热氧化方法形成的氧化硅膜,(b)通过采用TEOS作为原材料的等离子体CVD方法生成的氧化硅膜,和(c)通过等离子体CVD方法形成的氮化硅膜检测了蚀刻时间和蚀刻量之间的关系。
结果如图18所示。
图18显示在40秒以上的蚀刻时间,由(a)热氧化方法形成的氧化硅膜的蚀刻量变得大于(b)通过采用TEOS作为原材料的等离子体CVD方法形成的氧化硅膜的蚀刻量。因此,当虚拟栅极绝缘膜和偏移间隙壁分别采用这些薄膜(a)和(b)作为材料时,可以移除虚拟栅极电极,而保留偏移间隙壁。
此外,(c)氮化硅膜的蚀刻量一直保持比由(a)热氧化方法形成的氧化硅膜的蚀刻量低。因此,当这些膜(a)和(c)分别被用作虚拟栅极绝缘薄膜和偏移间隙壁的材料时,可以移除虚拟栅极电极,而保留偏移间隙壁。
本发明不局限于以上描述。
例如,栅极绝缘膜和栅极电极的材料不局限于上述实施例。
不一定需要形成难熔金属硅化物层。
例如,在第一实施例中可以采用含硼氮化硅(SiBN)膜代替氧化硅膜,在第二实施例中可以采用氮化硅膜和含硼氮化硅膜的叠层代替氮化硅膜和氧化硅膜的叠层,以及在第三实施例中可以采用含硼氮化硅膜和氧化硅膜的叠层代替氮化硅膜和氧化硅膜的叠层。含硼氮化硅膜具有低于氮化硅膜的介电常数,并且在B/N比率为2时介电常数大约为5。还有,SiBN膜具有比氧化硅薄高的耐酸性,因此蚀刻量相对小。因此,与采用氧化硅膜作为偏移间隙壁相比,能够形成更薄的偏移间隙壁。
本领域技术人员应理解的是,在权利要求或其等同特征的范围内,可根据设计需要和其它其所属范围内的因素而进行修正、组合、次组合和变形。
本发明包含于2007年4月18日提交至日本专利局的日本专利申请JP2007-108953的相关主题事项,其全部内容引用结合于此。

Claims (16)

1.一种具有场效应晶体管的半导体装置,包括:
半导体衬底,具有沟道形成区域;
第一绝缘膜,形成在该半导体衬底上;
栅极电极沟槽,形成在该第一绝缘膜中;
栅极绝缘膜,形成在该栅极电极沟槽的底部;
栅极电极,形成在该栅极绝缘膜上以填充该栅极电极沟槽;
偏移间隙壁,由氧化硅或含硼氮化硅构成,并且形成为该第一绝缘膜的一部分以构成该栅极电极沟槽的侧壁;
侧壁间隙壁,在远离该栅极电极一侧,于该偏移间隙壁的两侧上形成为该第一绝缘膜的一部分;以及
源-漏区域,每个具有延伸区,形成在该半导体衬底中以及至少在该偏移间隙壁和该侧壁间隙壁的下方。
2.根据权利要求1所述的半导体装置,其中该偏移间隙壁的栅极电极侧的端部基本上用于定位该延伸区的沟道侧的端部。
3.根据权利要求1所述的半导体装置,其中该栅极电极由选自钨、铪、钽、钛、钼、钌、镍和铂组成的组的金属,包含该金属的合金,或该金属的化合物构成。
4.一种具有场效应晶体管的半导体装置,包括:
半导体衬底,具有沟道形成区域;
第一绝缘膜,形成在该半导体衬底上;
栅极电极沟槽,形成在该第一绝缘膜中;
栅极绝缘膜,形成在该栅极电极沟槽的底部;
栅极电极,形成在该栅极绝缘膜上以填充该栅极电极沟槽;
偏移间隙壁,每一个包括从该栅电极侧层叠的氮化硅膜和氧化硅膜或包括从该栅极电极侧层叠的含硼氮化硅膜和氧化硅薄膜,并且形成为该第一绝缘膜的一部分,以构成该栅极电极沟槽的侧壁;
侧壁间隙壁,在远离该栅极电极一侧,于该偏移间隙壁的两侧上形成为该第一绝缘膜的一部分;以及
源-漏区域,每一个具有延伸区,形成在该半导体衬底中以及至少在该偏移间隙壁和该侧壁间隙壁下方。
5.根据权利要求4所述的半导体装置,其中该偏移间隙壁的栅极电极侧的端部基本上用于定位该延伸区的沟道侧的端部。
6.根据权利要求4所述的半导体装置,其中在该偏移间隙壁的每一个中,该氮化硅膜或含硼氮化硅膜比该氧化硅膜薄。
7.根据权利要求4所述的半导体装置,其中该栅极电极由选自钨、铪、钽、钛、钼、钌、镍和铂组成的组的金属,包含该金属的合金,或该金属的化合物构成。
8.一种半导体装置的制造方法,包括如下的步骤:
在具有沟道形成区域的半导体衬底上形成虚拟栅极绝缘膜和虚拟栅极电极;
在该虚拟栅极电极的两侧形成由氧化硅或含硼氮化硅构成的偏移间隙壁;
采用该偏移间隙壁和该虚拟栅极电极作为掩模在该半导体衬底中形成延伸区;
在该偏移间隙壁的两侧形成侧壁间隙壁;
采用该侧壁间隙壁、该偏移间隙壁和该虚拟栅极电极作为掩模在该半导体衬底中形成源-漏区域;
形成绝缘膜以覆盖该虚拟栅极电极;
移除该绝缘膜直到该虚拟栅极电极从该绝缘膜顶部暴露;
移除该虚拟栅极电极和该虚拟栅极绝缘膜以形成栅极电极沟槽;
在该栅极电极沟槽的底部形成栅极绝缘膜;
在该栅极绝缘膜上形成导电层以填充该栅极电极沟槽;以及
从该栅极电极沟槽外部移除该导电层以形成场效应晶体管;
其中至少移除该虚拟栅极绝缘膜的步骤包括蚀刻处理,该蚀刻处理包括采用包含氨和氟化氢的蚀刻气体对该绝缘层的暴露表面处理的第一处理和分解并蒸发该第一处理中的形成的产物的第二处理。
9.根据权利要求8所述的方法,其中在该蚀刻处理的该第一处理中形成并在该第二处理中分解和蒸发的产物是(NH4)2SiF6络合物。
10.一种制造半导体装置的方法,包括如下步骤:
在具有沟道形成区域的半导体衬底上形成虚拟栅极绝缘膜和虚拟栅极电极;
顺序层叠氮化硅膜和氧化硅膜或顺序层叠氮化硅膜和含硼氮化硅膜以在该虚拟栅极电极两侧形成偏移间隙壁;
采用该偏移间隙壁和该虚拟栅极电极作为掩模在该半导体衬底上形成延伸区;
在该偏移间隙壁的两侧形成侧壁间隙壁;
采用该侧壁间隙壁、该偏移间隙壁和该虚拟栅极电极作为掩模在该半导体衬底上形成源-漏区域;
形成绝缘膜以覆盖该虚拟栅极电极;
移除该绝缘膜直到该虚拟栅极电极从该绝缘膜顶部暴露;
移除该虚拟栅极电极和该虚拟栅极绝缘膜以形成栅极电极沟槽并移除构成该偏移间隙壁的氮化硅膜;
在该栅极电极沟槽的底部形成栅极绝缘膜;
在该栅极绝缘膜上形成导电层以填充该栅极电极沟槽;以及
从该栅极电极沟槽的外部移除该导电层以形成场效应晶体管。
11.根据权利要求10所述的方法,其中至少移除该虚拟栅极绝缘膜的步骤包括蚀刻处理,该蚀刻处理包括采用包含氨和氟化氢的蚀刻气体对该绝缘层的暴露表面处理的第一处理和分解并蒸发该第一处理中形成的产物的第二处理。
12.根据权利要求11所述的方法,其中在该蚀刻处理的该第一处理中形成并在该第二处理中分解和蒸发的产物是(NH4)2SiF6络合物。
13.一种半导体装置的制造方法,包括如下步骤:
在具有沟道形成区域的半导体衬底上形成虚拟栅极绝缘膜和虚拟栅极电极;
顺序层叠氮化硅膜和氧化硅膜或顺序层叠含硼氮化硅膜和氧化硅膜以在该虚拟栅极电极的两侧形成偏移间隙壁;
采用该偏移间隙壁和该虚拟栅极电极作为掩模在该半导体衬底中形成延伸区;
在该偏移间隙壁的两侧形成侧壁间隙壁;
采用该侧壁间隙壁、该偏移间隙壁和该虚拟栅极电极作为掩模在该半导体衬底中形成源-漏区域;
形成绝缘膜以覆盖该虚拟栅极电极;
移除该绝缘膜直到该虚拟栅极电极从该绝缘膜的顶部暴露;
移除该虚拟栅极电极和该虚拟栅极绝缘膜以形成栅电极沟槽,而保留至少一部分构成该偏移间隙壁的氮化硅膜或含硼氮化硅膜;
在该栅极电极沟槽的底部形成栅极绝缘膜;
在该栅极绝缘膜上形成导电层以填充该栅极电极沟槽;以及
从该栅极电极沟槽的外部移除该导电层以形成场效应晶体管。
14.根据权利要求13所述的方法,其中至少移除该虚拟栅极绝缘膜的步骤包括蚀刻处理,该蚀刻处理包括采用包含氨和氟化氢的蚀刻气体对该绝缘层的暴露表面处理的第一处理和分解并蒸发该第一处理中形成的产物的第二处理。
15.根据权利要求14所述的方法,其中在该蚀刻处理的该第一处理中形成并在该第二处理中分解和蒸发的该产物是(NH4)2SiF6络合物。
16.根据权利要求13所述的方法,其中形成该偏移间隙壁使得该氮化硅膜或含硼氮化硅膜比该氧化硅膜薄。
CN2008102103134A 2007-04-18 2008-04-18 半导体装置及其制造方法 Active CN101335300B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP108953/07 2007-04-18
JP2007108953 2007-04-18
JP2008060164A JP5282419B2 (ja) 2007-04-18 2008-03-10 半導体装置及びその製造方法
JP060164/08 2008-03-10

Publications (2)

Publication Number Publication Date
CN101335300A CN101335300A (zh) 2008-12-31
CN101335300B true CN101335300B (zh) 2010-07-21

Family

ID=40147954

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008102103134A Active CN101335300B (zh) 2007-04-18 2008-04-18 半导体装置及其制造方法

Country Status (4)

Country Link
JP (1) JP5282419B2 (zh)
KR (1) KR101457006B1 (zh)
CN (1) CN101335300B (zh)
TW (1) TWI446522B (zh)

Families Citing this family (104)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8048733B2 (en) * 2009-10-09 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a gate structure
KR20230173233A (ko) * 2009-11-13 2023-12-26 가부시키가이샤 한도오따이 에네루기 켄큐쇼 표시 장치 및 이 표시 장치를 구비한 전자 기기
CN102479722B (zh) * 2010-11-30 2014-03-12 中芯国际集成电路制造(北京)有限公司 晶体管的制作方法
CN102479694B (zh) * 2010-11-30 2013-09-04 中芯国际集成电路制造(北京)有限公司 一种金属栅极及mos晶体管的形成方法
CN102487085B (zh) * 2010-12-01 2014-04-23 中国科学院微电子研究所 半导体器件及其制造方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
CN102738225A (zh) * 2011-04-06 2012-10-17 联华电子股份有限公司 半导体元件及其制作方法
US8334198B2 (en) * 2011-04-12 2012-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a plurality of gate structures
CN102779751B (zh) * 2011-05-11 2015-09-09 中国科学院微电子研究所 一种半导体器件的制造方法
CN102956544B (zh) * 2011-08-25 2015-06-17 中芯国际集成电路制造(上海)有限公司 金属互连线的制造方法
US20130260564A1 (en) * 2011-09-26 2013-10-03 Applied Materials, Inc. Insensitive dry removal process for semiconductor integration
US9059263B2 (en) 2011-11-09 2015-06-16 QUALCOMM Incorpated Low-K dielectric protection spacer for patterning through substrate vias through a low-K wiring layer
CN103545209A (zh) * 2012-07-13 2014-01-29 中芯国际集成电路制造(上海)有限公司 形成高k金属栅极器件的方法
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
KR102106885B1 (ko) * 2013-03-15 2020-05-06 삼성전자 주식회사 실리콘 산화막 증착용 전구체 조성물 및 상기 전구체 조성물을 이용한 반도체 소자 제조 방법
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9461144B2 (en) * 2014-06-13 2016-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method for semiconductor device fabrication
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6594261B2 (ja) * 2016-05-24 2019-10-23 ルネサスエレクトロニクス株式会社 半導体装置
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN110491876B (zh) * 2019-08-23 2024-04-05 福建省晋华集成电路有限公司 半导体存储元件的制造方法及该元件
CN114093766A (zh) * 2020-08-24 2022-02-25 联华电子股份有限公司 半导体装置及其制造方法
CN116779611B (zh) * 2023-08-17 2023-11-28 合肥晶合集成电路股份有限公司 一种半导体结构及其制备方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3530026B2 (ja) * 1997-06-30 2004-05-24 株式会社東芝 半導体装置及びその製造方法
JP2000091562A (ja) * 1998-09-16 2000-03-31 Mitsubishi Electric Corp 半導体装置およびその製造方法
TW569319B (en) * 2002-06-06 2004-01-01 Winbond Electronics Corp Gate structure and method of manufacture
JP2004014875A (ja) * 2002-06-07 2004-01-15 Fujitsu Ltd 半導体装置及びその製造方法
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US7176041B2 (en) * 2003-07-01 2007-02-13 Samsung Electronics Co., Ltd. PAA-based etchant, methods of using same, and resultant structures
JP4546201B2 (ja) * 2004-03-17 2010-09-15 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP4118255B2 (ja) * 2004-06-18 2008-07-16 株式会社ルネサステクノロジ Mosトランジスタの製造方法
JP2006295071A (ja) * 2005-04-14 2006-10-26 Toshiba Corp 半導体装置の製造方法

Also Published As

Publication number Publication date
TWI446522B (zh) 2014-07-21
CN101335300A (zh) 2008-12-31
KR20080093911A (ko) 2008-10-22
KR101457006B1 (ko) 2014-10-31
JP2008288560A (ja) 2008-11-27
JP5282419B2 (ja) 2013-09-04
TW200849557A (en) 2008-12-16

Similar Documents

Publication Publication Date Title
CN101335300B (zh) 半导体装置及其制造方法
US8350335B2 (en) Semiconductor device including off-set spacers formed as a portion of the sidewall
CN102237310B (zh) 集成电路及其制造方法
CN101427386B (zh) 阻挡层的选择性实施以实现在具有高k电介质的CMOS器件制造中的阈值电压控制
TWI421922B (zh) 閘極結構之製造方法
CN103022102B (zh) 用于超薄界面介电层的多层清除金属栅极堆叠件
US7615840B2 (en) Device performance improvement using flowfill as material for isolation structures
US9076816B2 (en) Method and device for self-aligned contact on a non-recessed metal gate
US20150132938A1 (en) Methods and Systems for Forming Reliable Gate Stack on Semiconductors
US8835213B2 (en) Semiconductor device and manufacturing method thereof
TWI497647B (zh) 使用矽化物電極和矽化物-鍺化物合金電極之cmos整合方案
US20150140838A1 (en) Two Step Deposition of High-k Gate Dielectric Materials
CN102437118B (zh) 具有金属栅极的晶体管的制作方法
JP2011146465A (ja) 半導体装置およびその製造方法
US20160204129A1 (en) Fdsoi - capacitor
JP5275056B2 (ja) 半導体装置の製造方法及び半導体装置
KR20090083291A (ko) 반도체 장치 및 그 제조 방법
US20110254060A1 (en) Metal Gate Structure and Fabricating Method thereof
CN102983104B (zh) Cmos晶体管的制作方法
US7709349B2 (en) Semiconductor device manufactured using a gate silicidation involving a disposable chemical/mechanical polishing stop layer
US7244642B2 (en) Method to obtain fully silicided gate electrodes
US20100123200A1 (en) Semiconductor device and method of manufacturing the same
CN107731747B (zh) 半导体结构及其形成方法
CN103165441A (zh) 一种High K栅极电介质/金属层叠栅极制作方法
CN102420137B (zh) Mos晶体管的形成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant