KR20100038311A - 보론 니트라이드 및 보론 니트라이드-유도된 물질 증착 방법 - Google Patents

보론 니트라이드 및 보론 니트라이드-유도된 물질 증착 방법 Download PDF

Info

Publication number
KR20100038311A
KR20100038311A KR1020097026929A KR20097026929A KR20100038311A KR 20100038311 A KR20100038311 A KR 20100038311A KR 1020097026929 A KR1020097026929 A KR 1020097026929A KR 20097026929 A KR20097026929 A KR 20097026929A KR 20100038311 A KR20100038311 A KR 20100038311A
Authority
KR
South Korea
Prior art keywords
boron
film
chamber
nitrogen
containing precursor
Prior art date
Application number
KR1020097026929A
Other languages
English (en)
Other versions
KR101327923B1 (ko
Inventor
정욱 허
미하엘라 바르세아누
리 쿤 시아
빅터 티. 응웬
드렉 알. 위티
히켐 엠'사드
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20100038311A publication Critical patent/KR20100038311A/ko
Application granted granted Critical
Publication of KR101327923B1 publication Critical patent/KR101327923B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/342Boron nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Abstract

본 발명은 보론-함유 필름을 형성시키는 방법을 제공하고 있다. 그러한 방법은 보론-함유 전구체 및 질소 또는 산소-함유 전구체를 챔버내로 도입하고, 챔버내의 기판상에 보론 니트라이드 또는 보론 옥사이드 필름을 형성시킴을 포함한다. 한 가지 관점으로, 본 발명의 방법은 보론-함유 필름을 증착시키고, 이어서, 보론-함유 필름을 질소-함유 또는 산소-함유 전구체에 노출시켜서 질소 또는 산소를 필름내로 혼입시킴을 포함한다. 보론-함유 필름의 증착 및 전구체에 대한 필름의 노출은 다중 사이클로 수행되어 요구되는 두께의 필름을 얻을 수 있다. 또 다른 관점으로, 본 발명의 방법은 보론-함유 전구체 및 질소-함유 또는 산소-함유 전구체를 반응시켜 보론 니트라이드 또는 보론 옥사이드 필름을 화학적으로 기상 증착시킴을 포함한다.

Description

보론 니트라이드 및 보론 니트라이드-유도된 물질 증착 방법{BORON NITRIDE AND BORON NITRIDE-DERIVED MATERIALS DEPOSITION METHOD}
본 발명의 구체예는 일반적으로 기판, 예컨대, 반도체 기판상에 필름을 형성시키는 방법에 관한 것이다. 더욱 특히, 본 발명의 구체예는 기판상에 보론 니트라이드 필름을 형성시키는 방법에 관한 것이다.
집적 회로의 기하구조는 그러한 장치가 처음 도입된 수십년 전 이래로 그 크기가 급속도로 작아지고 있다. 그 이래로, 집적 회로는 일반적으로 2년/절반크기 규칙(때로는, 무어 법칙(Moore's Law)으로 일컬어짐)을 따르고 있으며, 이러한 규칙은 칩상의 장치의 수가 2년마다 두 배가 됨을 의미한다. 오늘날의 제작 설비는 통상적으로 0.13 ㎛ 및 또한 0.1 ㎛ 피처 크기(feature size)를 지닌 장치를 생산하고 있으며, 미래의 설비는 더 작은 피처 크기를 지니는 장치를 생산할 것이다.
장치의 기하구조의 계속된 감소는 낮은 유전상수(k) 값을 지니는 유전 필름에 대한 수요를 발생시키고 있는데, 그 이유는 인접 금속 라인들 사이의 용량적 커플링(capacitive coupling)이 집적 회로상의 장치의 크기를 추가로 감소시키기 위해서 감소되어야 하기 때문이다.
장치의 기하구조 및 반도체 기판상의 장치의 증가하는 밀집 공간의 계속된 감소는 또한 장치의 성능을 개선시키는 영역에서의 도전을 제시하고 있다. 예를 들어, 금속-옥사이드-반도체 전계효과 트랜지스터(metal-oxide-semiconductor field effect transistor (MOSFET)) 장치의 성능은, 예컨대, 장치의 게이트 유전체 두께를 감소시키는 몇 가지 방법에 의해서 개선될 수 있지만, 소형의 장치에 의해서 요구되는 아주 얇은 유전체 층은 게이트 전극으로부터의 도판트가 게이트 유전체를 통해서 하부의 실리콘 기판내로 침투하게 할 수 있다. 아주 얇은 게이트 유전체는 또한 게이트 누출을 증가시킬 수 있으며, 그러한 게이트 누출은 게이트에 의해서 소모되는 전력의 양을 증가시키고, 궁극적으로는 트랜지스터를 손상시킨다.
장치 성능을 개선시키는 대안적인 방법으로, 장치에서의 물질의 원자 격자를 스트레이닝(Straining)하는 것이 최근 개발되고 있다. 원자 격자를 스트레이닝하면 반도체 물질내의 캐리어 이동성을 증가시킴으로써 장치 성능이 개선된다. 장치의 한 층의 원자 격자는 층상에 스트레시드 필름(stressed film)을 증착시킴으로써 스트레이닝될 수 있다. 예를 들어, 게이트 전극상에 에치 스탑 층으로서 사용된 스트레시드 실리콘 니트라이드 층이 트랜지스터의 채널 부위에서 스트레인을 유도하도록 증착될 수 있다. 스트레시드 실리콘 니트라이드 층은 압축 스트레스 또는 인장 스트레스를 지닐 수 있다.
비교적 높은 스트레스 수준을 지니는 플라즈마-강화된 화학 기상 증착된(plasma-enhanced chemical vapor deposited(PECVD) 실리콘 니트라이드(SiN) 층이 개발되었지만, 더 높은 압축 또는 인장 스트레스 수준을 지니며, 전형적으로 유전상수 약 7을 지니는 SiN 층보다 더 낮은 유전상수(k)를 지니는 유전체 층을 형성 시키는 방법이 여전히 요구되고 있다.
발명의 요약
본 발명의 구체예는 일반적으로 보론-함유 필름, 예컨대, 보론 니트라이드 필름 및 보론 옥사이드 필름을 형성시키는 방법을 제공하고 있다. 한 가지 구체예에서, 보론 니트라이드 필름 및 보론 옥사이드 필름을 형성시키는 방법은 보론-함유 전구체를 챔버내로 도입하고, 보론-함유 전구체로부터 챔버내의 기판상에 보론-함유 필름을 증착시킴을 포함한다. 보론-함유 필름은 필름내의 질소 또는 산소 함량을 증가시키고 보론 니트라이드 필름 또는 보론 옥사이드 필름을 형성시키도록 처리된다. 보론-함유 필름을 처리하는 것은 보론-함유 필름을 질소-함유 전구체 또는 산소-함유 전구체에 노출시킴을 포함한다. 보론-함유 필름을 처리하는 것은 또한 플라즈마 공정, UV 경화 공정, 열 어닐링 공정, 또는 이들의 조합 공정을 포함할 수 있다. 도입, 증착 및 처리는 보론 니트라이드질화물 필름 또는 보론 옥사이드 필름의 요구된 두께가 달성될 때까지 반복된다.
또 다른 구체예에서, 보론 니트라이트 필름 또는 보론 옥사이드 필름을 형성시키는 방법은 보론-함유 전구체 및 질소-함유 전구체 또는 산소-함유 전구체를 챔버에 도입함을 포함한다. 보론-함유 전구체 및 질소-함유 전구체 또는 산소-함유 전구체는 챔버내의 기판상에 보론 니트라이드 필름 또는 보론 옥사이드 필름을 화학적 기상 증착시키도록 반응된다.
도면의 간단한 설명
본 발명의 상기된 특징이 상세히 이해될 수 있게 하기 위해서, 상기 간략하게 요약된 본 발명에 대한 더욱 특정된 설명이 구체예를 참조로 설명될 것이며, 이러한 설명중 일부는 첨부된 도면에 예시되어 있다. 그러나, 첨부된 도면은 단지 본 발명의 전형적인 구체예를 예시하고 있고, 그에 따라서, 본 발명의 범위를 제한하고자 하는 것이 아니며, 본 발명은 다른 동일한 효과를 내는 구체예도 허용됨을 주지해야 한다.
도 1은 보론 니트라이드 또는 보론 옥사이드 필름을 형성시키는 방법의 구체예에 대한 플로우 챠트이다.
도 2는 본 발명의 구체예에 따른 보론 니트라이드 층을 생성시키는 조성에 대한 N2에 의한 보론-함유 필름의 처리 동안의 상이한 N2 유량의 효과를 나타내는 FTIR이다.
도 3은 본 발명의 구체예에 따라 결과적으로 형성된 보론 니트라이드 층의 조성에 대한 보론-함유 필름의 증착 동안의 상이한 기판 지지체 온도의 효과를 나타내는 FTIR이다.
상세한 설명
본 발명의 구체예는 보론 니트라이드, 보론 옥사이드 및 보론 카바이드 필름을 증착시키는 방법을 제공한다. 보론 니트라이드, 옥사이드 및 카바이드 필름은 비도핑된 보론 니트라이드(BN), 비도핑된 보론 옥사이드(B2O3) 및 비도핑된 보론 카바이드(BC) 필름이거나, 도핑된 보론 니트라이드, 보론 옥사이드 또는 보론 카바이드 필름, 예컨대, 보론 실리콘 니트라이드(BSiN), 보론 실리콘 옥사이드(BSixOy), 보론 탄소 니트라이드(BCN), 인 보론 니트라이드(PBN), 실리콘 보론 니트라이드(SiBN) 및 보론 탄소 실리콘 니트라이드(BCSiN) 필름일 수 있다.
보론 니트라이드, 보론 카바이드 및 보론 옥사이드 필름은 전단부 적용(front end application), 예컨대, 스페이서 층 및 스트레인-유도 층, 즉, 스트레스 니트라이드 층에 대해서 사용될 수 있으며, 그러한 층은 밑에 있는 필름의 스트레스를 조절하도록 증착된다. 보론 니트라이드 필름은 1.1 내지 10의 유전상수를 지닐 수 있다. 예를 들어, 1.1 내지 6.0의 유전상수를 지니는 보론 니트라이드 필름은 필름 전구체를 챔버내로 동시에 도입함을 포함하는 증착 방법에 의해서 증착될 수 있다. 보론 니트라이드 필름은 10 GPa 압축 스트레스 내지 10 GPa 인장 스트레스의 스트레스, 예컨대, 약 2.0 GPa 보다 큰 인장 스트레스 또는 약 -3.5 GPa 보다 작은 압축 스트레스를 지니는 스트레스 니트라이드 층일 수 있다. 보론 니트라이드 필름은 높은 스텝 커버리지(step coverage) 및 낮은 패턴 로딩 효과(pattern loading effect)를 지닌다. 본원에 정의된 바와 같이, 높은 스텝 커버리지를 지닌 필름은 낮은 스텝 커버리지를 지니는 필름에 비해서 피처의 상이한 표면, 즉, 측벽, 상부 및 바닥 사이에서 더 적은 백분율의 필름 두께 차이를 지닌다. 패턴 로딩 효과(pattern loading effect(PLE))는 몇 개의 피처를 지닌 기판 부위(분리된 영역)에서의 피처의 부분, 예컨대, 바닥, 상부, 또는 측벽상의 필름 두께와, 높은 밀도의 피처를 지닌 기판 부위(밀집된 영역)에서의 피처의 대응하는 부분상의 필름 두께 사이의 필름 두께 차이 백분율로서 정의되며, 그에 따라서, 더 낮은 패턴 로딩 효과 백분율은 기판 전체에 걸친 더 높은 필름 두께 균일성을 반영한다.
보론-함유 필름은 또한 밑에 있는 층을 보론로 도핑하기 위한 보론 공급 층으로서 사용될 수 있다. 예를 들어, 보론 니트라이드 필름이 실리콘 층상에 증착될 수 있으며, 이어서, 보론를 실리콘 층내로 도입하여 실리콘 층에 얇은 접합부(shallow junction)가 형성되도록 어닐링될 수 있다. 보론 니트라이드 필름은 요구된 양의 보론이 실리콘 층상으로 도입된 후에 제거될 수 있다.
추가의 구체예는 보론-함유 필름이 기판상에 증착되기 전에 또는 그 후에 기판상에 보론 니트라이드 또는 보론 옥사이드 라이너를 증착시킴을 포함하는 방법을 제공하고 있다. 보론-함유 필름은 높은 스트레스, 보론-풍부한 필름일 수 있다. 보론-풍부한 필름의 상부 및/또는 하부상에 대한 보론 니트라이드 또는 보론 옥사이드 라이너의 증착은 보론-풍부한 필름으로부터의 보론 외부-확산을 방지하며 보론-풍부한 필름을 통한 누출 전류를 감소시킨다. 보론-풍부한 필름이 보론-확산 공급원으로서 사용되는 경우, 라이너는 보론 확산이 바람직하지 않은 필름의 부분상에 증착된다. 예를 들어, 라이너는 보론-풍부한 필름의 상부상에 증착된 SiO2 필름을 도핑시키고자 하는 보론-풍부한 필름 아래에 증착될 수 있다. 또 다른 예에서, 라이너는 보론-풍부한 필름 아래의 기판(즉, Si)에 대한 도판트 공급원으로서 사용되는 보론-풍부한 필름의 상부상에 증착될 수 있다.
보론-풍부한 필름과 접촉하는 보론 니트라이드 또는 옥사이드 라이너는 본 발명의 구체예에 따라 제공된 보론 니트라이드 또는 보론 옥사이드 필름중 어느 필름일 수 있다. 보론 니트라이드 라이너는 또한, 보론 니트라이드 라이너가 보론-풍부한 필름에 비해 라이너에 낮은 보론 농도를 제공하기에 충분한 조건하에 증착됨을 제외하고는, 보론 니트라이드 필름을 형성시키는 본원에 제공된 방법중 어느 방법(예, 플라즈마, 열, 또는 UV-보조된 방법에 의해서)에 따라서 증착될 수 있다. 예를 들어, 라이너 내의 보론 원자 백분율 농도는 0 내지 90% 일 수 있으며, 보론-풍부한 필름내의 보론 원자 백분율 농도는 약 5 내지 약 99%일 수 있다. 라이너는 산소, 탄소 또는 실리콘을 포함할 수 있다. 라이너는 약 2Å 내지 약 500Å의 두께를 지닐 수 있다.
보론-함유 필름은 또한 패터닝(patterning)후의 구조물 내에서 희생되거나 유지될 수 있는 하드 마스크를 위해서 사용될 수 있다. 예를 들어, 보론-함유 필름은 옥사이드, 니트라이드, 실리콘, 폴리실리콘 또는 금속 층을 에칭시키기 위한 하드 마스크인 보론 니트라이드 또는 보론 옥사이드일 수 있다.
보론-함유 필름은 또한 후단부 적용, 예컨대, 구리 배리어 층을 위해서 사용되거나, 구리와 구리 배리어 층 사이의 접착층으로서, 예를 들어, 그들 사이에 CuBN, CuPBN, 또는 CuBCSiN 층을 형성시킴으로써 사용될 수 있다. 구리 배리어 층 또는 접착층은 1.1 내지 4.0의 유전상수를 지닐 수 있다. 구리 배리어 층은 통상의 다마신 구조물(damascene structure) 또는 희생 물질을 증착시킨 다음, 제거함으로써 형성되는 공기 갭을 포함하는 구조물에 사용될 수 있다.
보론 니트라이드 필름을 증착시키는 방법의 구체예가 도 1의 플로우 챠트와 관련하여 기재될 것이다. 단계(102)에 도시된 바와 같이, 보론-함유 전구체가 챔버에 도입된다. 단계(104)에서, 보론-함유 필름이 보론-함유 전구체로부터 챔버내에서 기판상에 증착된다. 이어서, 단계(106)에서, 보론-함유 필름이 필름 조성을 변화(필름의 질소 또는 산소 함량을 증가)시키도록 처리된다. 보론-함유 필름을 처리하는 것은 보론-함유 필름을 질소-함유 또는 산소-함유 전구체에 노출시킴을 포함한다.
단계(102)로 다시 돌아가면, 보론 함유 전구체가 도입되는 챔버는 어떠한 화학 기상 증착 챔버 또는 플라즈마 강화된 화학 기상 증착 챔버일 수 있다. 사용될 수 있는 챔버의 예는 PRODUCER® SE 및 PRODUCER® GT PECVD 챔버를 포함하며, 이들 둘 모두는 미국 산타 클라라 소재의 어플라이드 머티어리얼스, 인코포레이티드(Applied Materials, Inc.)로부터 구입할 수 있다. 본원에 제공된 공정 조건은 두 개의 분리된 공정 부위를 지니며 공정 부위당 하나의 기판이 있는 300mm PRODUCER® SE 챔버에 대해서 제공되고 있다. 따라서, 각각의 공정 부위 및 기판당 유량은 챔버내로의 유량의 절반이다.
보론-함유 필름이 증착되는 기판은 실리콘 기판, 실리콘-함유 기판 또는 유리 기판일 수 있다. 기판은 베어 기판(bare substrate)일 수 있거나, 그 위에 증착된 하나 이상의 물질층 및/또는 그 내부에 형성된 피처를 지닐 수 있다.
보론-함유 전구체는 디보란(B2H6), 보라진(B3N3H6), 또는 보라진의 알킬-치환된 유도체일 수 있다. 보론-함유 전구체는 약 5 sccm 내지 약 50 slm, 예컨대, 약 10 sccm 내지 약 1 slm의 유량으로 챔버내로 도입될 수 있다. 전형적으로는, 보론-함유 전구체는 희석 가스로서 질소(N2), 수소(H2), 아르곤(Ar) 또는 이들의 조합물과 함께 챔버 내로 도입된다. 희석 가스는 약 5 sccm 내지 약 50 slm, 예컨대, 약 1 slm 내지 약 10 slm의 유량으로 챔버내로 도입될 수 있다.
도 1의 단계(106)에서 형성될 수 있는 보론 니트라이드 필름이 도핑된 보론 니트라이드 필름인 구체예에서, 보론-함유 화합물, 탄소-함유 화합물, 인-함유 화합물 및 이들의 조합물로 이루어진 군으로부터 선택된 화합물이 또한 보론-함유 필름의 증착 동안 챔버내로 도입될 수 있다. 대안적으로, 화합물은 보론-함유 필름의 증착 전에 또는 그 후에 챔버내로 도입될 수 있다. 사용될 수 있는 질소-함유 화합물의 예는 암모니아(NH), 하이드라진(N2H4)을 포함한다. 산소-함유 화합물의 예는 산소(O2), 산화질소(NO), 아산화질소(N2O), 이산화탄소(CO2), 및 물(H2O)을 포함한다. 사용될 수 있는 실리콘-함유 화합물의 예는 실란, 트리실릴아민(TSA), 트리메틸실란(TMS), 및 실라잔, 예컨대, 헥사메틸시클로실라잔(HMCTZ)을 포함한다. 사용될 수 있는 탄소-함유 화합물은 일반식 CxHy를 지니는 탄화수소 화합물, 예컨대, 알칸, 알켄 및 알킨을 포함한다. 사용될 수 있는 인-함유 화합물의 예는 포스핀(PH3)이다.
보론-함유 필름이 챔버내의 플라즈마의 존재 또는 부재하에 보론-함유 전구체로부터 챔버내에서 기판상에 증착될 수 있다.
챔버내에서 플라즈마의 부재하에 보론-함유 필름을 증착시키는 경우, 증착 동안, 챔버내의 기판 지지체의 온도는 약 100℃ 내지 약 1000℃, 예를 들어, 약 300℃ 내지 약 500℃로 설정될 수 있으며, 챔버내의 압력은 약 10 mTorr 내지 약 760 Torr, 예를 들어, 약 2 Torr 내지 약 10 Torr일 수 있다. 보론-함유 화합물, 질소-함유 화합물, 탄소-함유 화합물, 산소-함유 화합물 및 실리콘-함유 화합물의 조합물이 동일한 온도에서 약 5 sccm 내지 약 50 slm, 예컨대, 10 sccm 내지 약 1 slm의 유량으로 챔버에 도입될 수 있다.
챔버에서의 플라즈마의 존재하에 보론-함유 필름을 증착시키는 경우, 증착 동안, 챔버내의 기판 지지체의 온도는 약 100℃ 내지 약 1000℃, 예를 들어, 약 300℃ 내지 약 500℃로 설정될 수 있으며, 챔버내의 압력은 약 10 mTorr 내지 약 760 Torr, 예를 들어, 약 2 Torr 내지 약 10 Torr일 수 있다. 플라즈마는 챔버의 샤워헤드(showerhead) 전극 및/또는 기판 지지체 전극에 전달된 RF 전력에 의해서 제공될 수 있다. RF 전력은 약 100 kHz 내지 약 1 MHz, 예를 들어, 약 300 kHz 내지 약 400 kHz의 단일 저주파수의 약 2 W 내지 약 5000 W, 예컨대, 약 30 W 내지 약 1000 W의 전력 수준으로, 또는 약 1 MHz 초과, 예컨대, 약 1MHz 초과에서 약 60 MHz까지, 예를 들어, 13.6 MHz까지의 단일 고주파수의 약 2 W 내지 약 5000 W, 예컨대, 약 30 W 내지 약 1000 W의 전력 수준으로 제공될 수 있다. 대안적으로, RF 전력은 약 2 W 내지 약 5000 W, 예컨대, 약 30 W 내지 약 1000 W의 전력 수준의 약 100 kHz 내지 약 1 MHz, 예를 들어, 약 300 kHz 내지 약 400 kHz의 첫 번째 주파수와 약 2 W 내지 약 5000 W, 예컨대, 약 30 W 내지 약 1000 W의 전력 수준의 약 1 MHz 초과, 예컨대, 약 1MHz 초과에서 약 60 MHz까지, 예를 들어, 13.6 MHz까지의 두 번째 주파수를 포함하는 혼합된 주파수로 제공될 수 있다.
단계(106)로 다시 돌아가면, 보론-함유 필름이 증착된 후에, 보론-함유 필름은 질소 또는 산소를 필름내로 혼입시킴으로써 그 조성을 변화시키고 보론 니트라이드 또는 보론 옥사이드 필름을 형성하도록 처리된다. 보론 니트라이드 또는 보론 옥사이드 필름은 약 2Å 내지 약 5000Å의 두께를 지닐 수 있다. 처리는 플라즈마 공정, 자외선(UV) 경화 공정, 열 어닐링 공정 및 이들의 조합 공정으로 이루어진 군으로부터 선택되며, 보론-함유 필름을 질소-함유 전구체에 노출시켜서 질소를 필름에 혼입시키고 보론 니트라이드 필름을 형성시킴을 포함한다. 질소-함유 전구체는 예를 들어, 질소 가스(N2), 암모니아(NH3), 또는 하이드라진(N2H4)일 수 있다. 질소-함유 전구체는 희석 가스, 예컨대, 아르곤, 헬륨, 수소 또는 제논으로 희석될 수 있다. 보론-함유 필름을 산소-함유 전구체에 노출시키는 것은 필름에 산소가 혼입되게 하며 보론 옥사이드 필름이 형성되게 한다. 산소-함유 전구체는 산소 가스(O2), 아산화질소(N2O), 또는 이산화탄소(CO2)일 수 있다.
처리가 플라즈마 공정을 포함하는 구체예에서, 플라즈마 공정은 보론-함유 필름이 증착되었던 챔버와 동일한 챔버 또는 상이한 챔버에서 수행될 수 있다. 플라즈마는 챔버의 샤워헤드 전극 및/또는 기판 지지체 전극에 전달된 RF 전력에 의해서 제공될 수 있다.
RF 전력은 약 100 kHz 내지 약 1 MHz, 예를 들어, 약 300 kHz 내지 약 400 kHz의 단일 저주파수의 약 2 W 내지 약 5000 W, 예컨대, 약 30 W 내지 약 1000 W의 전력 수준으로, 또는 약 1 MHz 초과, 예컨대, 약 1MHz 초과에서 약 60MHz까지, 예를 들어, 13.6 MHz까지의 단일 고주파수의 약 2 W 내지 약 5000 W, 예컨대, 약 30 W 내지 약 1000 W의 전력 수준으로 제공될 수 있다. 대안적으로, RF 전력은 약 2 W 내지 약 5000 W, 예컨대, 약 30 W 내지 약 1000 W의 전력 수준의 약 100 kHz 내지 약 1 MHz, 예를 들어, 약 300 kHz 내지 약 400 kHz의 첫 번째 주파수와 약 2 W 내지 약 5000 W, 예컨대, 약 30 W 내지 약 1000 W의 전력 수준의 약 1 MHz 초과, 예컨대, 약 1MHz 초과에서 약 60MHz까지, 예를 들어, 13.6 MHz까지의 두 번째 주파수를 포함하는 혼합된 주파수로 제공될 수 있다. 질소-함유 전구체는 처리 동안 약 5 sccm 내지 약 50 slm, 예컨대, 약 100 sccm 내지 약 500 sccm의 유량으로 챔버에 도입될 수 있다. 질소-함유 전구체는 일정한 시간 동안, 예컨대, 약 1 초 내지 약 2 시간, 예컨대, 약 1초 내지 약 60초 동안 챔버내로 흐를 수 있다. 처리 동안, 챔버 압력은 약 10 mTorr 내지 약 760 Torr일 수 있으며, 챔버내의 기판 지지체의 온도는 약 20℃ 내지 약 1000℃일 수 있다. 플라즈마 공정의 증가된 고주파 RF 전력, 증가된 NH3 유량, 및 더 긴 처리시간이 이용되어 생성되는 필름의 굴절율(refractive index)을 낮추고 필름의 유전성질을 증가시킬 수 있다.
처리가 UV 경화 공정을 포함하는 구체예에서, UV 경화 공정은 증착 챔버와 동일한 챔버에서 또는 보론-함유 필름이 증착되었던 증착 챔버를 포함하는 통합 도구의 일부인 챔버에서 수행될 수 있다. 예를 들어, UV 경화 공정은 보론-함유 필름이 증착되는 PECVD 챔버를 포함하는 PRODUCER® 플랫폼의 일부인 NANOCURE™ 챔버내에서 수행될 수 있다.
이용될 수 있는 예시적인 UV 경화 공정 조건은 약 10 mTorr 내지 약 760 Torr의 챔버 압력 및 약 20℃ 내지 약 1000℃의 기판 지지체 온도를 포함한다. 질소-함유 전구체는 처리 동안 약 5 sccm 내지 약 50 sccm의 유량으로 챔버에 도입될 수 있다. 질소-함유 전구체는 일정 시간 동안, 예컨대, 약 1 초 내지 약 2 시간, 예컨대, 약 1초 내지 약 10분 동안 챔버내로 흐를 수 있다. UV 방사선이 어떠한 UV 공급원, 예컨대, 수은 마이크로파 아크 램프(mercury microwave arc lamp), 펄스식 제논 플래시 램프(pulsed xenon flash lamp), 또는 고성능 UV 발광 다이오드 어레이(high-efficiency UV light emitting diode array)에 의해서 제공될 수 있다. UV 방사선은, 예를 들어, 약 170nm 내지 약 400nm의 파장을 지닐 수 있다. 처리는 보론-함유 필름을 약 1와트/cm2 내지 약 1000 와트/cm2의 자외선에 노출시킴을 포함할 수 있고, 자외선은 약 0.5 eV 내지 약 10 eV, 예컨대, 약 1 eV 내지 약 6 eV의 광자 에너지(전자볼트)를 제공할 수 있다.
전형적으로는, UV 경화 공정은 필름으로부터 수소를 제거하는데, 이는 수소가 필름 전체에 및 기판의 반도체성 부위내로 확산되고 기판상에 형성된 장치의 신뢰성을 저하시킬 수 있기 때문에 요구된다. UV 경화 공정은 또한 전형적으로는 필름을 치밀화시키고 필름의 인장 스트레스를 약 2.0 GPa보다 크게 증가시킨다.
처리가 열 공정을 포함하는 구체예에서, 열 공정은 보론-함유 필름이 증착되었던 챔버와 동일한 챔버 또는 상이한 챔버에서 수행될 수 있다. 질소-함유 전구체는 처리 동안 약 5 sccm 내지 약 50 slm, 예컨대, 약 10 sccm 내지 약 1slm의 유량으로 챔버내로 도입될 수 있다. 질소-함유 전구체는 일정한 시간 동안, 예컨대, 약 1초 내지 약 10 시간, 예컨대, 약 10초 내지 약 20분 동안 챔버내로 흐를 수 있다. 처리 동안, 챔버 압력은 약 10 mTorr 내지 약 760 Torr일 수 있고, 챔버내의 기판 지지체의 온도는 약 20℃ 내지 약 1000℃일 수 있다.
추가의 구체예는 보론-함유 필름을 상기된 처리, 즉, UV 경화 공정, 플라즈마 공정, 및 열 공정중 둘 이상의 공정으로 처리함을 포함한다. 예를 들어, 보론-함유 필름은 UV 경화 공정으로 처리되고, 이어서, 플라즈마 공정으로 처리될 수 있다.
높은 스텝 커버리지 및 최소의 패턴 로딩 효과가 요구되는 적용에 있어서, 예컨대, 보론 니트라이드 필름이 게이트 스택(stack) 상에 스패이서 층으로서 증착되는 경우, 스텝(102), 스텝(104) 및 스텝(106)의 다수 사이클이 수행될 수 있다. 달리 설명하면, 단계(106) 후에, 보론-함유 전구체가 챔버에 도입되고, 추가량의 보론-함유 필름이 기판상에 증착되며, 보론-함유 필름이 플라즈마 공정, UV 경화 공정, 열 어닐링 공정 및 이들의 조합 공정으로 이루어진 군으로부터 선택된 공정으로 처리되는데, 여기서, 처리는 보론-함유 필름을 질소-함유 전구체에 노출시켜 질소를 필름에 혼입시키거나, 산소-함유 전구체에 노출시켜 산소를 필름에 혼입시키고 각각 보론 니트라이드 또는 보론 옥사이드 필름을 형성시킴을 포함한다. 스텝(102), 스텝(104) 및 스텝(106)은 보론 니트라이드 필름의 요구된 두께가 얻어질 때까지 반복될 수 있다. 각각의 사이클에서, 최종 보론 니트라이드 또는 보론 옥사이드 필름의 얇은 서브-층, 예컨대, 약 2Å 내지 약 5000Å 층, 예를 들어, 약 2Å 내지 약 1000Å, 예를 들어, 약 20Å 층을 형성시킴으로써, 스텝 커버리지 및 패턴 로딩 효과는 필름이 한 사이클로 최종 요구된 두께로 증착되는 공정에 비해서 개선될 수 있다. 예를 들어, 보론 니트라이드 필름이 하기 조건하에 형성되는 경우에 95%를 초과하는 스텝 커버리지 및 5% 미만의 패턴 로딩 효과가 얻어졌다: 5초/사이클 동안 480mil의 공간 및 6 Torr의 챔버 압력에서 400 sccm의 디보란 및 2000 sccm의 질소를 사용한, 사이클당 20Å의 증착율로의 보론-함유 필름의 증착; 및 보론-함유 필름을 플라즈마 공정으로 처리하여 질소를 필름에 혼입시키고 보론 니트라이드 필름을 형성시키는 처리로서, 상기 플라즈마 공정이 13.6 MHz에서의 300 W의 RF 전력에 의한 10초/사이클 동안 100 sccm의 암모니아 및 2000 sccm의 질소를 사용함을 포함하는 처리. 보론 니트라이드 필름은 4.7의 유전 상수를 지녔다. 500Å에서의 굴절율은 1.810이고, 밀도는 2.4 g/cm3이었다. 1 MV에서의 누출 전류는 3e-09 amps/cm2이었고, 2 MV에서의 누출 전류는 4e-08 amps/cm2이었다. 파괴 전압(breakdown voltage (Vbd))은 5 MV/cm이었다.
추가의 예에서, 스트레스 니트라이드 층으로서 사용하기 위한 보론 니트라이드 필름은 하기 조건에서 증착되었다: 10초 동안 400℃의 기판 지지체 온도에서의 480 mil의 공간 및 6 Torr의 챔버 압력에서의 25 또는 50 sccm 유량의 디보란을 사용한 보론-함유 필름의 증착; 및 이어지는, 보론-함유 필름을 플라즈마로 처리하여 질소를 필름에 혼입시키고 보론 니트라이드 필름을 형성시키는 처리로서, 상기 플라즈마 공정이 13.6 MHz에서의 100 W의 RF 전력에 의한 10초/사이클 동안 100 sccm의 암모니아 및 2000 sccm의 질소를 사용함을 포함하는 처리. 보론-함유 필름의 증착 및 플라즈마 처리는 10 사이클 동안 반복되었다. 25 sccm의 디보란을 사용함으로써 증착된 필름은 100%의 측벽/상부 스텝 커버리지 및 98%의 바닥/상부 스텝 커버리지를 지녔다. 50 sccm의 디보란을 사용함으로써 증착된 필름은 99%의 측벽/상부 스텝 커버리지 및 100%의 바닥/상부 스텝 커버리지를 지녔다. 필름은 또한 900℃에서의 30분 어닐링 후에 열적으로 안정한 것으로 밝혀졌다. 따라서, 본 발명에서 제공된 필름은 바람직하게 높은 밀도 및 전기적 성질을 나타낸다.
도 2는 생성되는 보론 니트라이드 층의 조성에 대한 N2에 의한 보론-함유 필름의 처리 동안의 상이한 N2 유량의 효과를 나타내는 FTIR이다. 도 2는 보론 니트라이드 층의 조성이 질소에 의한 보론-함유 필름의 처리 동안 질소-함유 전구체의 유량을 조정함으로써 조절될 수 있음을 예시하고 있다. 적은 유량의 디보란의 사용은 높은 질소 함량 및 낮은 굴절율을 지니는 보론 니트라이드 필름을 제공함이 또한 밝혀졌다.
도 3은 결과적으로 형성된 보론 니트라이드 층의 조성에 대한 보론-함유 필름의 증착 동안 상이한 기판 지지체 온도의 효과를 나타내는 FTIR이다. 도 3은 보론 니트라이드 층의 조성이 기판 지지체 온도를 조정함으로써 또한 조절될 수 있음을 예시하고 있다.
필름 조성 이외에, 보론 니트라이드 필름의 그 밖의 성질, 예컨대, 굴절율(RI) 및 스텝 커버리지가 단계(102)에서의 보론-함유 전구체의 도입 동안 챔버내로 다른 전구체를 도입함으로써 조절될 수 있다. 단계(102) 동안 B2H6, B2H6+NH3, B2H6+SiH4, 및 B2H6+NH3+SiH4를 사용함으로써 증착된 필름을 비교하였다. B2H6+SiH4 필름은 최고의 굴절율을 지녔다. B2H6 단독보다는 오히려 추가의 전구체를 사용함으로써 증착된 필름이 개선된 균일성을 지녔다. B2H6+NH3+SiH4 필름은 최상의 스텝 커버리지를 지녔다. 예를 들어, 하기 공정을 이용함으로써 91%의 바닥/상부 스텝 커버리지, 91%의 측벽/상부 스텝 커버리지, 0%의 상부 PLE, 7%의 측벽 PLE 및 5%의 바닥 PLE가 얻어졌다: 15초 동안의 400 sccm B2H6 (N2중 5%), 40 sccm SiH4, 200 sccm NH3, 4000 sccm N2 다음, 15초 동안 13.6 MHz에서의 600 W RF 전력, 100 sccm NH3, 및 6000 sccm N2를 포함하는 질소 플라즈마 처리.
기판이 도 1에 관한 구체예에서 순차적으로 보론-함유 전구체 및 질소-함유 전구체에 노출되지만, 대안적인 구체예에서는, 기판이 보론-함유 전구체 및 질소-함유 전구체(및/또는 산소-함유 전구체)에 동시에 노출된다. 그러한 구체예에서, 보론-함유 전구체 및 질소-함유 전구체 또는 산소-함유 전구체가 챔버에 도입되고, 이어서 챔버에서 기판상에 보론 니트라이드 또는 보론 옥사이드 필름을 화학적 기상 증착시키도록 반응된다. 임의적으로는, 실리콘-함유 화합물, 탄소-함유 화합물, 인-함유 화합물 또는 이의 조합물이 또한 동시에 챔버에 도입되어 도핑된 보론 니트라이드 필름을 증착시킬 수 있다. 보론-함유 전구체, 질소-함유 전구체 도는 산소-함유 전구체, 및 임의의 다른 화합물의 반응은 챔버내의 플라즈마의 존재 또는 부재하에 수행될 수 있다.
전구체들을 동시에 도입함으로써, 더 높은 증착율이 달성될 수 있다. 따라서, 기판이 보론-함유 전구체 및 질소-함유 전구체에 동시에 노출되는 구체예가 높은 스텝 커버리지 및 패턴 로딩 요건을 지니지 않는 적용, 예컨대, 하드 마스크 층을 위한 보론 니트라이드 필름을 형성시키는 바람직한 방법을 제공한다.
보론-함유 전구체 및 질소-함유 전구체는 도 1의 구체예에 관한 상기된 전구체들 중 어느 전구체일 수 있다. 유사하게, 챔버 및 기판은 각각 도 1의 구체예에 관한 상기된 챔버 및 기판중 어느 것일 수 있다.
보론-함유 전구체가 희석 가스로서 질소(N2), 수소(H2), 아르곤(Ar) 또는 이들의 조합물과 함께 챔버내로 도입될 수 있다. 보론-함유 전구체는 약 5 sccm 내지 약 50 slm, 예컨대, 약 10 sccm 내지 약 1 slm의 유량으로 챔버내로 도입될 수 있다. 질소-함유 전구체는 약 5 sccm 내지 약 50 slm, 예컨대, 약 10 sccm 내지 약 1 slm의 유량으로 챔버내로 도입될 수 있다. 희석 가스는 약 5 sccm 내지 약 50 slm, 예컨대, 약 1 sccm 내지 약 10 slm의 유량으로 챔버내로 도입될 수 있다.
보론-함유 전구체 및 질소-함유 전구체가 플라즈마의 존재하에 반응되는 구체예에서, 증착 동안 약 10 mTorr 내지 약 760 Torr, 예를 들어, 약 2 Torr 내지 약 10 Torr의 챔버 압력 및 약 100℃ 내지 약 1000℃, 예를 들어, 약 300℃ 내지 약 500℃의 기판 지지체 온도가 이용될 수 있다. 챔버의 샤워헤드와 기판 지지체 사이의 공간은 약 100mil 내지 약 10000mil일 수 있다. 플라즈마는 챔버의 샤워헤드 전극 및/또는 기판 지지체 전극에 전달된 RF 전력에 의해서 제공될 수 있다. RF 전력은 약 100 kHz 내지 약 1 MHz, 예를 들어, 약 300 kHz 내지 약 400 kHz의 단일 저주파수의 약 2 W 내지 약 5000 W, 예컨대, 약 30 W 내지 약 1000 W의 전력 수준으로, 또는 약 1 MHz 초과, 예컨대, 약 1MHz 초과에서 약 60 MHz까지, 예를 들어, 13.6 MHz까지의 단일 고주파수의 약 2 W 내지 약 5000 W, 예컨대, 약 30 W 내지 약 1000 W의 전력 수준으로 제공될 수 있다. 대안적으로, RF 전력은 약 2 W 내지 약 5000 W, 예컨대, 약 30 W 내지 약 1000 W의 전력 수준의 약 100 kHz 내지 약 1 MHz, 예를 들어, 약 300 kHz 내지 약 400 kHz의 첫 번째 주파수와 약 2 W 내지 약 5000 W, 예컨대, 약 30 W 내지 약 1000 W의 전력 수준의 약 1 MHz 초과, 예컨대, 약 1MHz 초과에서 약 60 MHz까지, 예를 들어, 13.6 MHz까지의 두 번째 주파수를 포함하는 혼합된 주파수로 제공될 수 있다.
보론-함유 전구체와 질소-함유 전구체가 플라즈마의 존재하에 반응되는 구체예는 하드 마스크 적용에 바람직한 성질을 지니는 보론 니트라이드 필름을 제공한다. 예를 들어, 열 옥사이드 및 열 니트라이드에 대해서 0.03 및 0.3의 습식 에칭율 비(100:1 HF)가 각각 얻어졌다. 아르곤을 전구체 혼합물에 첨가하여 필름의 유전 상수를 저하시키고 파괴 전압을 증가시킬 수 있다. 이들 필름은 또한 라인 후단 적용(back-end of line application), 예컨대, 구리 배리어 층에 바람직한 성질을 지닌다. 예시적인 구체예에서, 질소 및 암모니아로 희석된 디보란이 챔버내로 도입되며 RF 전력에 의해서 제공된 플라즈마의 존재하에 반응되어 챔버내의 기판상에 보론 니트라이드 필름을 증착시킨다. 디보란은 약 3000 sccm의 유량, N2중 5%로 챔버에 도입되었으며, 암모니아는 약 150sccm의 유량으로 챔버내로 도입되었다. RF 전력은 13.6 MHz의 주파수에서 약 300 W로 제공되었다. 챔버 압력은 약 6 Torr이었고, 공간은 약 480mil이었다. 낮은 에칭율, 높은 증착율, 및 바람직한 낮은 유전 상수를 지니는 보론 니트라이드 필름이 얻어졌다.
보론-함유 전구체 및 질소-함유 전구체, 산소-함유 전구체, 탄소-함유 전구체 및/또는 실리콘-함유 전구체가 플라즈마의 부재하에 반응되는 구체예에서, 증착 동안 약 10 mTorr 내지 약 760 Torr의 챔버 압력 및 약 100℃ 내지 약 1000℃의 기판 지지체 온도가 이용될 수 있다. 챔버의 샤워헤드와 기판 지지체 사이의 공간은 약 50 mil 내지 약 5000 mil일 수 있다.
보론-함유 전구체 및 질소-함유 전구체가 동시에 도입되는 추가의 구체예에서, 실리콘-함유 전구체가 또한 보론-함유 전구체 및 질소-함유 전구체와 함께 챔버에 도입되어 스페이서 적용을 위한 SiBN 필름을 형성시킬 수 있다. SiBN 필름은 5.5의 유전 상수, 6 MV/cm 초과의 파괴전압, 및 2 MV에서 1e-9amps/cm2 미만의 누출 전류를 지닐 수 있다. SiBN 필름을 증착시키는 예시적인 공정 조건은 60 sccm SiH4, 600 sccm NH3, 1000 sccm N2, 100-1000 sccm B2H6, 13.6 MHz에서의 100 W RF 전력, 6 Torr의 챔버 압력, 및 480 mil의 공간을 포함한다. 임의로, SiBN 필름은 400℃에서 10분 동안 UV 경화될 수 있다.
상기 설명이 본 발명의 구체예에 대한 것이지만, 본 발명의 그 밖의 및 추가의 구체예가 본 발명의 기본적인 범위를 벗어나지 않으면서 발명될 수 있으며, 본 발명의 범위는 후속되는 청구범위에 의해서 결정된다.

Claims (15)

  1. 보론 니트라이드 필름 또는 보론 옥사이드 필름을 형성시키는 방법으로서, 보론-함유 전구체를 챔버내로 도입하고; 챔버내의 기판상에 보론-함유 전구체로부터의 보론-함유 필름을 증착시키고; 필름내의 질소 또는 산소 함량을 증가시키고 보론 니트라이드 필름 또는 보론 옥사이드 필름을 형성시키도록 보론-함유 필름을 처리하고; 보론 니트라이드 필름 또는 보론 옥사이드 필름의 요구된 두께가 얻어질 때까지 도입하고, 증착시키고, 처리함을 반복함을 포함하는 방법.
  2. 제 1항에 있어서, 보론-함유 필름이 보론-도핑된 옥사이드 필름 또는 보론-도핑된 니트라이드 필름인 방법.
  3. 제 2항에 있어서, 보론-함유 필름이 10 GPa의 압축 스트레스 내지 10 GPa의 인장 스트레스의 스트레스를 지니는 보론-도핑된 니트라이드 필름인 방법.
  4. 제 2항에 있어서, 보론-함유 필름을 사용하여 하부 층 또는 상부 층을 보론으로 도핑시킴을 추가로 포함하는 방법.
  5. 제 2항에 있어서, 보론-함유 필름이 보론-풍부한 필름의 아래 또는 위에서 보론 확산 배리어(barrier)로서 사용되고, 보론-함유 필름이 보론-풍부한 필름보다 더 낮은 보론 원자 백분율 농도를 지니는 방법.
  6. 제 2항에 있어서, 보론 옥사이드 필름 또는 보론 니트라이드 필름상에 구리를 증착시킴을 추가로 포함하는 방법.
  7. 제 2항에 있어서, 보론-함유 필름이 구리와 구리 배리어 층 사이의 접착층인 방법.
  8. 제 2항에 있어서, 보론-함유 필름의 증착 동안에 챔버내로 질소-함유 화합물, 산소-함유 화합물, 실리콘-함유 화합물, 탄소-함유 화합물, 인-함유 화합물 또는 이들의 조합물을 도입함을 추가로 포함하는 방법.
  9. 제 8항에 있어서, 실리콘-함유 화합물이 실란, 트리실릴아민(TSA), 트리메틸실란(TMS), 및 실라잔으로 이루어진 군으로부터 선택되는 방법.
  10. 제 8항에 있어서, 산소-함유 화합물이 산소 가스, 산화질소(NO), 아산화질소(N2O), 이산화탄소(CO2) 및 물(H2O)로 이루어진 군으로부터 선택되는 방법.
  11. 제 8항에 있어서, 포스핀이 보론-함유 필름의 증착 동안에 챔버내로 도입되 는 방법.
  12. 제 1항에 있어서, 보론-함유 전구체가 디보란, 보라진, 및 보라진의 알킬-치환된 유도체로 이루어진 군으로부터 선택되는 방법.
  13. 제 1항에 있어서, 질소-함유 전구체가 암모니아, 질소 가스, 및 하이드라진으로 이루어진 군으로부터 선택되는 방법.
  14. 제 1항에 있어서, 보론-함유 필름을 처리하는 것이 보론-함유 필름을 플라즈마 공정, UV 경화 공정, 열 어닐링 공정(thermal anneal process) 또는 이들의 조합 공정에 노출시킴을 포함하는 방법.
  15. 제 1항에 있어서, 보론-함유 필름을 처리하는 것이 보론-함유 필름을 질소-함유 전구체 또는 산소-함유 전구체에 노출시킴을 포함하는 방법.
KR1020097026929A 2007-05-23 2008-05-13 보론 니트라이드 및 보론 니트라이드-유도된 물질 증착 방법 KR101327923B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US93980207P 2007-05-23 2007-05-23
US60/939,802 2007-05-23
US11/765,257 US8084105B2 (en) 2007-05-23 2007-06-19 Method of depositing boron nitride and boron nitride-derived materials
US11/765,257 2007-06-19
PCT/US2008/063552 WO2008147689A1 (en) 2007-05-23 2008-05-13 Boron nitride and boron nitride-derived materials deposition method

Publications (2)

Publication Number Publication Date
KR20100038311A true KR20100038311A (ko) 2010-04-14
KR101327923B1 KR101327923B1 (ko) 2013-11-14

Family

ID=40072658

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097026929A KR101327923B1 (ko) 2007-05-23 2008-05-13 보론 니트라이드 및 보론 니트라이드-유도된 물질 증착 방법

Country Status (5)

Country Link
US (1) US8084105B2 (ko)
KR (1) KR101327923B1 (ko)
CN (1) CN101690420B (ko)
TW (1) TWI398925B (ko)
WO (1) WO2008147689A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180043393A (ko) * 2015-09-18 2018-04-27 어플라이드 머티어리얼스, 인코포레이티드 등각적 bcn 막들을 증착하기 위한 방법들

Families Citing this family (196)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102007010563A1 (de) * 2007-02-22 2008-08-28 IHP GmbH - Innovations for High Performance Microelectronics/Institut für innovative Mikroelektronik Selektives Wachstum von polykristallinem siliziumhaltigen Halbleitermaterial auf siliziumhaltiger Halbleiteroberfläche
US8337950B2 (en) * 2007-06-19 2012-12-25 Applied Materials, Inc. Method for depositing boron-rich films for lithographic mask applications
US20090093100A1 (en) * 2007-10-09 2009-04-09 Li-Qun Xia Method for forming an air gap in multilevel interconnect structure
US7910994B2 (en) * 2007-10-15 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for source/drain contact processing
US8148269B2 (en) * 2008-04-04 2012-04-03 Applied Materials, Inc. Boron nitride and boron-nitride derived materials deposition method
US7998881B1 (en) 2008-06-06 2011-08-16 Novellus Systems, Inc. Method for making high stress boron-doped carbon films
US7906817B1 (en) 2008-06-06 2011-03-15 Novellus Systems, Inc. High compressive stress carbon liners for MOS devices
WO2010039699A2 (en) 2008-09-30 2010-04-08 Rolls-Royce Corporation Coating including a rare earth silicate-based layer including a second phase
US8563090B2 (en) * 2008-10-16 2013-10-22 Applied Materials, Inc. Boron film interface engineering
US7910491B2 (en) * 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US20100267191A1 (en) * 2009-04-20 2010-10-21 Applied Materials, Inc. Plasma enhanced thermal evaporator
US8288292B2 (en) 2010-03-30 2012-10-16 Novellus Systems, Inc. Depositing conformal boron nitride film by CVD without plasma
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
EP2688858A1 (en) * 2011-03-23 2014-01-29 Rolls-Royce Corporation Bond layers for ceramic or ceramic matrix composite substrates
US8647989B2 (en) 2011-04-15 2014-02-11 United Microelectronics Corp. Method of forming opening on semiconductor substrate
US8399359B2 (en) 2011-06-01 2013-03-19 United Microelectronics Corp. Manufacturing method for dual damascene structure
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
JP5758829B2 (ja) * 2012-03-27 2015-08-05 東京エレクトロン株式会社 ボロン含有シリコン酸炭窒化膜の形成方法およびシリコン酸炭窒化膜の形成方法
CN103489778B (zh) * 2012-06-11 2016-03-30 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US8735295B2 (en) 2012-06-19 2014-05-27 United Microelectronics Corp. Method of manufacturing dual damascene structure
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US8647991B1 (en) 2012-07-30 2014-02-11 United Microelectronics Corp. Method for forming dual damascene opening
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US8921226B2 (en) 2013-01-14 2014-12-30 United Microelectronics Corp. Method of forming semiconductor structure having contact plug
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
JP6159143B2 (ja) * 2013-05-10 2017-07-05 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
KR20150026364A (ko) * 2013-09-02 2015-03-11 엘지전자 주식회사 질화 붕소계 형광체, 그 제조 방법 및 이를 이용한 발광 소자 패키지
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8962490B1 (en) 2013-10-08 2015-02-24 United Microelectronics Corp. Method for fabricating semiconductor device
US9543140B2 (en) 2013-10-16 2017-01-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
JP6254848B2 (ja) * 2014-01-10 2017-12-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US20160014878A1 (en) 2014-04-25 2016-01-14 Rogers Corporation Thermal management circuit materials, method of manufacture thereof, and articles formed therefrom
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9613819B2 (en) * 2014-06-06 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Process chamber, method of preparing a process chamber, and method of operating a process chamber
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10329205B2 (en) 2014-11-24 2019-06-25 Rolls-Royce Corporation Bond layer for silicon-containing substrates
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10128150B2 (en) 2015-04-03 2018-11-13 Applied Materials, Inc. Process of filling the high aspect ratio trenches by co-flowing ligands during thermal CVD
CN111118477A (zh) * 2015-06-05 2020-05-08 应用材料公司 赋予掺杂硼的碳膜静电夹持及极佳颗粒性能的渐变原位电荷捕捉层
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP2017084894A (ja) * 2015-10-26 2017-05-18 東京エレクトロン株式会社 ボロン窒化膜の形成方法および半導体装置の製造方法
CN105648419B (zh) * 2016-01-20 2018-09-25 杭州电子科技大学 一种降低六方氮化硼二维薄膜厚度的方法
CN105483646B (zh) * 2016-01-20 2019-01-18 杭州电子科技大学 一种紫外吸收薄膜的制备方法
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10312137B2 (en) * 2016-06-07 2019-06-04 Applied Materials, Inc. Hardmask layer for 3D NAND staircase structure in semiconductor applications
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
WO2018118288A1 (en) * 2016-12-22 2018-06-28 Applied Materials, Inc. Sibn film for conformal hermetic dielectric encapsulation without direct rf exposure to underlying structure material
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
CN110921637B (zh) * 2018-09-20 2022-09-23 中国科学院上海微系统与信息技术研究所 多层六方氮化硼薄膜的制备方法
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11018139B2 (en) * 2019-08-13 2021-05-25 Micron Technology, Inc. Integrated transistors and methods of forming integrated transistors
WO2023201271A1 (en) * 2022-04-14 2023-10-19 Versum Materials Us, Llc Boron-containing precursors for the ald deposition of boron nitride films

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3451840A (en) * 1965-10-06 1969-06-24 Us Air Force Wire coated with boron nitride and boron
FR2525391B1 (fr) * 1982-04-16 1985-09-13 Thomson Csf Substrat pour circuit electronique fonctionnant dans la gamme des hyperfrequences, et procede de metallisation de ce substrat
US4656052A (en) 1984-02-13 1987-04-07 Kyocera Corporation Process for production of high-hardness boron nitride film
JPS6383273A (ja) * 1986-09-26 1988-04-13 Res Dev Corp Of Japan 窒化ホウ素膜の合成方法
EP0412301B1 (en) * 1989-07-11 1994-12-07 Redwood MicroSystems, Inc. Boron nitride membrane in wafer structure
US5270125A (en) * 1989-07-11 1993-12-14 Redwood Microsystems, Inc. Boron nutride membrane in wafer structure
JPH0758698B2 (ja) * 1990-08-22 1995-06-21 信越半導体株式会社 半導体ウエーハヘのボロン拡散方法
KR930011413B1 (ko) 1990-09-25 1993-12-06 가부시키가이샤 한도오따이 에네루기 겐큐쇼 펄스형 전자파를 사용한 플라즈마 cvd 법
US5897751A (en) 1991-03-11 1999-04-27 Regents Of The University Of California Method of fabricating boron containing coatings
US5306530A (en) * 1992-11-23 1994-04-26 Associated Universities, Inc. Method for producing high quality thin layer films on substrates
JP3236111B2 (ja) * 1993-03-31 2001-12-10 キヤノン株式会社 プラズマ処理装置及び処理方法
JP2664866B2 (ja) 1993-04-09 1997-10-22 インターナショナル・ビジネス・マシーンズ・コーポレイション 窒化ホウ素をエッチングする方法
US5483920A (en) 1993-08-05 1996-01-16 Board Of Governors Of Wayne State University Method of forming cubic boron nitride films
JP3099066B1 (ja) 1999-05-07 2000-10-16 東京工業大学長 薄膜構造体の製造方法
US20050208218A1 (en) * 1999-08-21 2005-09-22 Ibadex Llc. Method for depositing boron-rich coatings
US6165891A (en) * 1999-11-22 2000-12-26 Chartered Semiconductor Manufacturing Ltd. Damascene structure with reduced capacitance using a carbon nitride, boron nitride, or boron carbon nitride passivation layer, etch stop layer, and/or cap layer
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
JP5013353B2 (ja) 2001-03-28 2012-08-29 隆 杉野 成膜方法及び成膜装置
WO2003025243A2 (en) * 2001-09-14 2003-03-27 Asm International N.V. Metal nitride deposition by ald using gettering reactant
US20030224217A1 (en) * 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
KR100487948B1 (ko) * 2003-03-06 2005-05-06 삼성전자주식회사 이중 다마신 기술을 사용하여 비아콘택 구조체를 형성하는방법
US6967405B1 (en) * 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
US8119210B2 (en) * 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20070205706A1 (en) * 2006-03-01 2007-09-06 General Electric Company Optical Substrate Comprising Boron Nitride Particles
JP4497323B2 (ja) * 2006-03-29 2010-07-07 三菱電機株式会社 プラズマcvd装置
TW200821632A (en) * 2006-08-23 2008-05-16 Mitsui Chemicals Inc Light reflector and light source having same
WO2009012067A1 (en) * 2007-07-13 2009-01-22 Applied Materials, Inc. Boron derived materials deposition method
US8148269B2 (en) * 2008-04-04 2012-04-03 Applied Materials, Inc. Boron nitride and boron-nitride derived materials deposition method
US8563090B2 (en) * 2008-10-16 2013-10-22 Applied Materials, Inc. Boron film interface engineering

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180043393A (ko) * 2015-09-18 2018-04-27 어플라이드 머티어리얼스, 인코포레이티드 등각적 bcn 막들을 증착하기 위한 방법들

Also Published As

Publication number Publication date
TW200908151A (en) 2009-02-16
US8084105B2 (en) 2011-12-27
WO2008147689A1 (en) 2008-12-04
KR101327923B1 (ko) 2013-11-14
TWI398925B (zh) 2013-06-11
CN101690420A (zh) 2010-03-31
CN101690420B (zh) 2013-02-27
US20080292798A1 (en) 2008-11-27

Similar Documents

Publication Publication Date Title
KR101327923B1 (ko) 보론 니트라이드 및 보론 니트라이드-유도된 물질 증착 방법
US7704816B2 (en) Boron derived materials deposition method
US8586487B2 (en) Low temperature plasma enhanced chemical vapor deposition of conformal silicon carbon nitride and silicon nitride films
KR101274960B1 (ko) 붕소 질화물 및 붕소-질화물 유도 물질들 증착 방법
US8563090B2 (en) Boron film interface engineering
KR101161098B1 (ko) 낮은 에칭 레이트 유전체 라이너들을 이용한 갭충진 향상
KR101193628B1 (ko) 저온 실리콘 화합물 증착
KR101164688B1 (ko) 게이트 스택 측벽 스페이서들을 제조하기 위한 방법
KR101081632B1 (ko) 질소 플라즈마 인-시튜 처리 및 엑스-시튜 uv 경화를 이용하여 실리콘 질화물 인장 스트레스를 증가시키는 방법
US7780865B2 (en) Method to improve the step coverage and pattern loading for dielectric films
US20140273530A1 (en) Post-Deposition Treatment Methods For Silicon Nitride
US20130260564A1 (en) Insensitive dry removal process for semiconductor integration
TW202317808A (zh) 在反應空間中在基板上形成氮化矽薄膜的方法
KR20080056287A (ko) 도프된 실리콘 질화물 필름의 저온 증착 방법 및 장치
TW200834681A (en) Safe handling of low energy, high dose arsenic, phosphorus, and boron implanted wafers
TW202113128A (zh) 以高能量低劑量電漿後處理氮化矽基的介電膜之方法
US20230395391A1 (en) Ruthenium carbide for dram capacitor mold patterning

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20161028

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20171002

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20181031

Year of fee payment: 6