TW202113128A - 以高能量低劑量電漿後處理氮化矽基的介電膜之方法 - Google Patents

以高能量低劑量電漿後處理氮化矽基的介電膜之方法 Download PDF

Info

Publication number
TW202113128A
TW202113128A TW109118885A TW109118885A TW202113128A TW 202113128 A TW202113128 A TW 202113128A TW 109118885 A TW109118885 A TW 109118885A TW 109118885 A TW109118885 A TW 109118885A TW 202113128 A TW202113128 A TW 202113128A
Authority
TW
Taiwan
Prior art keywords
helium
substrate
plasma
silicon nitride
sin
Prior art date
Application number
TW109118885A
Other languages
English (en)
Inventor
孫顒
李晶粲
蘇奇蘇尼爾 奧朱哈
普拉凱特佩拉卡希 加
璟梅 梁
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202113128A publication Critical patent/TW202113128A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

一種對在基板的表面上形成的氮化矽(SiN)基的介電膜進行後處理的方法,包括以下步驟:將具有形成在其上的氮化矽(SiN)基的介電膜的基板放置在處理腔室中;及使氮化矽(SiN)基的介電膜在處理腔室中曝露於含氦高能量低劑量的電漿。含氦高能量低劑量電漿中氦離子的能量在1eV與3.01eV之間,且含氦高能量低劑量電漿中氦離子的通量密度在5×1015 個離子/cm2 ·sec與1.37×1016 個離子/cm2 ·sec之間。

Description

以高能量低劑量電漿後處理氮化矽基的介電膜之方法
本揭示案的實施例大體係關於可流動的間隙填充膜及其製造處理,且更特定言之係關於藉由高能量低劑量電漿對可流動的膜進行後處理。
小型半導體元件(包括淺溝槽隔離(STI)、金屬間介電(IMD)層、層間介電(ILD)層、金屬前介電(PMD)層、鈍化層、鰭片場有效電晶體(FinFET)及類似者)的製造在用於圖案化奈米級閘極結構的先進光刻中面臨挑戰。氮化矽是在此種結構中使用的主要介電材料之一。間隙和溝槽的無空隙填充已藉由可流動的化學氣相沉積(CVD)進行,其中處於液相的含矽和氮的介電前驅物被輸送到基板上的間隙和溝槽中(稱為可流動膜),並接著常規地藉由蒸汽退火、紫外線(UV)輻射、熱壓和高溫燒結而固化成處於固相的氮化矽(SiN)基的介電膜。然而,此類固化處理受限在高深寬比特徵內的特定深度,且因此特徵沒有被氮化矽(SiN)基的介電膜完全填充。在一些情況下,可流動膜用含有高能量離子的標準高密度電漿(HDP)處理,以增加固化深度。然而,已知此類HDP處理不會滲透到氮化矽(SiN)基的介電膜中,且不會將固化深度增加到高深寬比特徵的深度。因此,在高深寬比特徵內(部分包含氮化矽)的材料相較於氧化矽的濕式蝕刻選擇性小於氮化矽相較於氧化矽的濕式蝕刻選擇性。
因此,需要新的固化處理來形成可流動的膜,該可流動的膜填充高深寬比的間隙和溝槽並且相對於氧化矽具有改善的機械特性,諸如改善的濕式蝕刻速率(WERR,<2:1)。
於此描述的實施例大體係關於一種對在基板的表面上形成的氮化矽(SiN)基的介電膜進行後處理的方法,包括以下步驟:將具有形成在其上的氮化矽(SiN)基的介電膜的基板放置在處理腔室中;及使氮化矽(SiN)基的介電膜在處理腔室中曝露於含氦高能量低劑量的電漿。含氦高能量低劑量電漿中的氦離子的能量在1eV與3.01eV之間,且含氦高能量低劑量電漿中的氦離子的通量密度在5×1015 個離子/cm2 ·sec與1.37×1016 個離子/cm2 ·sec之間。
本揭示案的實施例可進一步提供一種在基板的表面上形成和後處理氮化矽(SiN)基的介電膜的方法,該方法包括以下步驟:將介電前驅物傳送到設置在第一腔室的處理區域中的基板上,介電前驅物包含矽和氮;在第一腔室的處理區域中提供自由基通量;及在第二腔室中將輸送的介電前驅物曝露於含氦的高能量低劑量電漿。含氦高能量低劑量電漿中的氦離子的能量在1eV與3.01eV之間,且含氦高能量低劑量電漿中的氦離子的通量密度在5×1015 個離子/cm2 ·sec與1.37×1016 個離子/cm2 ·sec之間。
於此所述的實施例提供了例如藉由可流動的化學氣相沉積(CVD)對沉積在基板上的氮化矽(SiN)基的介電膜進行後處理的方法。氮化矽(SiN)基的介電膜包含矽氮(Si–N–Si)鍵。沉積在基板上的氮化矽(SiN)基的介電膜可能會由於矽氫的交聯限於沉積的矽氮(Si-N)基的介電膜的表面附近而含有大量的矽氫(Si–H)和氮氫(N–H)鍵結,從而導致間隙和溝槽填充不足。於此所述的方法包括藉由將沉積的氮化矽(SiN)基的介電膜曝露於含氦的高能量低劑量電漿來對沉積在基板的表面上的氮化矽(SiN)基的介電膜進行後處理。於此描述的方法可用以減少或消除氮化矽(SiN)基的介電膜中的Si–H和N–H鍵,從而將氮化矽(SiN)基的介電膜緻密化為較大的厚度。
於此所述的實施例亦提供了藉由可流動的CVD形成氮化矽(SiN)基的介電膜以填充具有高深寬比(AR)和小尺寸(如,AR
Figure 02_image003
8)的間隙和溝槽的方法。在一些實施例中,藉由可流動的CVD而形成的氮化矽(SiN)基的介電膜是無縫隙的,且可使用處於液相的矽和氮介電前驅物和自由基形式的共反應物(活性氣體)(例如,氧(O2 )或氨(NH3 ))來填充高AR的間隙和溝槽。
第1圖是圖示根據一個實施例的用以在基板的表面上形成氮化矽(SiN)基的介電膜的方法100的流程圖。
在方塊102中,將基板放置在沉積腔室中。例如,基板可為金屬基板(諸如鋁或不銹鋼)、半導體基板(諸如矽、絕緣體上矽(SOI)或砷化鎵)、玻璃基板或塑料基板。半導體基板可為積體電路形成中的任何製造(manufacture/fabrication)階段的圖案化基板。圖案化基板可包括將被介電材料填充的間隙、溝槽、孔、通孔或類似者。
在方塊104中,處於液相的一種或多種介電前驅物和載氣(諸如氬氣(Ar)或氦氣(He))經由氣體輸送裝置(諸如雙通道噴頭(DCSH))流入沉積腔室中,以DSCH的每個通道在約250sccm和約5000sccm的流速之間的流率將介電前驅物輸送到位於沉積腔室內的基板的表面上。基板的表面可保持在約40℃和約150℃之間的降低的溫度下,例如在約80℃下。沉積腔室的壓力可保持在約0.5 Torr與約3.0 Torr之間。
在一些實施例中,介電前驅物是包括矽、氮、氫和氯的有機矽化合物(諸如甲矽烷基胺(silyl-amine)及其衍生物(包括三甲矽烷基胺(TSA)和二甲矽烷基胺(DSA)))、包括矽、氮、氫和氧或其組合的有機矽化合物。
在方塊106中,可在沉積腔室外側的遠端電漿源(RPS)中生成電漿,並與載氣(如,Ar、He)一起流入沉積腔室的基板處理區域中。電漿可藉由處理前驅物氣體的分解而產生,處理前驅物氣體包括分子氧(O2 )、臭氧(O3 )、分子氫(H2 )、氮氫化合物(如,NH3 、N2 H4 )、氮氧化合物(如,NO、NO2 、N2 O)、氫氧化合物(如,H2 O、H2 O2 )、氮氫氧化合物(如,NH4 OH)、碳氧化合物(如,CO、CO2 )或其組合。在電漿中,可活化含有O*、H*及/或N*的自由基,諸如O*、H*、N*、NH3 *、N2 H4 *、NH2 *、NH*、N*O*、C3 H6 *、C2 H2 *或其組合。
在一些實施例中,在RPS中活化的自由基以在約1sccm和約10000sccm之間的流率流入沉積腔室(稱為「自由基通量」)。
在方塊108中,基板處理區域中的一個或多個自由基(也稱為反應性氣體)與所輸送的介電前驅物反應,以形成氮化矽(SiN)基的介電膜。可藉由改變自由基通量中反應性氣體的成分來調節形成的氮化矽(Si-N)基的介電膜的成分。為了形成含氮膜(諸如SiON、SiCON和SiN膜),反應氣體可為(例如)氨(NH3 )、氫(H2 )、肼(N2 H4 )、二氧化氮(NO2 )或氮(N2 )。當基板處理區域中的反應氣體與所輸送的介電前驅物反應時,Si–H和N-H鍵(弱鍵)會部分斷裂,並被Si–N、Si–NH及/或Si–NH2 鍵代替(牢固的鍵),以形成氮化矽(SiN)介電膜。
在方塊110中,將所形成的氮化矽(SiN)基的介電膜在電漿腔室中曝露於含有輕離子(亦即,元素週期表中原子序小的離子物種)(諸如氦氣(He)、氫氣(H2 )、氬氣(Ar)或氮氣(N2 ))的高能量低劑量電漿,以固化所形成的氮化矽(SiN)基的介電膜。電漿腔室耦合到兩個功率源,經由感應線圈控制離子通量的密度(也稱為離子劑量)的RF功率源,和控制離子能量的RF功率源。
曝露於含有輕離子的高能量低劑量電漿導致所形成的氮化矽(SiN)基的介電膜中在具有S-H和N-H鍵的化合物之間的進一步交聯。也就是,當所形成的氮化矽(SiN)基的介電膜中相鄰化合物中的S-H和N-H鍵與含輕離子的電漿反應時,相鄰化合物藉由移除S–H鍵並形成Si–N、Si-NH及/或Si-NH2 鍵而發生交聯,且因此固化了氮化矽(SiN)基的介電膜的相應部分。
儘管不受理論的束縛,但據信在電漿中活化的離子的自由基可能會物理地轟擊在氮化矽(SiN)基的介電膜內的Si–H鍵,從而破壞Si–H鍵並導致形成Si–N、Si–NH及/或Si–NH2 鍵。輕離子穿過形成所的氮化矽(SiN)基的介電膜到達選定深度,而基本上不損壞所形成的氮化矽(SiN)基的介電膜。藉由輕離子的自由基的此種處理使得均勻地執行深度在從0nm至4.2nm範圍內的氮化處理(亦即,形成Si–N、Si–NH及/或Si–NH2 鍵)而不會損害所形成的氮化矽(SiN)基的介電膜成為可能,(例如)藉由熱退火或紫外線照射進行固化的同時,不可避免地受限於在氮化矽(SiN)基的介電膜的曝露表面附近固化。
通常,但不限於此,在不同於沉積腔室的腔室(電漿腔室)中執行介電前驅物的固化(方塊110),在沉積腔室中,執行介電前驅物與反應性氣體的傳送和反應(方塊104-108)。通常,可將操作組(如,方塊104-108)重複多個週期以形成整體上較厚的膜。
沉積系統的實施例可結合到用於生產積體電路晶片的更大的製造系統中。第2圖圖示了根據一個實施例的一種此類群集工具1001,其包括處理腔室1008a-f。在第2圖中,一對前開式晶圓傳送盒(FOUP)1002供應由機械臂1004接收並放置到低壓保持區域1006中的基板(如,300mm直徑的晶圓)。第二機械臂1010可用以運輸在低壓保持區域1006和處理腔室1008a-f之間的基板。
第3A圖是根據一個實施例的具有腔室主體302和蓋組件304的處理腔室300的示意圖。蓋組件304通常包括遠端電漿源(RPS)306、蓋308和雙通道噴頭(DCSH)310。RPS 306可處理從處理前驅物氣體源312提供的處理前驅物氣體。在RPS 306中形成的電漿可接著經由與蓋308耦接的氣體入口組件314和擋板316被輸送到腔室電漿區域318中。載氣(如,Ar、He)可被輸送到腔室電漿區域中。蓋(即導電頂部部分)308和雙通道噴頭(DCSH)310之間設置有絕緣環320,此允許相對於DCSH 310將AC電位施加到蓋308。
DCSH310設置在腔室電漿區域318和基板處理區域324之間,並允許在存在於腔室電漿區域318內的電漿中活化的自由基穿過複數個通孔326進入基板處理區域324。自由基的流動(自由基通量)在第3A圖中由實線箭頭「A」指示。基板328設置在基板支撐件330上,基板支撐件330設置在基板處理區域324內。DCSH 310亦具有一個或多個空心容積332,空心容積332可填充有由前驅物源334提供的介電前驅物。介電前驅物從一個或多個空心容積332經由小孔336並繞過腔室電漿區域318而進入基板處理區域324中。介電前驅物的流動由第3A圖中的虛線箭頭指示。排氣環338用以藉由使用排氣泵340而均勻地排空基板處理區域324。DCSH 310可比通孔326的最小直徑的長度厚。通孔326的最小直徑的長度可藉由形成部分穿過DCSH 310的通孔326的較大直徑部分來限制,以維持從腔室電漿區域318到基板處理區324中的自由基通量的流動。在一些實施例中,通孔326的最小直徑的長度可與通孔326的最小直徑處於相同的數量級或更小。
在一些實施例中,第2圖中的一對處理腔室(如,1008c-d)(稱為雙腔室)可用以將介電前驅物沉積在基板上。處理腔室的每一個(如,1008c-d)可具有第3A圖所描繪的處理腔室300的橫截面結構。上述DCSH的每個通道的流率對應於經由相應的DCSH 310進入腔室的每一個(如,1008c-d)的流率。
第3B圖是根據一個實施例的DCSH 310的示意性底視圖。DCSH 310可經由通孔326傳送存在於腔室電漿區域318內的自由基通量和載氣。
在一些實施例中,通孔326的數量可在約60個和約2000個之間。通孔326可具有圓形或多種形狀。在一些實施例中,通孔326的最小直徑可在約0.5mm和約20mm之間或在約1mm和約6mm之間。通孔326的橫截面形狀可製成圓錐形、圓柱形或兩種形狀的組合。在一些實施例中,小孔336的數量可用以將介電前驅物引入到基板處理區域324中,且可在約100個與約5000個之間或在約50個0與約2000個之間。小孔336的直徑可在約0.1mm和約2mm之間。
第4圖是根據一個實施例的具有腔室主體402和蓋組件404的電漿腔室400的示意圖。蓋組件404包括氣體輸送組件406和蓋408。蓋408具有開口410,以允許一種或多種處理前驅物氣體進入。氣體輸送組件406經由開口410設置在蓋408上方。氣體輸送組件406可經由氣體入口414連接到氣體源412,以將一種或多種處理前驅物氣體供應到基板處理區域424中。基板428設置在基板支撐件430上,基板支撐件430設置在基板處理區域424內並且耦合至偏壓功率源(未顯示)。一種或多種處理前驅物氣體可藉由使用排氣環438和排氣泵440離開基板處理區域424。
在蓋組件404中,內部線圈442、中間線圈444和外部線圈446設置在蓋408上方。內部線圈442和外部線圈446經由匹配電路450耦合到RF功率源448。從RF功率源448施加到外部線圈446的功率經由蓋408被感應地耦合,以從在基板處理區域424內從氣體源412提供的處理前驅物氣體產生電漿。RF功率源448可在不同的頻率下提供電流,以控制在電漿中的電漿密度(亦即,每立方公分的離子數),並因此控制離子通量的密度(ions/cm2 ·sec)。偏壓功率源控制在基板428和電漿之間的電壓,並因此控制離子的能量和方向性。因此,可獨立地控制離子通量和離子能量兩者。
加熱器組件452可設置在蓋408上方。加熱器組件452可藉由夾緊構件454、456而固定至蓋408。
基板的表面可保持在約100℃與約400℃之間的溫度。電漿腔室的壓力可維持在約5 mTorr和與500 mTorr之間。
在下文中,提供用以處理沉積膜的處理參數的實驗測量作為實例,以說明於此所述的本揭示案的實施例的態樣。該等實例並非旨在限制本揭示案的範疇。
在實驗測量中,將根據上述方法100形成的氮化矽(SiN)基的介電膜在15 mT與150 mT之間的壓力下曝露於含氦的高能量低劑量電漿中,持續時間在約2分鐘和3.5分鐘之間。藉由偏壓功率源施加到設置在基板支撐件內的電極的功率(稱為偏壓功率)在100 W與700 W之間變化,以改變由於所施加的偏壓功率而用以轟擊基板的表面的氦離子(亦即,電漿中產生的離子)的能量。施加到RF功率源的功率(在此實例中為ICP電漿源)在0 kW與2.7 kw之間變化,以改變電漿中生成的氦離子的密度(亦即,較低的功率對應於較低的通量密度)。所形成的氮化矽(SiN)基的介電膜被氦離子轟擊並被緻密化(亦即,被氮化)成每個循環在2.6Å與4.2Å之間的深度以及在3 nm與4.2 nm之間的總深度。下面總結了可在於此所述的實施例的一個或多個中使用的一些處理參數的摘要。
總硝化深度(nm) 3–4.2
離子 氦氣(He)
通量密度(離子/cm2 ·sec) 5×1015 –1.37×1016
離子能量(eV) 1–3.01
偏壓功率(W) 100–700
RF源功率(kW) 0–2.7
壓力(mTorr) 5–300
溫度 100–400
時間(分鐘/循環) 2–3.5
第5A圖圖示了在(i)2.7 kW(參見線591)及(ii)700 W(參見線592)的RF功率源(稱為RF源功率)的功率下在波長200 nm與900 nm之間測得的含氦電漿的光發射光譜(OES)強度。第5A圖中的主要發射線顯示了亞穩態的氦(He)原子(如,388.8 nm、402.6 nm、447.1 nm、501.5 nm、587.5 nm、667.8 nm、706.5 nm和728.1 nm)。此外,偵測到的與氮相關聯的反應性物種是激發的氮分子,其具有在300和400nm之間的光發射光譜波長。在700W RF源功率情況下(請參見線591),與亞穩氦(He)原子相對應的OES強度比在2.7kW RF源功率情況下(請參見線592)的OES強度小10到1000倍。因此,在700 W RF源功率情況下,含氦離子的電漿的電漿密度小10到1000倍。
第5B圖圖示了在各種偏壓功率(諸如在100 W與500 W之間)及在150 mTorr的壓力下的含氦電漿的OES強度。RF源功率保持在0 W,且因此藉由施加到基板支撐電極的偏壓的施加而產生含氦的電漿。如從第5B圖可看出,對應於第5A圖中的主要發射線的OES強度隨所施加的偏壓功率線性增加,且因此隨氦離子能量線性增加。因此,在該實例中,向高能量低劑量電漿提供低RF源功率(如,700W)和高偏壓功率(如,100-500W)。
含氦電漿(其中電漿密度和氦離子的能量可如上所述地控制)可用以緻密化所形成的沉積層,諸如氮化矽(SiN)基的介電膜。轟擊基板表面的具有低電漿密度並含有高能量氦離子的含氦電漿可在氮化矽(SiN)基的介電膜內更深地滲透,而不會由於在較高的電漿密度處理中產生的對膜的過度轟擊和在常規電漿處理中通常使用的較高原子質量的氣體的使用而對膜造成重大損傷。具有低電漿密度並含有高能氦離子的含氦電漿在所形成的氮化矽(SiN)基的介電膜中產生增加的厚度緻密化,並具有較小的總損傷。例如,可用此種高能量低劑量的含氦電漿處理沉積在高深寬比特徵內的含矽和氮化物的可流動膜,以使可流動膜緻密化,以形成氮化矽(SiN)基的介電膜,使之緻密化為在高深寬比特徵內增加的深度,而不會顯著損傷所形成的可流動的膜層。
第6圖圖示了使用藉由用去離子水將1%HF稀釋5分鐘而製備的稀HF(DHF)溶液來移除的氮化矽(SiN)基的介電膜的量。根據上述方法100形成氮化矽(SiN)基的介電膜,並接著在2.7 kW(高劑量)與700 W(低劑量)的RF源功率下、在300 W(低能量)與700 W(高能量)的偏壓功率下曝露於含氦電漿。如從第6圖可看出,電漿中氦離子的較低劑量(亦即,在較低的RF源功率下)和較高能量(亦即,在較高的偏壓功率下)增加了氮化矽基的介電膜的蝕刻量,此表明所形成的氮化矽(SiN)基的介電膜具有更深的氮化物(緻密化)部分,且蝕刻速率提高至12.5Å/min。較低的壓力亦導致電漿中氦離子的能量較高,並因此提高了蝕刻速率。
如上所述,具有含氦的高能量低劑量電漿的氮化矽(SiN)基的可流動膜的後處理可增加氮化深度並改善濕式蝕刻速率(WERR),而不會損壞可流動膜。應當注意,上述特定示例實施例僅僅是可藉由根據本揭示案的高能量低劑量電漿進行後處理的氮化矽(SiN)基的介電膜的一些可能示例,且不限於氮化矽(SiN)基的介電膜的可能的配置、規格、沉積方法或類似者。例如,藉由包括輕離子的高能量低劑量電漿的後處理可應用於任何摻雜或未摻雜的SiCOH、SiCON、SiO和SiN膜。
儘管前述涉及特定實施例,但是可設計其他和進一步的實施例而不背離其基本範疇,且其範疇由以下的申請專利範圍決定。
100:方法 102:方塊 104:方塊 106:方塊 108:方塊 110:方塊 300:處理腔室 302:腔室主體 304:蓋組件 306:遠端電漿源(RPS) 308:蓋 310:雙通道噴頭(DCSH) 312:前驅物氣體源 314:氣體入口組件 316:擋板 318:腔室電漿區域 320:絕緣環 324:基板處理區域 326:通孔 328:基板 330:基板支撐件 332:空心容積 334:前驅物源 336:小孔 400:電漿腔室 402:腔室主體 404:蓋組件 406:氣體輸送組件 408:蓋 410:開口 412:氣體源 414:氣體入口 424:基板處理區域 428:基板 430:基板支撐件 438:排氣環 440:排氣泵 442:內部線圈 444:中間線圈 446:外部線圈 448:RF功率源 450:匹配電路 452:加熱器組件 454:夾緊構件 456:夾緊構件 591:線 592:線 1001:群集工具 1002:前開式晶圓傳送盒(FOUP) 1004:機械臂 1006:低壓保持區域 1008a:處理腔室 1008b:處理腔室 1008c:處理腔室 1008d:處理腔室 1008e:處理腔室 1008f:處理腔室 1010:第二機械臂
為了可詳細地理解本揭示案的上述特徵的方式,可藉由參考實施例來獲得以上簡要概述的本揭示案的更詳細的描述,在附隨的圖式中圖示了一些實施例。然而,應當注意,附隨的圖式僅顯示了本揭示案的典型實施例,且因此不應被認為是對其範圍的限制,因為本揭示案可允許其他等效的實施例。
第1圖是圖示根據一個實施例的形成可流動膜的方法的流程圖。
第2圖是根據一個實施例的群集工具的示意圖。
第3A圖是根據一個實施例的沉積腔室的示意圖。
第3B圖是根據一個實施例的噴頭的示意性底視圖。
第4圖是根據一個實施例的電漿腔室的示意圖。
第5A圖和第5B圖圖示了根據一個實施例的含氦電漿的發光光譜(OES)強度。
第6圖圖示了根據一個實施例的氮化矽(SiN)基的介電膜的蝕刻量。
為了清楚起見,在適用的情況下,使用了相同的元件符號表示在圖式之間共用的相同元件。另外,一個實施例的元件可有利地適用於於此描述的其他實施例中利用。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
100:方法
102:方塊
104:方塊
106:方塊
108:方塊
110:方塊

Claims (20)

  1. 一種對在一基板的一表面上形成的一氮化矽(SiN)基的介電膜進行後處理的方法,包含以下步驟: 將具有形成在其上的一氮化矽(SiN)基的介電膜的一基板放置在一處理腔室中;及 使該氮化矽(SiN)基的介電膜在該處理腔室中曝露於含氦高能量低劑量的電漿,其中 該含氦高能量低劑量電漿中的多個氦離子的能量在1 eV與3.01 eV之間,且 該含氦高能量低劑量電漿中的該等氦離子的通量密度在5×1015 個離子/cm2 ·sec和1.37×1016 個離子/cm2 ·sec之間。
  2. 如請求項1所述之方法,其中該氮化矽(SiN)基的介電膜包含S-H鍵。
  3. 如請求項1所述之方法,其中該氮化矽(SiN)基的介電膜包含N-H鍵。
  4. 如請求項1所述之方法,其中在該氮化矽(SiN)基的介電膜曝露於該含氦高能量低劑量電漿期間,該基板處於在10℃與200℃之間的一溫度下。
  5. 如請求項1所述之方法,其中在該氮化矽(SiN)基的介電膜曝露於該高密度電漿期間,該基板處於在15 mTorr與300 mTorr之間的一壓力下。
  6. 如請求項1所述之方法,其中該基板由選自金屬、半導體和塑料所組成的群組的材料所製成。
  7. 一種對在一基板的一表面上形成的一矽基膜進行後處理的方法,包含以下步驟: 將具有形成在其上的一矽基膜的一基板放置在一處理腔室中;及 使該矽基膜在該處理腔室中曝露於含氦高能量低劑量的電漿,其中 該含氦高能量低劑量電漿中的多個氦離子的能量在1 eV與3.01 eV之間,且 該含氦高能量低劑量電漿中的該等氦離子的通量密度在5×1015 個離子/cm2 ·sec與1.37×1016 個離子/cm2 ·sec之間。
  8. 如請求項7所述之方法,其中該矽基膜包含氮化矽(SiN)。
  9. 如請求項7所述之方法,其中該矽基膜包含S-H鍵。
  10. 如請求項7所述之方法,其中該矽基膜包含N-H鍵。
  11. 如請求項7所述之方法,其中在該矽基膜曝露於該含氦高能量低劑量電漿期間,該基板處於在10℃和200℃之間的一溫度下。
  12. 如請求項7所述之方法,其中在該矽基膜曝露於該高密度電漿期間,該基板處於在15mTorr和300mTorr之間的一壓力下。
  13. 如請求項1所述之方法,其中該基板由選自金屬、半導體和塑料所組成的群組的材料所製成。
  14. 一種在一基板的一表面上形成和後處理一氮化矽(SiN)基的介電膜的方法,該方法包含以下步驟: 將一介電前驅物傳送到設置在一第一腔室的一處理區域中的一基板上,該介電前驅物包含矽和氮; 在該第一腔室的該處理區域中提供自由基通量;及 在一第二腔室中將輸送的該介電前驅物曝露於含氦的高能量低劑量電漿,其中 該含氦高能量低劑量電漿中的多個氦離子的能量在1 eV與3.01 eV之間,且 該含氦高能量低劑量電漿中的該等氦離子的通量密度在5×1015 個離子/cm2·sec與1.37×1016 個離子/cm2 ·sec之間。
  15. 如請求項14所述之方法,其中在該氮化矽(SiN)基的介電膜曝露於該含氦高能量低劑量電漿期間,該基板處於在10℃與200℃之間的一溫度下。
  16. 如請求項14所述之方法,其中在該氮化矽(SiN)基的介電膜曝露於該高密度電漿期間,該基板處於在15 mTorr與300 mTorr之間的一壓力下。
  17. 如請求項14所述之方法,其中該基板由選自金屬、半導體和塑料所組成的群組的材料所製成。
  18. 如請求項14所述之方法,其中該介電前驅物是包括矽、氮、氫和氯的一有機矽化合物。
  19. 如請求項14所述之方法,其中該介電前驅物是包括矽、氮、氫和氧的一有機矽化合物。
  20. 如請求項14所述之方法,其中該自由基通量包含選自由氧氣(O2 )、臭氧(O3 )、水(H2 O)、氨(NH3 )、肼(N2 H4 )、二氧化氮(NO2 )、氮氣(N2 )、丙烯(C3 H6 )和乙炔(C2 H2 )所組成的群組中的自由基氣體。
TW109118885A 2019-06-06 2020-06-05 以高能量低劑量電漿後處理氮化矽基的介電膜之方法 TW202113128A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962858158P 2019-06-06 2019-06-06
US62/858,158 2019-06-06

Publications (1)

Publication Number Publication Date
TW202113128A true TW202113128A (zh) 2021-04-01

Family

ID=73651582

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109118885A TW202113128A (zh) 2019-06-06 2020-06-05 以高能量低劑量電漿後處理氮化矽基的介電膜之方法

Country Status (7)

Country Link
US (1) US20200388483A1 (zh)
JP (1) JP2022534801A (zh)
KR (1) KR20220025758A (zh)
CN (1) CN114127898A (zh)
SG (1) SG11202112611PA (zh)
TW (1) TW202113128A (zh)
WO (1) WO2020247531A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210032747A1 (en) * 2019-07-29 2021-02-04 Applied Materials, Inc. Semiconductor processing chambers and methods for cleaning the same

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114686972A (zh) * 2022-02-21 2022-07-01 上海华力集成电路制造有限公司 一种改善外延层异常生长的方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100560654B1 (ko) * 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US7732342B2 (en) * 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
US8084356B2 (en) * 2007-09-29 2011-12-27 Lam Research Corporation Methods of low-K dielectric and metal process integration
JP2012084707A (ja) * 2010-10-13 2012-04-26 Mitsubishi Heavy Ind Ltd 窒化珪素膜形成装置及び方法
US9214333B1 (en) * 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210032747A1 (en) * 2019-07-29 2021-02-04 Applied Materials, Inc. Semiconductor processing chambers and methods for cleaning the same
US11952660B2 (en) * 2019-07-29 2024-04-09 Applied Materials, Inc. Semiconductor processing chambers and methods for cleaning the same

Also Published As

Publication number Publication date
US20200388483A1 (en) 2020-12-10
SG11202112611PA (en) 2021-12-30
CN114127898A (zh) 2022-03-01
JP2022534801A (ja) 2022-08-03
KR20220025758A (ko) 2022-03-03
WO2020247531A1 (en) 2020-12-10

Similar Documents

Publication Publication Date Title
US11152248B2 (en) Cyclic flowable deposition and high-density plasma treatment processes for high quality gap fill solutions
US9390914B2 (en) Wet oxidation process performed on a dielectric material formed from a flowable CVD process
KR101327923B1 (ko) 보론 니트라이드 및 보론 니트라이드-유도된 물질 증착 방법
US8586487B2 (en) Low temperature plasma enhanced chemical vapor deposition of conformal silicon carbon nitride and silicon nitride films
US20140273530A1 (en) Post-Deposition Treatment Methods For Silicon Nitride
KR20110008209A (ko) 붕소 질화물 및 붕소-질화물 유도 물질들 증착 방법
TW200915427A (en) Boron derived materials deposition method
KR101836417B1 (ko) 저온 경화 모듈러스 강화
TW202113128A (zh) 以高能量低劑量電漿後處理氮化矽基的介電膜之方法
US11469100B2 (en) Methods of post treating dielectric films with microwave radiation
TWI839527B (zh) 微波輻射後處理介電膜的方法