CN101690420A - 氮化硼和氮化硼导出材料的沉积方法 - Google Patents

氮化硼和氮化硼导出材料的沉积方法 Download PDF

Info

Publication number
CN101690420A
CN101690420A CN200880016970A CN200880016970A CN101690420A CN 101690420 A CN101690420 A CN 101690420A CN 200880016970 A CN200880016970 A CN 200880016970A CN 200880016970 A CN200880016970 A CN 200880016970A CN 101690420 A CN101690420 A CN 101690420A
Authority
CN
China
Prior art keywords
boron
film
membrane
contain
precursor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN200880016970A
Other languages
English (en)
Other versions
CN101690420B (zh
Inventor
J-u·许
M·巴尔塞努
夏立群
V·T·恩古耶
D·R·威蒂
H·M'塞德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101690420A publication Critical patent/CN101690420A/zh
Application granted granted Critical
Publication of CN101690420B publication Critical patent/CN101690420B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/342Boron nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Abstract

本发明是提供形成含硼薄膜的方法,该方法包括:将含硼前体及含氮前体或含氧前体导入一腔室中;以及在腔室中的一基板上形成氮化硼或氧化硼薄膜。在一实施态样中,该方法包括沉积含硼薄膜,并接着将该含硼薄膜暴露于含氮或含氧前体,以使氮或氧并入薄膜中。含硼薄膜的沉积及将该薄膜暴露于前体的步骤可进行多个循环,以获得具有期望厚度的薄膜。在另一实施态样中,该方法包括使含硼前体与含氮或含氧前体反应,以化学气相沉积氮化硼或氧化硼薄膜。

Description

氮化硼和氮化硼导出材料的沉积方法
技术领域
本发明的实施例一般涉及一种在基板(例如半导体基板)上形成薄膜的方法,特别是一种在基板上形成氮化硼薄膜的方法。
背景技术
自从集成电路组件在数十年前引入之后,集成电路的几何外形在尺寸上是大幅缩减,基于此,集成电路通常遵循二年尺寸减半原则(twoyear/haif-size rule)(通常称的为摩尔定律;Moore’s Law),也就是在芯片上的组件数目每两年会加倍成长。今日的制造设备是常规地生产出具有0.13μm及甚至是0.1μm的特征结构尺寸的组件,而今后的设备将会生产出具有更小的特征结构尺寸的组件。
组件几何外形的持续缩减是产生对于具有较低介电常数(k)值的介电薄膜的需求,此乃因为必须减少相邻金属接线之间的电容耦合,以进一步降低集成电路上组件的尺寸。
组件几何外形的持续缩减以及半导体基板上组件间隔的逐渐增密亦对于组件效能的提升带来挑战。举例来说,金氧半场效晶体管(MOSFET)组件的效能可通过数种方法来增进的(例如:降低组件的栅极介电层厚度),小型组件所需的非常薄介电层会允许来自栅极电极的掺质(dopant)穿过栅极介电层而进入下方硅基板。非常薄的栅极介电层亦会增加栅极漏电流(gate leakage),因而增加栅极所消耗的功率量,并最终损坏晶体管。
最近发展出使组件中材料的原子晶格发生应变而增进组件效能的其它方法。通过增加半导体材料中的载子迁移率可使原子晶格产生应变并增进组件效能。而组件的一层的原子晶格的应变是通过将应力层沉积在该层上而得。举例来说,位于栅极电极上方而作为蚀刻终止层的应力氮化硅层可经沉积以在晶体管的信道区域中诱导出应变。应力氮化硅层可具有压缩应力或拉伸应力。
当已发展出具有相当高应力层级的等离子辅助化学气相沉积(PECVD)氮化硅(SiN)层之时,仍需要一种用于形成相较于氮化硅层(其介电常数通常为约7)而具有较高压缩或拉伸应力及较低介电常数的介电层的方法。
发明内容
本发明的实施例一般提供形成含硼薄膜(例如氮化硼薄膜及氧化硼薄膜)的方法。在一实施例中,一种形成氮化硼薄膜或氧化硼薄膜的方法包括:将一含硼前体导入一腔室中;以及由该含硼前体而在腔室中的一基板上沉积一含硼薄膜。含硼薄膜是经处理以增加薄膜中的氮或氧含量,并形成氮化硼薄膜或氧化硼薄膜。处理含硼薄膜包括将含硼薄膜暴露于含氮前体或含氧前体。处理含硼薄膜亦包括等离子工艺、紫外线(UV)硬化工艺、热退火工艺或其组合。重复上述的导入、沉积及处理步骤,直到获得具有一期望厚度的氮化硼薄膜或该氧化硼薄膜。
在另一实施例中,一种形成氮化硼薄膜或氧化硼薄膜的方法包括:将一含硼前体及一含氮前体或是一含氧前体导入一腔室中。通过使含硼前体与含氮前体或含氧前体产生反应,以化学气相沉积一氮化硼薄膜或氧化硼薄膜于腔室中的基板上。
附图说明
为让本发明的上述特征更明显易懂,可配合参考实施例说明,其部分乃绘示如附图式。须注意的是,虽然所附图式揭露本发明特定实施例,但其并非用以限定本发明的精神与范围,任何熟习此技艺者,当可作各种的更动与润饰而得等效实施例。
图1,绘示形成氮化硼或氧化硼薄膜的方法实施例的流程图。
图2,绘示FTIR,其显示根据本发明的实施例而在含硼薄膜以N2处理时,不同的N2流速对于所获得的氮化硼层的组成的效应。
图3,绘示FTIR,其显示根据本发明的实施例而在含硼薄膜沉积时,不同的基板支撑件温度对于接续形成的氮化硼层的组成的效应。
具体实施方式
本发明的实施例是提供沉积氮化硼、氧化硼及碳化硼薄膜的方法。氮化硼、氧化硼及碳化硼薄膜可以为未掺杂的氮化硼(BN)、未掺杂的氧化硼(B2O3)以及未掺杂的碳化硼(BC)薄膜,或是掺杂的氮化硼、氧化硼或是碳化硼薄膜,例如氮化硼硅(BSiN)、氧化硼硅(BSixOy)、氮化硼碳(BCN)、氮化磷硼(PBN)、氮化硅硼(SiBN)以及氮化硼碳硅(BCSiN)薄膜。
氮化硼、氧化硼及碳化硼薄膜可用于前端应用(front end application),例如间隔层及应变诱导层,而应变诱导层也就是应力氮化物层,其是沉积以控制下方薄膜的应力。氮化硼薄膜的介电常数可以为介于1.1~10之间。举例来说,具有介电常数为1.1~6.0之间的氮化硼薄膜可以通过包括将薄膜前体同时导入腔室中的沉积方法来进行沉积。氮化硼薄膜可以为具有应力为10GPa压缩应力~10GPa拉伸应力之间的应力氮化物层,例如大于约2.0GPa的拉伸应力或是小于约3.5GPa的压缩应力。氮化硼薄膜具有高阶梯覆盖率(step coverage)以及低图案负载效应(pattern loadingeffect)。如本文所述,相较于具有低阶梯覆盖率的薄膜,具有高阶梯覆盖率的薄膜具有在不同特征结构(即,侧壁、顶部及底部)表面之间的较低薄膜厚度差异比例。图案负载效应(PLE)是界定为在具有较少特征结构的基板区域(分离区域)中的特征结构的部位(例如底部、顶部或侧壁)的薄膜厚度,以及在具有高密度特征结构的基板区域(稠密区域)中的特征结构的相应部位上的薄膜厚度,两者之间的薄膜厚度差异的比例,因此,较低的图案负载效应比例会反映出跨越基板的较高薄膜厚度均一性。
含硼薄膜亦可用作为硼源层,以使下方层掺杂硼。举例来说,氮化硼薄膜可以沉积在硅层上,并接着进行退火以将硼导入硅层,而在硅层中形成浅接面(shallow junction)。在期望量的硼导入硅层之后,则可移除氮化硼薄膜。
其它的实施例是提供一方法,该方法包括在含硼薄膜沉积在基板上之前或之后,将氮化硼或氧化硼衬垫沉积在基板上。含硼薄膜可以为高应力且富含硼的薄膜。在富含硼薄膜的上方及/或下方沉积氮化硼或氧化硼衬垫可以预防硼由富含硼薄膜往外扩散,并降低通过富含硼薄膜的漏电流。若富含硼的薄膜是作为硼的扩散来源,则将衬垫沉积在不欲产生硼扩散的薄膜侧。举例来说,衬垫是沉积在富含硼的薄膜的下方,而此富含硼的薄膜是用于对设置在其上方的SiO2薄膜进行掺杂。在另一实施例中,衬垫是沉积在富含硼的薄膜的上方,而此富含硼的薄膜是用作为设置于其下方的基板(即,硅)的掺质源。
与富含硼薄膜接触的氮化硼或氧化硼衬垫可以为根据本发明的实施例所提供的任一氮化硼或氧化硼薄膜。氮化硼衬垫亦可根据此处所述的任何方法而沉积以形成氮化硼薄膜(例如通过等离子、热或UV辅助方法),例外的是,沉积氮化硼衬垫的条件是足以提供衬垫相较于富含硼薄膜而较低的硼含量。举例来说,衬垫中的硼原子百分比浓度可以为0~90%,而富含硼薄膜中的硼原子百分比浓度则为约5%~约99%。衬垫可包括氧、碳或硅。衬垫的厚度为约~约
Figure G2008800169703D00042
含硼薄膜亦可用作于硬质屏蔽(hard mask),而其在图案化之后会被牺牲或是残留下来。举例来说,含硼薄膜可以为氮化硼或是氧化硼薄膜,而其可以为硬质屏蔽而用于氧化物、氮化物、硅、多晶硅或金属层的蚀刻。
含硼薄膜亦可用于后端应用(back end application),例如铜阻障层或是在铜阻障层之间的吸附层(adhesion layer),例如通过在其间形成CuBN、CuPBN或是CuBCSiN层。铜阻障层或吸附层的介电常数可介于1.1~4.0之间。铜阻障层可以用于传统的镶嵌结构或是包括因为沉积再接着移除牺牲材料而形成的气隙的结构。
沉积氮化硼薄膜的方法实施例是参照图1的流程图而描述的。如步骤102所示,将含硼前体导入腔室中。在步骤104中,含硼薄膜是由含硼前体形成而于腔室中沉积在基板上。接着,在步骤106,含硼薄膜是经处理以改变薄膜组成(例如:增加薄膜中的氮或氧含量)。处理含硼薄膜包括将含硼薄膜暴露于含氮或含氧前体。
回到步骤102,含硼前体所导入的腔室可以为任何化学气相沉积腔室或是等离子辅助化学气相沉积腔室。可使用的腔室实例为
Figure G2008800169703D00051
SE以及
Figure G2008800169703D00052
GT PECVD腔室,上述二腔室皆购自加州圣克拉拉的应用材料公司(Applied Materials,Inc.)。此处所述的处理条件为针对具有二分离处理区域(各处理区域具有一基板)的300mmSE腔室。因此,各基板处理区域及各基板所经历的流速为进入腔室中流速的一半。
具有含硼薄膜沉积于其上的基板可以为硅、含硅或玻璃基板。基板可以为裸(bare)基板或是具有一或多层材料沉积于其上及/或具有形成于其中的特征结构(feature)。
含硼前体可以为二硼烷(B2H6)、硼氮苯(brazine;B3N3H6)或是硼氮笨的烷基取代衍生物。含硼前体导入腔室中的流速可以介于约5sccm~约50slm,例如约10sccm~约1slm。一般来说,含硼前体是利用氮气(N2)、氢气(H2)、氩气(Ar)或其组合来作为稀释气体以导入腔室中。稀释气体导入腔室中的流速可介于约5sccm~约50slm,例如介于约1slm~约10slm。
在图1的步骤106中所形成的氮化硼薄膜为掺杂氮化硼薄膜的实施例中,在含硼薄膜的沉积过程中,一种选自由含硅化合物、含碳化合物、含磷化合物及其组合的化合物亦可导入腔室中。可选择地,该化合物可以在含硼薄膜沉积之前或之后而导入腔室中。可使用的含氮化合物的实例包括氨(NH3)、联胺(N2H4)。含氧化合物的实例包括氧气(O2)、一氧化氮(NO)、氧化亚氮(N2O)、二氧化碳(CO2)及水(H2O)。可使用的含硅化合物的实例包括硅烷、三硅烷胺(TSA)、三甲基硅烷(TMS)及硅氮烷(silazane),例如六甲基环三硅氮烷(HMCTZ)。可使用的含碳化合物的实例包括具有化学通式为CxHy的碳氢化合物,例如:烷、烯及炔。可使用的含磷化合物的实例为膦(PH3)。
在腔室中存在或不存在有等离子的情形下,含硼薄膜可以由含硼前体形成而沉积在腔室中的基板上。
针对在腔室中缺乏等离子的情况下的含硼薄膜的沉积,在沉积过程中,腔室中基板支撑件的温度是设定在约100℃~约1000℃,例如介于约300℃~约500℃,而腔室中的压力是介于约10毫托(mTorr)~约760托,例如介于约2托~约10托。含硼化合物、含氮化合物、含碳化合物、含氧化合物及含硅化合物的组合可在相同的时间点导入腔室中,其流速为约5sccm~约50slm,例如约10sccm~约1slm。
针对在腔室中存在有等离子的情况下的含硼薄膜的沉积,在沉积过程中,腔室中基板支撑件的温度是设定在约100℃~约1000℃,例如介于约300℃~约500℃,而腔室中的压力是介于约10毫托~约760托,例如介于约2托~约10托。等离子是由输送至喷气头电极及/或腔室的基板支撑件电极的RF功率提供。所提供的RF功率是在单一低频率为约100kHz~约1MHz(例如约300kHz~约400kHz)下,且在功率层级为约2W~约5000W(例如介于约30W~约1000W)下提供;或是在单一高频率为高于约1MHz(例如约1MHz~约60MHz;如13.6MHz)下,且在功率层级为约2W~约5000W(例如介于约30W~约1000W)下提供。可选择地,RF功率可以在混合频率下提供,包括在功率层级为约2W~约5000W(例如介于约30W~约1000W)下,而频率为约100kHz~约1MHz(例如约300kHz~约400kHz)的第一频率,以及在功率层级为约2W~约5000W(例如介于约30W~约1000W)下,而频率为大于约1MHz(例如约1MHz~约60MHz;如13.6MHz)的第二频率。
回到步骤106,在含硼薄膜沉积之后,含硼薄膜是经处理以改变其组成,其是通过将氮或氧并入薄膜中,以形成氮化硼或氧化硼薄膜。氮化硼或氧化硼薄膜的厚度为约~约
Figure G2008800169703D00062
该处理是选自下列群组,包括等离子工艺、紫外线(UV)硬化工艺、热退火工艺及其组合,而该处理包括将含硼薄膜暴露于含氮前体,以将氮并入薄膜中而形成氮化硼薄膜。含氮前体可以例如为氮气(N2)、氨(NH3)或联胺(N2H4)。含氮前体可利用稀释气体来稀释,该稀释气体包括氩气、氦气、氢气或氙气。将含硼薄膜暴露于含氧前体是允许氧并入薄膜中并形成氧化硼薄膜。含氧前体可以为氧气(O2)、氧化亚氮(N2O)或二氧化碳(CO2)。
在该处理包括等离子工艺的实施例中,等离子工艺是在与含硼薄膜沉积所进行的相同或是不同腔室中进行。等离子是由输送至喷气头电极及/或腔室的基板支撑件电极的RF功率提供。所提供的RF功率是在单一低频率为约100kHz~约1M Hz(例如约300kHz~约400kHz)下,且在功率层级为约2W~约5000W(例如介于约30W~约1000W)下提供;或是在单一高频率为高于约1MHz(例如约1MHz~约60MHz;如13.6MHz)下,且在功率层级为约2W~约5000W(例如介于约30W~约1000W)下提供。可选择地,RF功率可以在混合频率下提供,包括在功率层级为约2W~约5000W(例如介于约30W~约1000W)下,而频率为约100kHz~约1MHz(例如约300kHz~约400kHz)的第一频率,以及在功率层级为约2W~约5000W(例如介于约30W~约1000W)下,而频率为大于约1MHz(例如约1MHz~约60MHz;如13.6MHz)的第二频率。含氮前体在处理过程中导入腔室中的流速为约5sccm~约50slm(例如介于约100sccm~约500sccm)。含氮前体流入腔室的时间为约1秒~约2小时,例如约1秒~约60秒。在处理过程中,腔室压力介于10毫托~约760托,腔室中基板支撑件的温度为约20℃~约1000℃。可使用较高的高频率RF功率、较高的N H3流速及较长的等离子工艺的处理时间,藉以降低所获得的薄膜的折射率并增加薄膜的介电特性。
在该处理包括UV硬化工艺的实施例中,UV硬化工艺可以在作为沉积腔室的相同腔室中进行,或是在属于整合式工具(包括进行含硼薄膜沉积的沉积腔室)中的一部分的腔室中进行。举例来说,UV硬化工艺可以在属于
Figure G2008800169703D00071
平台的一部分的NANOCURETM腔室中进行,该平台包括进行含硼薄膜沉积的PECVD腔室。
可使用的示范UV硬化工艺条件包括腔室压力介于约10毫托~约760托,以及基板支撑件温度介于约20℃~约1000℃。在处理过程中,含氮前体导入腔室中的流速为约5sccm~约50sccm。含氮前体流入腔室的时间为约1秒~约2小时,例如约1秒~约10分。任何UV源皆可提供UV照射,例如汞微波弧光灯、脉冲氙闪光灯或是高效率UV灯发射二极管数组。UV照射的波长例如为约170nm~约400nm。该处理可包括将含硼薄膜暴露于约1Watt/cm2~约1000Watts/cm2的UV照射,UV照射可提供介于约0.5eV~约10eV之间的光子能量(电子伏特;electronVolts),例如介于约1eV~约6eV。
一般来说,UV硬化工艺是将氢自薄膜中移除,氢为不期望存在的,因为其会扩散穿过薄膜而进入基板的半导体区域中,并使基板上所形成组件的可靠度降低。UV硬化工艺一般亦可使薄膜增密,并使薄膜的拉伸应力增加至大于约2.0GPa。
在该处理包括热工艺的实施例中,热工艺可以在进行含硼薄膜沉积的相同或不同腔室中进行。在处理过程中,含氮前体导入腔室的流速为约5sccm~约50slm,例如介于约10sccm~约1slm。含氮前体流入腔室的时间例如介于约1秒~约10小时,例如介于10秒~约20分。在处理过程中,腔室压力介于约10毫托~约760托,且腔室中基板支撑件的温度介于约20℃~约1000℃。
进一步的实施例包括以二或多个上述的处理(即,UV硬化工艺、等离子工艺及热工艺)来处理含硼薄膜。举例来说,含硼薄膜可以利用UV硬化工艺及等离子工艺来进行处理。
在需要高阶梯覆盖率及最小图案负载效应的应用中,例如当氮化硼薄膜沉积作为在栅极堆栈上方之间隔层时,则进行多个循环的步骤102、104及106。换句话说,在步骤106之后,含硼前体是导入腔室中,额外量的含硼薄膜沉积在基板上,含硼薄膜则以选自由等离子工艺、UV硬化工艺、热退火工艺及其组合所组成的群组中的工艺进行处理,其中,该处理包括将含硼薄膜暴露于含氮前体以将氮并入薄膜,或是暴露于含氧前体以将氧并入薄膜,进而分别形成氮化硼或氧化硼薄膜。步骤102、104及106可重复进行直到获得氮化硼的期望厚度。通过在各个循环中形成最后氮化硼或是氧化硼薄膜的薄型次层(sub-layer),例如约
Figure G2008800169703D00081
~约层,例如约~约
Figure G2008800169703D00084
例如约
Figure G2008800169703D00085
层,则相较于薄膜在一次循环中沉积至最后期望厚度来看,本发明可增进阶梯覆盖率及图案负载效应。举例来说,在下列条件下,可获得大于95%的阶梯覆盖率及小于5%的图案负载效应:利用400sccm二硼烷及2000sccm的氮,在腔室压力6托及间隔480密尔(mil)的条件下进行5秒/循环,以在
Figure G2008800169703D00086
/循环的沉积速率下沉积含硼薄膜;以及利用等离子工艺处理含硼薄膜,以将氮并入薄膜中并且形成氮化硼薄膜,其中等离子工艺包括使用1000sccm的氨及2000sccm的氮进行10秒/循环,并利用13.6MHz下的300W RF功率。氮化硼薄膜的介电常数为4.7,且在下的折射率为1.810,密度为2.4g/cm3。在1MV的漏电流为3e-09amps/cm2,且在2MV的漏电流为4e-08amps/cm2。崩溃电压(Vbd)为5MV/cm。
在进一步的实例中,作为应力氮化物层的氮化硼薄膜是在下列条件下沉积:利用25或50sccm流速的二硼烷,且在腔室压力6托、间隔480密尔以及基板支撑件温度400℃下进行10秒,藉以沉积含硼薄膜;并接着以等离子处理含硼薄膜而将氮并入薄膜中,并形成氮化硼薄膜,其中等离子工艺包括使用100sccm的氨及2000sccm的氮,进行10秒/循环,并利用13.6MHz下的100W RF功率。含硼薄膜的沉积及等离子处理是重复10个循环。使用25sccm二硼烷所沉积的薄膜具有的侧壁/顶部阶梯覆盖率为100%,以及底部/顶部阶梯覆盖率为98%。使用50sccm的二硼烷所沉积的薄膜具有的侧壁/顶部阶梯覆盖率为99%,以及底部/顶部阶梯覆盖率为100%。在900℃下进行30分钟的退火之后,薄膜亦显示出具有热稳定性。因此,本发明所提供的薄膜存在有期望的高密度及电学特性。
图2为FTIR,其显示在以N2处理含硼薄膜的过程中,不同N2流速对于所获得的氮化硼层的组成的效应。图2显示可通过调整在以N2处理含硼薄膜的过程中含氮前体的流速,而可调整氮化硼层的组成。亦发现,使用较低流速的二硼烷会提供具有较高氮含量及较低折射率的氮化硼薄膜。
图3为FTIR,其显示在含硼薄膜的沉积过程中,不同基板支撑件温度对于接续形成的氮化硼层的组成的效应。图3绘示亦可通过调整基板支撑件温度而调整氮化硼层的组成。
除了薄膜组成的外,氮化硼薄膜的其它特性,例如折射率(RI)及阶梯覆盖率,可通过在步骤102中将含硼前体导入时亦导入其它前体而修改的。比较在步骤102中使用B2H6、B2H6+NH3、B2H6+SiH4及B2H6+NH3+SiH4而沉积的薄膜。B2H6+SiH4薄膜具有较高的折射率。相较于单独使用B2H6,使用额外前体所沉积的薄膜具有较佳的均一性。B2H6+NH3+SiH4薄膜具有最佳阶梯覆盖率。举例来说,使用下列条件:400sccm的B2H6(于N2中为5%)、40sccm的SiH4、200sccm的NH3、4000sccm的N2进行15秒,接着再进行包括于13.6MHz的600W RF功率、100sccm的NH3及6000sccm的N2进行15秒的氮气等离子处理,由此,则可获得底部/顶部阶梯覆盖率为91%、侧壁/顶部阶梯覆盖率为91%、顶部PLE为0%、侧壁PLE为7%及底部PLE为5%。
在参照图1的实施例中,是将基板接续暴露于含硼前体及含氮前体,在另外的实施例中,基板是同时暴露于含硼前体及含氮前体(及/或含氧前体)。在此实施例中,含硼前体及含氮前体或含氧前体是导入腔室中,并接着反应以在腔室的基板上化学气相沉积氮化硼或氧化硼薄膜。可选择地,亦可将含硅化合物、含碳化合物、含磷化合物或其组合同时导入腔室中,以沉积掺杂的氮化硼薄膜。含硼前体、含氮或含氧前体以及选用的其它化合物的反应可以在腔室中存在或缺少等离子的情形下进行。
通过同时导入前体,则可达到较高的沉积速率。因此,在基板同时暴露于含硼前体及含氮前体的实施例,其是提供形成氮化硼薄膜的期望方法,以供不具有高阶梯覆盖率及图案负载需求的应用,例如硬质屏蔽层。
含硼前体及含氮前体可以为参照图1的实施例而描述于上的任何前体。相似的,腔室及基板可以分别为参照图1的实施例而描述于上的任何腔室及基板。
含硼前体可以利用氮气(N2)、氢气(H2)、氩气(Ar)或其组合作为稀释气体而导入腔室中。含硼前体导入腔室中的流速介于约5sccm~约50slm,例如约10sccm~约1slm。含氮前体导入腔室中的流速为约5sccm~约50slm,例如约10sccm~约1slm。稀释气体导入腔室中的流速为约5sccm~约50slm,例如约1slm~约10slm。
在含硼前体与含氮前体于等离子存在下进行反应的实施例中,于沉积过程中可使用介于10毫托~约760托的腔室压力,例如介于2托~约10托,以及介于约100℃~约1000℃的基板支撑件温度,例如介于约300℃~约500℃。腔室的喷气头与基板支撑件之间的间隔可介于约100密尔(mil)~约10000密尔。等离子可以由传送至喷气头电极及/或腔室的基板支撑件电极的RF功率提供。所提供的RF功率是在单一低频率为约100kHz~约1MHz(例如约300kHz~约400kHz)下,且在功率层级为约2W~约5000W(例如介于约30W~约1000W)下提供;或是在单一高频率为高于约1MHz(例如约1MHz~约60MHz;如13.6MHz)下,且在功率层级为约2W~约5000W(例如介于约30W~约1000W)下提供。可选择地,RF功率可以在混合频率下提供,包括在功率层级为约2W~约5000W(例如介于约30W~约1000W)下,而频率为约100kHz~约1MHz(例如约300kHz~约400kHz)的第一频率,以及在功率层级为约2W~约5000W(例如介于约30W~约1000W)下,而频率为大于约1MHz(例如约1MHz~约60MHz;如13.6MHz)的第二频率。
在含硼前体与含氮前体在等离子存在下反应的实施例,其可提供具有硬质屏蔽应用所期望的特性的氮化硼薄膜。举例来说,可获得热氧化物及热氮化物的湿式蚀刻速率比例(100∶1HF)分别为0.03及0.3。氩气可加入前体混合物中,以降低薄膜的介电常数及增加崩溃电压。这些薄膜亦具有后端应用(例如铜阻障层)所期望的特性。在示范实施例中,以氮气及氨所稀释的二硼烷是导入腔室中,并在RF功率所提供的等离子存在下进行反应,以在腔室中的基板上沉积氮化硼薄膜。二硼烷(于N2中为5%)是以约3000sccm的流速而导入腔室中,氨是以约150sccm的流速导入腔室中。所提供的RF功率在13.6MHz下为约300W。腔室压力为约6托,间隔为约480密尔。则可获得具有低湿式蚀刻速率、高沉积速率及所期望的低介电常数的氮化硼薄膜。
在含硼前体以及含氮前体、含氧、含碳及/或含硅前体于等离子不存在下进行反应的实施例中,则在沉积过程中可使用介于约10毫托~约760托的腔室压力,及介于约100℃~约1000℃的基板支撑件温度。腔室的喷气头及基板支撑件之间的间隔可介于约50密尔~约5000密尔。
在含硼前体及含氮前体是同时导入的进一步的实施例中,含硅前体亦可与含硼前体和含氮前体一同导入腔室中,以形成SiBN薄膜而用于间隔物(spacer)应用。SiBN薄膜的介电常数小于5.5、崩溃电压大于6MV/cm,以及漏电流在2MV下为小于1e-9amps/cm2。SiBN薄膜的示范性处理条件包括:60sccm的SiH4、600sccm的NH3、1000sccm的N2、100~1000sccm的B2H6、于13.6MHz下的100W RF功率、6托的腔室压力以及间隔为480密尔。可选择地,SiBN薄膜可以在400℃下利用UV硬化10分。
惟本发明虽以较佳实施例说明如上,然其并非用以限定本发明,任何熟习此技术人员,在不脱离本发明的精神和范围内所作的更动与润饰,仍应属本发明的技术范畴,而本发明的范畴由权利要求所界定。

Claims (15)

1.一种形成氮化硼薄膜或氧化硼薄膜的方法,包括:
将一含硼前体导入一腔室中;
由该含硼前体而在该腔室中的一基板上沉积一含硼薄膜;
处理该含硼薄膜以增加该薄膜中的氮或氧含量,并形成氮化硼薄膜或氧化硼薄膜;以及
重复上述的导入、沉积及处理步骤,直到获得具有一期望厚度的该氮化硼薄膜或该氧化硼薄膜。
2.如权利要求1所述的方法,其中该含硼薄膜为硼掺杂(boron-doped)氧化物薄膜或是硼掺杂氮化物薄膜。
3.如权利要求2所述的方法,其中该含硼薄膜为硼掺杂氮化物薄膜,其应力是介于10GPa压缩应力~10GPa拉伸应力之间。
4.如权利要求2所述的方法,更包括使用该含硼薄膜以使一下方层或一上方层掺杂硼。
5.如权利要求2所述的方法,其中该含硼薄膜是用作为位于一富含硼(boron-rich)薄膜下方或上方的一硼扩散阻障,且该含硼薄膜的硼原子百分比浓度是小于该富含硼薄膜的硼原子百分比浓度。
6.如权利要求2所述的方法,更包括在该氧化硼薄膜或该氮化硼薄膜上沉积铜。
7.如权利要求2所述的方法,其中该含硼薄膜为铜与一铜阻障层之间的一吸附层。
8.如权利要求2所述的方法,更包括在上述的该含硼薄膜的沉积过程中,将一含氮化合物、一含氧化合物、一含硅化合物、一含碳化合物、一含磷化合物或其组合导入该腔室中。
9.如权利要求8所述的方法,其中该含硅化合物是选自由硅烷、三硅烷胺(TSA)、三甲基硅烷(TMS)及硅氮烷(silazane)所组成的群组。
10.如权利要求8所述的方法,其中该含氧化合物是选自由氧气(O2)、一氧化氮(NO)、氧化亚氮(N2O)、二氧化碳(CO2)及水(H2O)所组成的群组。
11.如权利要求8所述的方法,其中在该含硼薄膜的沉积过程中,将膦(phosphine)导入该腔室中。
12.如权利要求1所述的方法,其中该含硼前体是选自由二硼烷(diborane)、硼氮苯(brazine)或是硼氮苯的烷基取代衍生物所组成的群组。
13.如权利要求1所述的方法,其中该含氮前体是选自由氨、氮气及联胺所组成的群组。
14.如权利要求1所述的方法,其中该处理含硼薄膜的步骤包括将该含硼薄膜暴露于一等离子工艺、一紫外线(UV)硬化工艺、一热退火工艺或是上述工艺的组合。
15.如权利要求1所述的方法,其中该处理含硼薄膜的步骤包括将该含硼薄膜暴露于一含氮或含氧前体。
CN2008800169703A 2007-05-23 2008-05-13 氮化硼和氮化硼导出材料的沉积方法 Expired - Fee Related CN101690420B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US93980207P 2007-05-23 2007-05-23
US60/939,802 2007-05-23
US11/765,257 2007-06-19
US11/765,257 US8084105B2 (en) 2007-05-23 2007-06-19 Method of depositing boron nitride and boron nitride-derived materials
PCT/US2008/063552 WO2008147689A1 (en) 2007-05-23 2008-05-13 Boron nitride and boron nitride-derived materials deposition method

Publications (2)

Publication Number Publication Date
CN101690420A true CN101690420A (zh) 2010-03-31
CN101690420B CN101690420B (zh) 2013-02-27

Family

ID=40072658

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008800169703A Expired - Fee Related CN101690420B (zh) 2007-05-23 2008-05-13 氮化硼和氮化硼导出材料的沉积方法

Country Status (5)

Country Link
US (1) US8084105B2 (zh)
KR (1) KR101327923B1 (zh)
CN (1) CN101690420B (zh)
TW (1) TWI398925B (zh)
WO (1) WO2008147689A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102906859A (zh) * 2010-05-24 2013-01-30 应用材料公司 对用于光刻掩模应用的富含硼的膜的设计
CN103489778A (zh) * 2012-06-11 2014-01-01 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN105483646A (zh) * 2016-01-20 2016-04-13 杭州电子科技大学 一种紫外吸收薄膜的制备方法
CN105648419A (zh) * 2016-01-20 2016-06-08 杭州电子科技大学 一种降低六方氮化硼二维薄膜厚度的方法

Families Citing this family (193)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102007010563A1 (de) * 2007-02-22 2008-08-28 IHP GmbH - Innovations for High Performance Microelectronics/Institut für innovative Mikroelektronik Selektives Wachstum von polykristallinem siliziumhaltigen Halbleitermaterial auf siliziumhaltiger Halbleiteroberfläche
US20090093100A1 (en) * 2007-10-09 2009-04-09 Li-Qun Xia Method for forming an air gap in multilevel interconnect structure
US7910994B2 (en) * 2007-10-15 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for source/drain contact processing
US8148269B2 (en) * 2008-04-04 2012-04-03 Applied Materials, Inc. Boron nitride and boron-nitride derived materials deposition method
US7906817B1 (en) 2008-06-06 2011-03-15 Novellus Systems, Inc. High compressive stress carbon liners for MOS devices
US7998881B1 (en) 2008-06-06 2011-08-16 Novellus Systems, Inc. Method for making high stress boron-doped carbon films
WO2010039699A2 (en) 2008-09-30 2010-04-08 Rolls-Royce Corporation Coating including a rare earth silicate-based layer including a second phase
US8563090B2 (en) * 2008-10-16 2013-10-22 Applied Materials, Inc. Boron film interface engineering
US7910491B2 (en) * 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US20100267191A1 (en) * 2009-04-20 2010-10-21 Applied Materials, Inc. Plasma enhanced thermal evaporator
US8288292B2 (en) 2010-03-30 2012-10-16 Novellus Systems, Inc. Depositing conformal boron nitride film by CVD without plasma
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
EP2688858A1 (en) * 2011-03-23 2014-01-29 Rolls-Royce Corporation Bond layers for ceramic or ceramic matrix composite substrates
US8647989B2 (en) 2011-04-15 2014-02-11 United Microelectronics Corp. Method of forming opening on semiconductor substrate
US8399359B2 (en) 2011-06-01 2013-03-19 United Microelectronics Corp. Manufacturing method for dual damascene structure
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
JP5758829B2 (ja) * 2012-03-27 2015-08-05 東京エレクトロン株式会社 ボロン含有シリコン酸炭窒化膜の形成方法およびシリコン酸炭窒化膜の形成方法
US8735295B2 (en) 2012-06-19 2014-05-27 United Microelectronics Corp. Method of manufacturing dual damascene structure
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US8647991B1 (en) 2012-07-30 2014-02-11 United Microelectronics Corp. Method for forming dual damascene opening
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US8921226B2 (en) 2013-01-14 2014-12-30 United Microelectronics Corp. Method of forming semiconductor structure having contact plug
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
JP6159143B2 (ja) * 2013-05-10 2017-07-05 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
KR20150026364A (ko) * 2013-09-02 2015-03-11 엘지전자 주식회사 질화 붕소계 형광체, 그 제조 방법 및 이를 이용한 발광 소자 패키지
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8962490B1 (en) 2013-10-08 2015-02-24 United Microelectronics Corp. Method for fabricating semiconductor device
US9362109B2 (en) 2013-10-16 2016-06-07 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
JP6254848B2 (ja) * 2014-01-10 2017-12-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US20160014878A1 (en) 2014-04-25 2016-01-14 Rogers Corporation Thermal management circuit materials, method of manufacture thereof, and articles formed therefrom
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9613819B2 (en) * 2014-06-06 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Process chamber, method of preparing a process chamber, and method of operating a process chamber
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10329205B2 (en) 2014-11-24 2019-06-25 Rolls-Royce Corporation Bond layer for silicon-containing substrates
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10128150B2 (en) 2015-04-03 2018-11-13 Applied Materials, Inc. Process of filling the high aspect ratio trenches by co-flowing ligands during thermal CVD
KR102634196B1 (ko) * 2015-06-05 2024-02-06 어플라이드 머티어리얼스, 인코포레이티드 붕소-도핑된 탄소 막들을 위한 정전 척킹 및 우수한 입자 성능을 가능하게 하기 위한 그레이딩된 인-시튜 전하 트랩핑 층들
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN117165927A (zh) * 2015-09-18 2023-12-05 应用材料公司 用于沉积共形bcn膜的方法
JP2017084894A (ja) * 2015-10-26 2017-05-18 東京エレクトロン株式会社 ボロン窒化膜の形成方法および半導体装置の製造方法
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10312137B2 (en) * 2016-06-07 2019-06-04 Applied Materials, Inc. Hardmask layer for 3D NAND staircase structure in semiconductor applications
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US11011371B2 (en) * 2016-12-22 2021-05-18 Applied Materials, Inc. SiBN film for conformal hermetic dielectric encapsulation without direct RF exposure to underlying structure material
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
CN110921637B (zh) * 2018-09-20 2022-09-23 中国科学院上海微系统与信息技术研究所 多层六方氮化硼薄膜的制备方法
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11018139B2 (en) * 2019-08-13 2021-05-25 Micron Technology, Inc. Integrated transistors and methods of forming integrated transistors
WO2023201271A1 (en) * 2022-04-14 2023-10-19 Versum Materials Us, Llc Boron-containing precursors for the ald deposition of boron nitride films

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3451840A (en) * 1965-10-06 1969-06-24 Us Air Force Wire coated with boron nitride and boron
FR2525391B1 (fr) * 1982-04-16 1985-09-13 Thomson Csf Substrat pour circuit electronique fonctionnant dans la gamme des hyperfrequences, et procede de metallisation de ce substrat
US4656052A (en) 1984-02-13 1987-04-07 Kyocera Corporation Process for production of high-hardness boron nitride film
JPS6383273A (ja) * 1986-09-26 1988-04-13 Res Dev Corp Of Japan 窒化ホウ素膜の合成方法
EP0412301B1 (en) * 1989-07-11 1994-12-07 Redwood MicroSystems, Inc. Boron nitride membrane in wafer structure
US5270125A (en) * 1989-07-11 1993-12-14 Redwood Microsystems, Inc. Boron nutride membrane in wafer structure
JPH0758698B2 (ja) * 1990-08-22 1995-06-21 信越半導体株式会社 半導体ウエーハヘのボロン拡散方法
KR930011413B1 (ko) 1990-09-25 1993-12-06 가부시키가이샤 한도오따이 에네루기 겐큐쇼 펄스형 전자파를 사용한 플라즈마 cvd 법
US5897751A (en) 1991-03-11 1999-04-27 Regents Of The University Of California Method of fabricating boron containing coatings
US5306530A (en) * 1992-11-23 1994-04-26 Associated Universities, Inc. Method for producing high quality thin layer films on substrates
JP3236111B2 (ja) * 1993-03-31 2001-12-10 キヤノン株式会社 プラズマ処理装置及び処理方法
JP2664866B2 (ja) 1993-04-09 1997-10-22 インターナショナル・ビジネス・マシーンズ・コーポレイション 窒化ホウ素をエッチングする方法
US5483920A (en) 1993-08-05 1996-01-16 Board Of Governors Of Wayne State University Method of forming cubic boron nitride films
JP3099066B1 (ja) 1999-05-07 2000-10-16 東京工業大学長 薄膜構造体の製造方法
US20050208218A1 (en) * 1999-08-21 2005-09-22 Ibadex Llc. Method for depositing boron-rich coatings
US6165891A (en) * 1999-11-22 2000-12-26 Chartered Semiconductor Manufacturing Ltd. Damascene structure with reduced capacitance using a carbon nitride, boron nitride, or boron carbon nitride passivation layer, etch stop layer, and/or cap layer
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
JP5013353B2 (ja) 2001-03-28 2012-08-29 隆 杉野 成膜方法及び成膜装置
EP1425435A2 (en) * 2001-09-14 2004-06-09 Asm International N.V. Metal nitride deposition by ald using gettering reactant
US20030224217A1 (en) * 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
KR100487948B1 (ko) * 2003-03-06 2005-05-06 삼성전자주식회사 이중 다마신 기술을 사용하여 비아콘택 구조체를 형성하는방법
US6967405B1 (en) * 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
US8119210B2 (en) * 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20070205706A1 (en) * 2006-03-01 2007-09-06 General Electric Company Optical Substrate Comprising Boron Nitride Particles
JP4497323B2 (ja) * 2006-03-29 2010-07-07 三菱電機株式会社 プラズマcvd装置
TW200821632A (en) * 2006-08-23 2008-05-16 Mitsui Chemicals Inc Light reflector and light source having same
KR20100042644A (ko) * 2007-07-13 2010-04-26 어플라이드 머티어리얼스, 인코포레이티드 보론 유도 물질 증착 방법
US8148269B2 (en) * 2008-04-04 2012-04-03 Applied Materials, Inc. Boron nitride and boron-nitride derived materials deposition method
US8563090B2 (en) * 2008-10-16 2013-10-22 Applied Materials, Inc. Boron film interface engineering

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102906859A (zh) * 2010-05-24 2013-01-30 应用材料公司 对用于光刻掩模应用的富含硼的膜的设计
CN103489778A (zh) * 2012-06-11 2014-01-01 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN103489778B (zh) * 2012-06-11 2016-03-30 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN105483646A (zh) * 2016-01-20 2016-04-13 杭州电子科技大学 一种紫外吸收薄膜的制备方法
CN105648419A (zh) * 2016-01-20 2016-06-08 杭州电子科技大学 一种降低六方氮化硼二维薄膜厚度的方法
CN105648419B (zh) * 2016-01-20 2018-09-25 杭州电子科技大学 一种降低六方氮化硼二维薄膜厚度的方法
CN105483646B (zh) * 2016-01-20 2019-01-18 杭州电子科技大学 一种紫外吸收薄膜的制备方法

Also Published As

Publication number Publication date
KR20100038311A (ko) 2010-04-14
US8084105B2 (en) 2011-12-27
TWI398925B (zh) 2013-06-11
WO2008147689A1 (en) 2008-12-04
CN101690420B (zh) 2013-02-27
KR101327923B1 (ko) 2013-11-14
US20080292798A1 (en) 2008-11-27
TW200908151A (en) 2009-02-16

Similar Documents

Publication Publication Date Title
CN101690420B (zh) 氮化硼和氮化硼导出材料的沉积方法
US11680314B2 (en) Films of desired composition and film properties
CN101743631B (zh) 硼衍生的材料的沉积方法
US8563090B2 (en) Boron film interface engineering
TW202111148A (zh) 包括介電層之結構、其形成方法及執行形成方法的反應器系統
CN103975419B (zh) 等离子体活化保形电介质膜沉积
CN100437933C (zh) 改善层间附着的方法
CN108122739A (zh) 拓扑限制的等离子体增强循环沉积的方法
CN110313051A (zh) 使用远程等离子体处理使碳化硅膜致密化
JP2012506149A (ja) 低いエッチング速度の誘電体ライナを用いたギャップ充填の改善
TW201026879A (en) Methods for forming silicon nitride based film or silicon carbon based film
CN107833825A (zh) 掺杂氧的碳化硅膜的基于远程等离子体的沉积
TW200834681A (en) Safe handling of low energy, high dose arsenic, phosphorus, and boron implanted wafers
TW202204368A (zh) 矽前驅物化合物及形成含矽膜之方法
TWI830206B (zh) 矽前驅物化合物及形成含矽膜之方法
TWI817139B (zh) 氣相沉積前驅物化合物及使用方法
US20230395391A1 (en) Ruthenium carbide for dram capacitor mold patterning

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent for invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20130227

Termination date: 20140513