KR20190101893A - 고품질 에칭 저항성 갭필 유전체 막의 퇴적 및 리플로우를 위한 방법 - Google Patents

고품질 에칭 저항성 갭필 유전체 막의 퇴적 및 리플로우를 위한 방법 Download PDF

Info

Publication number
KR20190101893A
KR20190101893A KR1020190020360A KR20190020360A KR20190101893A KR 20190101893 A KR20190101893 A KR 20190101893A KR 1020190020360 A KR1020190020360 A KR 1020190020360A KR 20190020360 A KR20190020360 A KR 20190020360A KR 20190101893 A KR20190101893 A KR 20190101893A
Authority
KR
South Korea
Prior art keywords
substrate
dielectric material
glass
annealing
containing gas
Prior art date
Application number
KR1020190020360A
Other languages
English (en)
Inventor
스리니바스 디. 네마니
엘리 와이. 이에
첸차우 잉
Original Assignee
마이크로머티어리얼즈 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 마이크로머티어리얼즈 엘엘씨 filed Critical 마이크로머티어리얼즈 엘엘씨
Publication of KR20190101893A publication Critical patent/KR20190101893A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02148Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing hafnium, e.g. HfSiOx or HfSiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02159Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing zirconium, e.g. ZrSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02161Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing more than one metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

다색 패터닝 프로세스들에 이용될 수 있는 갭필 유전체 막을 퇴적하기 위한 방법들이 제공된다. 일 구현예에서, 기판을 처리하기 위한 방법이 제공된다. 방법은 기판의 하나 이상의 피쳐를 유전체 재료로 채우는 단계를 포함한다. 유전체 재료는 보로포스포실리케이트 글래스(BPSG), 포스포실리케이트 글래스(PSG), 및 보로실리케이트 글래스(BSG)로부터 선택된 도핑된 실리케이트 글래스이다. 방법은 유전체 재료 내의 이음매들을 치유하기 위해 산화제의 존재 하에서 고압 어닐링으로 기판을 트리트먼트하는 단계를 더 포함한다. 고압 어닐링은 처리 챔버 내의 기판 상에 산소 함유 기체 혼합물을 공급하는 단계, 처리 챔버 내의 산소 함유 기체 혼합물을 2 bar 초과의 프로세스 압력으로 유지하는 단계, 및 산소 함유 기체 혼합물의 존재 하에서 유전체 재료를 열적으로 어닐링하는 단계를 포함한다.

Description

고품질 에칭 저항성 갭필 유전체 막의 퇴적 및 리플로우를 위한 방법{METHOD FOR DEPOSITION AND REFLOW OF A HIGH QUALITY ETCH RESISTANT GAPFILL DIELECTRIC FILM}
본 명세서에 설명된 구현예들은 일반적으로 다색 패터닝 프로세스들(multi-colored patterning processes)과 같은 패터닝 프로세스들에 이용될 수 있는 갭필 유전체 막을 퇴적하기 위한 방법에 관한 것이다.
반도체 디바이스 처리는 전기 디바이스들에 존재하는 집적 회로들을 생성하기 위해 사용된다.
종래에, 집적 회로들의 제조에서, 193 나노미터(nm) 파장 레이저들 및 1.35의 개구 수(numerical apertures)를 사용하는 포토리소그래피 스캐너들은 40nm 내지 45nm의 기본 인쇄 한계에 도달했다. 그러나, 포토리소그래피에 의해서는 획득할 수 없는 더 작은 피쳐 크기들에 대한 요구들 및 디바이스 경향이 있다. 다색 패터닝 프로세스들은 기판 상에 라인들, 비아들, 트렌치들, 콘택트들, 디바이스들, 게이트들, 및 다른 피쳐들을 형성하기 위해 사용된다. 다색 패터닝 프로세스들은 종래의 포토리소그래피 프로세스들에 의해서는 획득할 수 없는 더 작은 피쳐들을 형성한다.
서브-나노 치수들(sub-nano dimensions)과 같은 더 작은 치수들을 갖는 피쳐들을 형성하기 위해, 기판들 상에 형성된 맨드렐들(mandrels) 상에 배치된 스페이서 층들 사이에 형성된 갭들을 채우기 위해 갭필 층들이 사용된다. 스페이서 층들 및/또는 맨드렐들은 작은 치수들을 갖는 포지티브 톤들(라인들) 또는 네거티브 톤들(트렌치들)을 형성하도록 제거된다. 그러므로, 갭필 층들은 스페이서 층들 및/또는 맨드렐들을 제거하는 에칭 프로세스에서 살아남아야 하고, 처리를 견디기 위해 열적으로 안정적이어야 한다.
따라서, 본 기술분야에서는, 갭필 층들을 형성하기 위한 개선된 방법들이 필요하다.
본 명세서에 설명된 구현예들은 일반적으로 다색 패터닝 프로세스들에 이용될 수 있는 갭필 유전체 막을 퇴적하기 위한 방법에 관한 것이다. 일 구현예에서, 기판을 처리하기 위한 방법이 제공된다. 방법은 기판의 하나 이상의 피쳐를 유전체 재료로 채우는 단계를 포함한다. 유전체 재료는 보로포스포실리케이트 글래스(borophosphosilicate glass)(BPSG), 포스포실리케이트 글래스(phosphosilicate glass)(PSG), 및 보로실리케이트 글래스(borosilicate glass)(BSG)로부터 선택된 도핑된 실리케이트 글래스(doped silicate glass)이다. 방법은 유전체 재료 내의 이음매들(seams)을 치유(heal)하기 위해 산화제의 존재 하에서 고압 어닐링으로 기판을 트리트먼트하는 단계를 더 포함한다. 고압 어닐링은 처리 챔버 내의 기판 상에 산소 함유 기체 혼합물을 공급하는 단계, 처리 챔버 내의 산소 함유 기체 혼합물을 2 bar 초과의 프로세스 압력으로 유지하는 단계, 및 산소 함유 기체 혼합물의 존재 하에서 유전체 재료를 열적으로 어닐링하는 단계를 포함한다.
다른 구현예에서, 기판을 처리하기 위한 방법이 제공된다. 방법은 기판 상에 배치된 유전체 층을 2 bar 초과의 압력에서 열적으로 트리트먼트하는 단계를 포함한다. 유전체 층은 보로포스포실리케이트 글래스(BPSG), 포스포실리케이트 글래스(PSG), 및 보로실리케이트 글래스(BSG)로부터 선택된 도핑된 실리케이트 글래스이다. 방법은 유전체 층을 열적으로 트리트먼트하는 동안 기판 온도를 섭씨 500도 미만으로 유지하는 단계를 더 포함한다.
또 다른 구현예에서, 기판을 처리하는 방법이 제공된다. 방법은 화학적 기상 증착 프로세스에 의해 기판 상에 유전체 층을 형성하는 단계를 포함한다. 유전체 층은 보로포스포실리케이트 글래스(BPSG), 포스포실리케이트 글래스(PSG), 및 보로실리케이트 글래스(BSG)로부터 선택된 도핑된 실리케이트 글래스이다. 방법은 기판 온도를 섭씨 500도 미만으로 유지하면서 2 bar 초과의 압력에서 기판 상의 유전체 층을 열적으로 트리트먼트하는 단계를 더 포함한다. 방법은 기판을 건식 어닐링 프로세스에 노출시키는 단계를 더 포함한다.
위에서 언급된 본 개시내용의 특징들이 상세하게 이해될 수 있도록, 위에서 간략하게 요약된 구현예들에 대한 보다 구체적인 설명은 그 일부가 첨부 도면들에 도시되어 있는 구현예들을 참조하여 이루어질 수 있다. 그러나, 본 개시내용은 동등한 효과의 다른 구현예들을 허용할 수 있으므로, 첨부 도면들은 본 개시내용의 전형적인 구현예들만을 도시하며, 따라서 그 범위를 제한하는 것으로 간주되어서는 안 된다는 점에 유의해야 한다.
도 1은 본 개시내용의 하나 이상의 구현예에 따른 기판을 처리하는 방법의 흐름도이다.
도 2a 내지 도 2c는 본 개시내용의 하나 이상의 구현예에 따른 반도체 디바이스의 패턴을 형성하기 위한 방법 동안의 반도체 디바이스의 패턴의 개략적인 단면도이다.
도 3은 본 명세서에 설명된 방법들을 실시하기 위해 사용될 수 있는 예시적인 처리 챔버의 개략적인 단면도이다.
이해를 돕기 위해, 가능한 경우, 도면들에 공통인 동일한 구성요소들을 나타내기 위해 동일한 참조 번호들이 사용되었다. 일 구현예의 구성요소들 및 특징들은 추가 언급 없이 다른 구현예들에 유리하게 포함될 수 있다는 것이 예상된다.
이하의 개시내용은 갭필 유전체 막들의 결함들을 큐어(cure)하기 위해 사용될 수 있는 고압 어닐링 프로세스들을 설명한다. 본 개시내용의 다양한 구현예들에 대한 철저한 이해를 제공하기 위해, 특정 세부사항들이 이하의 설명 및 도 1 내지 도 3에 제시된다. 다양한 구현예들에 대한 설명을 불필요하게 모호하게 하는 것을 피하기 위해 갭필 유전체 막들 및 고압 어닐링 프로세스들의 퇴적에 연관된 잘 알려진 구조물들 및 시스템들을 설명하는 다른 세부사항들은 이하의 개시내용에서 제시되지 않는다.
도면들에 도시된 세부사항들, 치수들, 각도들 및 다른 특징들 중 다수는 특정 구현예들의 예시일 뿐이다. 따라서, 다른 구현예들은 본 개시내용의 사상 또는 범위를 벗어나지 않고 다른 세부사항들, 컴포넌트들, 치수들, 각도들 및 특징들을 가질 수 있다. 추가로, 본 개시내용의 다른 구현예들은 이하에 설명되는 몇몇 세부 사항없이 실시될 수 있다.
이하에서, 본 명세서에 설명된 구현예들은 고압 어닐링 프로세스를 사용하여 수행될 수 있는 고압 어닐링 프로세스를 참조하여 설명될 것이다. 고압 어닐링 프로세스들을 수행할 수 있는 다른 도구들도 본 명세서에서 설명된 구현예들로부터 이익을 얻도록 적응될 수 있다. 추가로, 본 명세서에 설명된 고압 어닐링 프로세스들을 가능하게 하는 임의의 시스템이 유리하게 사용될 수 있다. 본 명세서에 설명된 장치 설명은 예시적인 것이고, 본 명세서에 설명된 구현예들의 범위를 제한하는 것으로 해석되거나 이해되어서는 안 된다.
다양한 재료들 사이에서 높은 에칭 선택도(예를 들어, >20:1)를 가짐으로써 다색 패터닝을 가능하게 하기 위한 양호한 갭필을 갖는 준 호환성 막들(semi-compatible films)에 대한 필요성이 존재한다. 도핑된 실리케이트 글래스 막들[예를 들어, 보로포스포실리케이트 글래스(BPSG), 포스포실리케이트 글래스(PSG), 및 보로실리케이트 글래스(BSG)]은 양호한 에칭 선택도를 갖지만, 리플로우가 섭씨 700도를 초과하는 온도에서 발생하기 때문에 저온에서는 갭필이 불량하다. 최신 프로세스들은 보로포스포실리케이트 글래스(BPSG), 포스포실리케이트 글래스(PSG), 및 보로실리케이트 글래스(BSG)를 리플로우시키기 위해 스팀(steam)을 포함하는 다양한 분위기에서 섭씨 600도 초과의 온도에서의 어닐링들을 사용한다. 우수한 에칭 선택도에도 불구하고, 열 예산들(thermal budgets)이 섭씨 500도 미만의 온도들로 감소함에 따라, BPSG, BSG 및 PSG와 같은 도핑된 실리케이트 글래스 막들은 선호되지 않는다. 이러한 도핑된 실리케이트 글래스 막들의 열 예산을 더 감소시키기 위해 도펀트 농도가 증가될 수 있긴 하지만, 도펀트 농도를 증가시키는 것만으로는 섭씨 500도 미만의 현재 열 예산을 달성할 수 없다. 본 개시내용의 구현예들은 섭씨 500도 미만의 온도에서 도핑된 실리케이트 글래스 막의 리플로우를 가능하게 하기 위해 높은 압력들(예를 들어, 1 기압 초과)에서 수행되는 스팀 어닐링을 사용한다. 일부 구현예들에서, 도핑된 실리케이트 글래스는 다단계 어닐링 프로세스에 노출된다. 일 구현예에서, 다단계 어닐링 프로세스는 (1) 리플로우를 가능하게 하기 위해, 도핑된 실리케이트 글래스를 높은 압력에서 스팀 어닐링에 노출시키는 단계; 및 (2) 임의의 남아있는 수분을 제거하기 위해 도핑된 실리케이트 글래스를 건조 환경 어닐링에 노출시키는 단계를 포함한다. 낮은 열 예산들에서 갭필을 위해 도핑된 실리케이트 글래스 막들을 사용하는 능력은 자기 정렬을 가능하게 하는 다색 패터닝을 위한 새로운 재료 체계를 제공한다.
도 1은 본 개시내용의 하나 이상의 구현예에 따른, 기판을 처리하기 위한 방법(100)의 흐름도이다. 도 2a 내지 도 2c는 방법(100)의 단계들에서의 기판의 개략적인 단면도들이다. 본 개시내용의 양태들의 설명을 용이하게 하기 위해, 도 2a 내지 도 2c는 도 1과 관련하여 설명될 것이다. 도 2a 내지 도 2c에 도시된 디바이스 구조는 단지 예일 뿐이며, 방법(100)은 낮은 열 예산들에서 이음매가 없는 갭필(seam-free gapfill)을 달성하는 것이 바람직한 임의의 구조물을 처리하기 위해 사용될 수 있다는 것이 이해되어야 한다.
동작(110)에서, 내부에 형성된 하나 이상의 피쳐를 갖는 기판이 제공된다. 기판은 반도체 디바이스(200)와 같은 반도체 디바이스일 수 있다. 일 구현예에서, 기판은 하프늄 이산화물(HfO2), 지르코늄 이산화물(ZrO2), 하프늄 실리콘 산화물(HfSiO2), 하프늄 알루미늄 산화물(HfAlO), 지르코늄 실리콘 산화물(ZrSiO2), 탄탈룸 이산화물(TaO2), 알루미늄 산화물, 알루미늄 도핑된 하프늄 이산화물, 비스무스 스트론튬 티타늄(BST), 백금 지르코늄 티타늄(PZT) 및 이들의 조합을 포함하거나, 그것들로 구성되거나, 그것들로 본질적으로 구성된 그룹으로부터 선택된 하이 k 재료를 포함한다. 일 구현예에서, 기판은 채널 재료를 포함한다. 일 구현예에서, 채널 재료는 게르마늄, 실리콘-게르마늄, 및 다른 Ⅲ-Ⅴ 반도체 재료들을 포함하거나, 그것들로 구성되거나, 그것들로 본질적으로 구성되는 그룹으로부터 선택된다. 일 구현예에서, 기판은 하이 k 금속 게이트 재료를 포함한다. 일 구현예에서, 하이 k 금속 게이트 재료는 티타늄 질화물(TiN), 탄탈룸(Ta), 티타늄 알루미늄 질화물(TiAlN), 티타늄 실리콘 질화물(TiSiN), 또는 이들의 조합을 포함하거나, 그것들로 구성되거나, 그것들로 본질적으로 구성되는 그룹으로부터 선택된다. 일 구현예에서, 기판은 유전체 재료를 포함한다. 일 구현예에서, 유전체 재료는 실리콘 질화물(SiN), 실리콘 탄화물(SiC), 실리콘 산화물들(예를 들어, SiO, SiO2 등) 및 이들의 조합을 포함하거나, 그것들로 구성되거나, 그것들로 본질적으로 구성되는 그룹으로부터 선택된다. 동작(110) 동안, 반도체 디바이스(200)는 프로세스 챔버 내에 위치된다. 프로세스 챔버는 예를 들어 퇴적 챔버이다. 반도체 디바이스(200)는 하나 이상의 패터닝된 피쳐를 포함한다. 패터닝된 피쳐들은 때때로 플레이스홀더들(placeholders), 맨드렐들(mandrels) 또는 코어들(cores)로 지칭되며, 패터닝된 피쳐들을 에칭하기 위해 사용된 포토레지스트 재료에 기초한 특정한 선폭들 및/또는 간격들을 갖는다.
일 구현예에서, 반도체 디바이스(200)는 제1 층(202) 상에 형성된 맨드렐들(2061, 2062 ... 206n)(총괄하여, 206)을 포함한다. 제1 층(202)은 기판(201), 또는 기판(201) 상에 배치된 층일 수 있다. 제1 층(202)은 표면(204)을 갖는다. 제1 층(202)은 실리콘(Si) 함유 재료, 실리콘 이산화물(SiO2) 함유 재료, 또는 실리콘 질화물(SiN) 함유 재료를 포함할 수 있다. 일 구현예에서, 맨드렐들(2061, 2062 ... 206n)은 비정질 탄소(a-C) 맨드렐들이다. 다른 구현예에서, 맨드렐들(2061, 2062 ... 206n)은 비정질 실리콘(a-Si) 맨드렐들이다. 도 2a에 도시된 바와 같이, 복수의 a-C 맨드렐(206)은 복수의 a-C 맨드렐(206)의 최상부면들(2101, 2102... 210n)(총괄하여, 210)로부터 제1 층(202)의 표면(204)까지 약 1 나노미터(nm) 내지 약 100nm의 높이를 갖는다.
반도체 디바이스(200)는 복수의 a-C 맨드렐(206) 및 제1 층(202) 위에 퇴적된 스페이서 층(212)을 더 포함한다. 일 구현예에서, 스페이서 층(212)은 비정질 실리콘(a-Si)이다. 다른 구현예에서, 스페이서 층(212)은 실리콘 질화물(SiN)이다. 스페이서 층(212)은 질소(N) 함유 재료 또는 산소(O) 함유 재료를 함유할 수 있다. 스페이서 층(212)은 복수의 a-C 맨드렐(206)의 측벽들(208) 상에서 스페이서 층(212)의 각각의 마주보는 부분들(214) 사이에 갭들(216)을 형성하도록 복수의 a-C 맨드렐(206)의 최상부면들(210) 및 측벽들(208) 상에 형상추종적으로 퇴적될 수 있다. 도 2a에 도시된 바와 같이, 갭들(216)은 각각의 마주보는 부분들(214) 사이에서 약 1nm 내지 약 10nm의 폭을 갖는다. 일 구현예에서, 갭들(216)은 5:1보다 큰 종횡비를 갖는다. 복수의 a-C 맨드렐(206)의 최상부면들(210)을 노출시키고 제1 층(202)을 노출시키기 위해, 스페이서 층(212)의 일부분들이 제거되었다.
도 1 및 도 2b를 참조하면, 방법(100)은 반도체 디바이스(200)의 갭들(216)을 채우기 위해 갭필 프로세스를 수행함으로써 동작(120)으로 진행한다. 갭들(216)은 유전체 재료(220)로 채워진다. 일 구현예에서, 유전체 재료(220)는 도핑된 실리케이트 글래스를 포함한다. 일 구현예에서, 도핑된 실리케이트 글래스는 보로포스포실리케이트 글래스(BPSG), 포스포실리케이트 글래스(PSG), 및 보로실리케이트 글래스(BSG)로부터 선택된다. 유전체 재료(220)는 예를 들어 화학적 기상 증착(CVD), 플라즈마 강화 화학적 기상 증착(PECVD), 원자 층 퇴적(ALD), 에피택셜 퇴적, 스핀-온 코팅, 유동성 CVD(FCVD) 및 형상추종 막 퇴적(CFD)과 같이, 열 예산 목표들을 따르는 임의의 적절한 기술에 의해 퇴적된다. 퇴적된 대로의(as-deposited) 유전체 재료(220)는 전형적으로 유전체 재료(220) 내에 결함들(예를 들어, 공극들 또는 이음매들)(230)을 포함한다.
일부 구현예들에서, 방법(100)은 과잉의 유전체 재료를 제거하고 유전체 재료(220)의 최상부면을 평탄화하기 위해 화학적 기계적 연마(CMP) 프로세스를 적용하는 단계를 더 포함한다. 일부 구현예들에서, 유전체 재료(220)는 큐어링 프로세스에 노출된다.
도 1을 참조하면, 방법(100)은 반도체 디바이스(200)가 고압 어닐링 프로세스에 노출되는 동작(130)으로 진행한다. 동작(130)의 어닐링 프로세스는 2 bar 초과와 같은 높은 프로세스 압력에서 수행된다. 동작(130)의 어닐링 프로세스는 도 2c에 도시된 바와 같이, 유전체 재료(220) 내의 공공들(vacancies)을 치밀화 및 수선하는 것을 보조하여, 목표 막 속성들을 갖는 유전체 층(240)을 형성할 수 있다. 동작(130)의 고압 어닐링 프로세스는 배치 모드(batch mode) 또는 싱글 웨이퍼 모드에서 수행될 수 있다. 동작(130)의 고압 어닐링 프로세스는 도 3에 도시된 처리 챔버(300), 또는 기판들을 한 번에 하나씩 처리하는 것들을 포함하는 다른 적절한 처리 챔버들과 같은 처리 챔버에서 수행될 수 있다. 동작(130)의 고압 어닐링 프로세스는 처리 챔버의 처리 영역 또는 고압 영역에서 수행될 수 있다.
동작(130)에서 수행되는 고압 어닐링 프로세스는 증기 상(vapor phase)에서, 예를 들어 액적들이 실질적으로 존재하지 않는 건조 증기 상에서, 처리 압력을 고압 영역에 유지한다. 일 구현예에서, 동작(130)의 고압 어닐링 프로세스는 고압 스팀 어닐링 프로세스이다. 처리 압력 및 온도는 막 결함들을 수리하여 불순물들을 제거하고 막 밀도를 증가시키기 위해, 막 구조물들을 치밀화하도록 제어된다. 일 구현예에서, 고압 영역은 대기압보다 큰 압력, 예를 들어 약 2 bar 초과의 압력으로 가압된다. 일 구현예에서, 고압 영역은 대기압보다 큰 압력, 예를 들어 최대 250 bar까지 가압된다. 다른 구현예에서, 고압 영역은 약 5 bar 내지 약 100 bar의 압력으로 가압된다. 또 다른 구현예에서, 고압 영역은 약 5 bar 내지 약 75 bar의 압력, 예컨대 약 50 bar 내지 약 75 bar의 압력으로 가압된다. 반도체 디바이스(200)에 대한 열 사이클 손상의 가능성을 줄이기 위해, 높은 압력은 섭씨 500도 미만과 같은 비교적 낮은 처리 온도에서 막 구조물을 치밀화하는 것을 효율적으로 보조할 수 있다.
처리 동안, 고압 영역은 처리 챔버 내에 배치된 히터들에 의해, 비교적 낮은 온도, 예를 들어 섭씨 500도 미만, 예컨대 섭씨 약 150도 내지 약 400도의 온도로 유지된다. 따라서, 저온 레짐과 함께 고압 어닐링 프로세스를 이용함으로써, 기판에 대한 낮은 열 예산이 획득될 수 있다.
고압 프로세스는 유전체 재료(220) 내의 단글링 본드들을 제거하고, 따라서 어닐링 프로세스 동안 유전체 재료(220) 내의 단글링 본드들을 보수, 반응 및 포화시키는 구동력(driving force)을 제공할 수 있다고 여겨진다. 일 구현예에서, O3 기체, O2 기체, H2O, H2O2, N2O, NO2, CO2, CO, 건조 스팀 또는 다른 적절한 기체들과 같은 산소 함유 기체가 어닐링 프로세스 동안 공급될 수 있다. 일 구현예에서, 산소 함유 기체는 스팀, 예를 들어 건조 스팀을 포함한다. 일 구현예에서, 스팀은 가열된 액체 물(liquid water)로 형성된다. 다른 구현예에서, 스팀은 수소와 산소 사이의 반응으로부터 형성된다. 어닐링 프로세스 동안 산소 함유 기체로부터의 산소 원소들은 유전체 재료(220) 내로 유도될 수 있고, 그에 의해 본딩 구조들을 변경하고 그 내부의 원자 공공들(atomic vacancies)을 제거하며, 따라서 유전체 재료(220)의 격자 구조들을 치밀화 및 강화하여, 이음매들 및 공극들이 실질적으로 없는 유전체 층(240)을 형성할 수 있다. 일부 구현예들에서, Ar, N2, He, Kr 등과 같은 불활성 기체가 산소 함유 기체와 함께 공급될 수 있다. 일 구현예에서, 산소 함유 기체 혼합물에 공급되는 산소 함유 기체는 2 bar 초과의 압력에서 공급되는 건조 스팀이다.
일 구현예에서, 프로세스 압력은 2 bar 초과, 예컨대 5 bar 내지 100 bar, 예컨대 20 bar 내지 약 80 bar, 예컨대 약 25 bar 내지 75 bar, 예를 들어 약 50 bar 내지 약 75 bar의 압력으로 조절된다. 프로세스 온도는 섭씨 150도 초과 섭씨 500도 미만, 예컨대 약 섭씨 150도 내지 약 섭씨 400도, 예컨대 약 섭씨 180도 내지 약 섭씨 350도로 제어될 수 있다. 일 구현예에서, 반도체 디바이스(200)를 고압 어닐링 챔버 내에 위치시킨 후, 온도 및 압력 둘 다가 목표 어닐링 압력 및 목표 어닐링 온도로 동시에 상승된다. 다른 구현예에서, 반도체 디바이스(200)를 고압 어닐링 챔버 내에 위치시킨 후, 온도가 목표 어닐링 온도까지 상승된 다음, 압력을 목표 어닐링 압력까지 상승시킨다. 또 다른 구현예에서, 반도체 디바이스(200)를 고압 어닐링 챔버 내에 위치시킨 후에, 압력이 목표 어닐링 압력까지 상승된 다음, 온도를 목표 어닐링 온도까지 상승시킨다.
다른 구현예에서, 유전체 층(240)을 포함하는 반도체 디바이스(200)는 건식 어닐링 프로세스(즉, 스팀 없음)에 노출된다. 이론에 구속되지는 않지만, BPSG 내의 붕소는 결정영역들(crystallites)을 형성하는 경향이 있으며, 건식 어닐링은 결정영역들을 제거하는 것으로 여겨진다. 일 구현예에서, 건식 어닐링 프로세스는 섭씨 800도 미만의 온도에서 수행된다. 일 구현예에서, 건식 어닐링 프로세스는 섭씨 500도 미만의 온도에서 수행된다. 일 구현예에서, 건식 어닐링 프로세스는 약 섭씨 150도 내지 약 섭씨 400도 범위의 온도 및 약 1초 내지 약 10분 범위의 지속 기간으로 수행된다. 일 구현예에서, 건식 어닐링은 동작(130)의 고압 어닐링과 동일한 압력 범위들에서 수행된다. 일 구현예에서, 건식 어닐링은 불활성 기체 분위기에서 수행된다. 일 구현예에서, 불활성 기체 분위기는 질소 기체 분위기이다. 일 구현예에서, 고압 스팀 어닐링 및 건식 어닐링은 동일한 챔버에서 수행된다. 다른 구현예에서, 고압 스팀 어닐링 및 건식 어닐링은 별개의 챔버들에서 수행된다.
일 구현예에서, 건식 어닐링 프로세스는 스파이크 어닐링 프로세스이다. 스파이크 어닐링 프로세스는 단기간의 지속 기간을 가지며, 고압 어닐링 프로세스의 효과를 증대시키기 위해 반도체 디바이스(200)의 유전체 층(240)에 적용된다. 스파이크 어닐링 프로세스는 급속 열 어닐링(RTA), 레이저 어닐링, 및/또는 플래쉬 램프 어닐링(flash lamp anneal)일 수 있다. 일 구현예에서, 스파이크 어닐링 프로세스는 약 섭씨 150도 내지 약 섭씨 400도 범위의 온도, 및 약 1초 내지 약 10분 범위의 지속 기간으로 수행된다.
어닐링 프로세스 후에, 유전체 층(240)은 (높은 습식 에칭 속도를 갖는 다공성 막 구조물과 비교하여) 개선된 습식 에칭 속도를 제공하는 비교적 견고한 막 구조물을 제공하는 치밀화된 막 구조물을 갖는다.
방법(100)의 마무리에서, 반도체 디바이스(200)의 패턴에 대해 추가의 처리가 이후에 수행될 수 있다.
도 3은 본 명세서에 설명된 방법(100)을 실시하기 위해 사용될 수 있는 예시적인 처리 챔버(300)의 개략적인 단면도이다. 도 3에 도시된 처리 챔버(300)는 처리 챔버에서 동시에 처리될 수 있는 기판들의 배치(batch)를 포함하지만, 처리 챔버(300)는 필요에 따라 각각의 프로세스에서 단일 기판을 처리하도록 구성될 수 있다는 점에 유의해야 한다.
처리 챔버(300)는 2 bar 초과와 같은 고압 제어 하에서 도 2에 도시된 유전체 층(240)과 같은 유전체 층을 형성하도록 구성될 수 있고, 이는 아래에서 더 상세하게 설명될 것이다.
처리 챔버(300)는 복수의 기판(335)을 처리하기 위한 내부 용적(350) 내에 배치된 카세트(330)를 갖는다. 처리 챔버(300)는 내부 용적(350)을 둘러싸는 챔버 바디(310), 및 챔버 바디(310) 상에 배치된 리드(311)를 갖는다. 하나 이상의 히터(312)가 챔버 바디(310) 내에 배치된다. 저항성 히터들과 같은 히터들(312)은 챔버 바디(310)를 가열하도록 구성된다. 히터들(312)로의 전력은 전력 소스(305)에 의해 공급되고 제어기(380)에 의해 제어된다. 쉘(320)은 내부 용적(350) 내에 배치된다. 쉘(320)을 둘러싸는 단열 라이너(316)가 내부 용적(350)에 배치된다. 단열 라이너(316)는 챔버 바디(310)와 쉘(320) 사이의 열 전달을 방지한다.
카세트(330)는 챔버 바디(310) 내의 개구(354)를 통해 연장되는 샤프트(374)에 결합된다. 카세트(330)는 샤프트(374)에 결합된 액추에이터(370)에 의해 내부 용적(350) 내에 이동가능하게 배치된다. 카세트(330)는 로딩 위치와 처리 위치 사이에서의 기판들(335)의 이송을 용이하게 한다. 기판들(335)은 챔버 바디(310) 내에 형성된 로딩 포트(345)를 통해 내부 용적(350) 내외로 이송된다. 쉘(320)은 카세트(330)가 처리 위치에 있을 때 카세트(330)의 리드(340)에 결합되고, 기판들(335)이 상승된 압력 및 상승된 온도에서 어닐링되는 처리 영역을 정의한다.
처리 동안, 산소 함유 기체(예를 들어, 스팀)와 같은 처리 유체가 입구 포트(352)를 통해 처리 영역 내로 흐른다. 입구 포트(352)는 카세트(330) 내의 복수의 개구를 통해 기판(335)과 유체 소통한다. 처리 영역 내에 배치된 히터들(327)은 처리 영역, 및 그 내부의 기판들(335)을 가열하도록 구성된다. 처리 영역 내의 압력 및 온도는 내부의 기판들(335)을 어닐링하기 위해 상승된다. 처리 유체는 출구 포트(356)를 통해 처리 영역으로부터 배기된다.
일 구현예에서, 제어기(380)는 온도 센서들 또는 압력 센서들과 같은 복수의 센서(314)에 결합된다. 센서들(314)은 내부 용적(350) 내의 조건들을 나타내기 위해 제어기에 신호들을 제공한다. 제어기(380)는 기판들(335)을 목표된 방식으로 처리하기 위해 히터들(312, 327)에 공급되는 전력뿐만 아니라 처리 유체의 흐름을 제어한다.
동작 시에, 처리 유체는 입구 포트(352)를 통해 도입된다. 처리 유체는 펌프(도시되지 않음)를 사용하여 출구 포트(356)를 통해 제거된다. 기판들(335)의 처리 동안, 압력 영역의 환경은 처리 영역 내의 처리 유체를 증기 상으로 유지시키는 온도 및 압력으로 유지된다. 이러한 압력 및 온도는 처리 유체의 조성에 기초하여 선택된다. 스팀의 경우, 온도 및 압력은 스팀을 건조 스팀 상태로 유지하는 조건으로 유지된다. 일례에서, 처리 영역은 대기압보다 높은 압력, 예를 들어 약 2 bar 초과의 압력으로 가압된다. 다른 예에서, 처리 영역은 대기압보다 높은 압력, 예를 들어 최대 약 250 bar까지 가압된다. 다른 구현예에서, 처리 영역은 약 5 bar 내지 약 100 bar의 압력으로 가압된다. 또 다른 구현예에서, 처리 영역은 약 5 bar 내지 약 75 bar의 압력, 예컨대 약 50 bar 내지 약 75 bar의 압력으로 가압된다. 처리 동안, 처리 영역은 또한 히터들(312, 327)에 의해, 높은 온도, 예를 들어 섭씨 225도를 초과하는 온도[카세트(330) 상에 배치된 기판들(335)의 열 예산에 의해 제한됨], 예컨대 약 섭씨 300도 내지 약 섭씨 450도로 유지된다. 기판들(335)이 높은 온도로 유지되는 동안 높은 압력에서 처리 유체에 노출되면, 유전체 갭필 재료의 리플로우가 유발되어, 유전체 갭필 재료 내의 이음매들의 형성을 감소시킨다.
일부 구현예들에서, 본 명세서에 설명된 방법은 이음매들 또는 보이드들이 실질적으로 없는 갭필 재료로서 기능하는 고품질 도핑된 실리콘 글래스 막을 초래한다. 노 어닐링(furnace annealing)과 같은 종래의 어닐링 방법들은 산화물 층을 통한 산소의 불량한 분포를 초래한다. 이러한 종래의 방법들에서는 산소가 층 표면 근처의 얕은 영역에만 침투한다. 본 개시내용의 발명자들은 산화제의 존재 하에서 고압 어닐링을 사용하는 것이 층의 형상추종 산화를 촉진한다는 것을 발견했다. 즉, 층은 층 전체에 걸쳐 실질적으로 균일한 방식으로 산화되고, 그에 의해 층 전체의 산소 분포의 균일성을 증가시킨다. 또한, 산화물 층의 치밀화의 균일성은 본 명세서에 설명된 방법들에 의해 증가된다. 균일한 치밀화는 또한 전형적으로 개선된 에칭 선택도를 초래한다. 본 개시내용의 일부 구현예들은 섭씨 500도 미만의 온도에서 도핑된 실리케이트 글래스 막의 리플로우를 가능하게 하기 위해 높은 압력들(예를 들어, 1 기압 초과)에서 수행되는 스팀 어닐링을 사용한다. 일부 구현예들에서, 도핑된 실리케이트 글래스는 다단계 어닐링 프로세스에 노출된다. 일부 구현예들에서, 다단계 어닐링 프로세스는 (1) 리플로우를 가능하게 하기 위해, 도핑된 실리케이트 글래스를 높은 압력에서 스팀 어닐링에 노출시키는 단계; 및 (2) 임의의 남아있는 수분을 제거하기 위해 도핑된 실리케이트 글래스를 건조 환경 어닐링에 노출시키는 단계를 포함한다. 낮은 열 예산들에서 갭필을 위해 도핑된 실리케이트 글래스 막들을 사용하는 능력은 자기 정렬을 가능하게 하는 다색 패터닝을 위한 새로운 재료 체계를 제공한다.
본 개시내용 또는 본 개시내용의 예시적인 양태들 또는 구현예(들)의 구성요소들을 소개할 때, 관사들("a", "an", "the") 및 "상기"는 그 구성요소들이 하나 이상 있음을 의미하는 것으로 의도된다.
"포함하는(comprising, including)" 및 "갖는"이라는 용어들은 포괄적인 것으로 의도되고, 나열된 구성요소들 외의 추가적인 구성요소들이 존재할 수 있음을 의미한다.
상술한 것은 본 개시내용의 구현예들에 관한 것이지만, 본 개시내용의 다른 구현예들 및 추가 구현예들은 그것의 기본 범위로부터 벗어나지 않고서 만들어질 수 있으며, 그것의 범위는 이하의 청구항들에 의해 결정된다.

Claims (15)

  1. 방법으로서,
    기판의 하나 이상의 피쳐를 유전체 재료로 채우는 단계 - 상기 유전체 재료는 보로포스포실리케이트 글래스(borophosphosilicate glass)(BPSG), 포스포실리케이트 글래스(phosphosilicate glass)(PSG), 및 보로실리케이트 글래스(borosilicate glass)(BSG)로부터 선택된 도핑된 실리케이트 글래스(doped silicate glass)임 - ; 및
    상기 유전체 재료 내의 이음매들(seams)을 치유(heal)하기 위해 산화제의 존재 하에서 고압 어닐링으로 상기 기판을 트리트먼트하는 단계
    를 포함하고, 상기 트리트먼트하는 단계는:
    처리 챔버 내의 기판 상에 산소 함유 기체 혼합물을 공급하는 단계;
    상기 처리 챔버 내의 상기 산소 함유 기체 혼합물을 2 bar 초과의 프로세스 압력으로 유지하는 단계; 및
    상기 산소 함유 기체 혼합물의 존재 하에서 상기 유전체 재료를 열적으로 어닐링하는 단계
    를 포함하는, 방법.
  2. 제1항에 있어서, 상기 산소 함유 기체 혼합물을 공급하는 단계는,
    상기 기판을 섭씨 500도 미만의 기판 온도로 유지하는 단계를 더 포함하는, 방법.
  3. 제1항에 있어서, 상기 산소 함유 기체 혼합물은 O3 기체, O2 기체, H2O, H2O2, N2O, NO2, CO2, CO, 건조 스팀(dry steam)으로부터 선택된 산소 함유 기체를 포함하는, 방법.
  4. 제1항에 있어서, 상기 산소 함유 기체 혼합물은 건조 스팀을 포함하는, 방법.
  5. 제1항에 있어서, 상기 프로세스 압력은 약 5 bar 내지 100 bar인, 방법.
  6. 제1항에 있어서, 상기 유전체 재료는 BPSG인, 방법.
  7. 제1항에 있어서, 상기 기판은 하프늄 이산화물(HfO2), 지르코늄 이산화물(ZrO2), 하프늄 실리콘 산화물(HfSiO2), 하프늄 알루미늄 산화물(HfAlO), 지르코늄 실리콘 산화물(ZrSiO2), 탄탈룸 이산화물(TaO2), 알루미늄 산화물, 알루미늄 도핑된 하프늄 이산화물, 비스무스 스트론튬 티타늄(BST) 및 백금 지르코늄 티타늄(PZT)으로부터 선택된 하이 k 재료를 더 포함하는, 방법.
  8. 제1항에 있어서, 상기 유전체 재료는 하드 마스크가 되도록 제조되는, 방법.
  9. 제1항에 있어서, 상기 유전체 재료는 화학적 기상 증착 프로세스에 의해 형성되는, 방법.
  10. 제1항에 있어서, 상기 유전체 재료는 열적 어닐링 후에 더 높은 막 밀도를 갖는, 방법.
  11. 방법으로서,
    기판 상에 배치된 유전체 층을 2 bar 초과의 압력에서 열적으로 트리트먼트하는 단계 - 상기 유전체 층은 보로포스포실리케이트 글래스(BPSG), 포스포실리케이트 글래스(PSG), 및 보로실리케이트 글래스(BSG)로부터 선택된 도핑된 실리케이트 글래스임 - ; 및
    상기 유전체 층을 열적으로 트리트먼트하는 동안 기판 온도를 섭씨 500도 미만으로 유지하는 단계
    를 포함하는, 방법.
  12. 제11항에 있어서,
    상기 유전체 층을 열적으로 트리트먼트하는 동안 상기 유전체 층에 건조 스팀을 공급하는 단계
    를 더 포함하는, 방법.
  13. 제12항에 있어서, 상기 유전체 층은 열적으로 트리트먼트된 후에 더 높은 밀도를 갖는, 방법.
  14. 제13항에 있어서, 상기 도핑된 실리케이트 글래스는 보로포스포실리케이트 글래스(BPSG)인, 방법.
  15. 제11항에 있어서, 상기 기판은 하프늄 이산화물(HfO2), 지르코늄 이산화물(ZrO2), 하프늄 실리콘 산화물(HfSiO2), 하프늄 알루미늄 산화물(HfAlO), 지르코늄 실리콘 산화물(ZrSiO2), 탄탈룸 이산화물(TaO2), 알루미늄 산화물, 알루미늄 도핑된 하프늄 이산화물, 비스무스 스트론튬 티타늄(BST) 및 백금 지르코늄 티타늄(PZT)으로부터 선택된 하이 k 재료를 더 포함하는, 방법.
KR1020190020360A 2018-02-22 2019-02-21 고품질 에칭 저항성 갭필 유전체 막의 퇴적 및 리플로우를 위한 방법 KR20190101893A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862633935P 2018-02-22 2018-02-22
US62/633,935 2018-02-22
US16/279,598 US11114333B2 (en) 2018-02-22 2019-02-19 Method for depositing and reflow of a high quality etch resistant gapfill dielectric film
US16/279,598 2019-02-19

Publications (1)

Publication Number Publication Date
KR20190101893A true KR20190101893A (ko) 2019-09-02

Family

ID=67617003

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020190020360A KR20190101893A (ko) 2018-02-22 2019-02-21 고품질 에칭 저항성 갭필 유전체 막의 퇴적 및 리플로우를 위한 방법

Country Status (2)

Country Link
US (1) US11114333B2 (ko)
KR (1) KR20190101893A (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021087132A1 (en) * 2019-10-29 2021-05-06 Lam Research Corporation Methods to enable seamless high quality gapfill
WO2024019440A1 (ko) * 2022-07-22 2024-01-25 주식회사 에이치피에스피 반도체 소자의 제조 방법

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9871100B2 (en) * 2015-07-29 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Trench structure of semiconductor device having uneven nitrogen distribution liner
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
KR102405723B1 (ko) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP7274461B2 (ja) 2017-09-12 2023-05-16 アプライド マテリアルズ インコーポレイテッド 保護バリア層を使用して半導体構造を製造する装置および方法
CN111357090B (zh) 2017-11-11 2024-01-05 微材料有限责任公司 用于高压处理腔室的气体输送系统
JP7330181B2 (ja) 2017-11-16 2023-08-21 アプライド マテリアルズ インコーポレイテッド 高圧蒸気アニール処理装置
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
CN111699549A (zh) * 2018-01-24 2020-09-22 应用材料公司 使用高压退火的接缝弥合
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10714331B2 (en) * 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US11037781B2 (en) * 2018-06-29 2021-06-15 Taiwan Semiconductor Manufacturing Company, Ltd. Device and method for high pressure anneal
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US11791176B2 (en) * 2018-10-28 2023-10-17 Applied Materials, Inc. Processing chamber with annealing mini-environment
WO2020092002A1 (en) 2018-10-30 2020-05-07 Applied Materials, Inc. Methods for etching a structure for semiconductor applications
KR20210077779A (ko) 2018-11-16 2021-06-25 어플라이드 머티어리얼스, 인코포레이티드 강화된 확산 프로세스를 사용한 막 증착
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11062976B2 (en) * 2019-05-03 2021-07-13 International Business Machines Corporation Functional stiffener that enables land grid array interconnections and power decoupling
US11101174B2 (en) * 2019-10-15 2021-08-24 Applied Materials, Inc. Gap fill deposition process
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0567607A (ja) 1991-03-20 1993-03-19 Mitsubishi Electric Corp 半導体装置の絶縁膜の平坦化方法
JP3416163B2 (ja) * 1992-01-31 2003-06-16 キヤノン株式会社 半導体基板及びその作製方法
JP2980052B2 (ja) 1997-03-31 1999-11-22 日本電気株式会社 半導体装置の製造方法
US5851892A (en) * 1997-05-07 1998-12-22 Cypress Semiconductor Corp. Fabrication sequence employing an oxide formed with minimized inducted charge and/or maximized breakdown voltage
US6514876B1 (en) * 1999-09-07 2003-02-04 Steag Rtp Systems, Inc. Pre-metal dielectric rapid thermal processing for sub-micron technology
JP2005191512A (ja) 2003-12-01 2005-07-14 Sharp Corp 半導体装置の製造方法
US7645677B2 (en) * 2004-03-16 2010-01-12 Ishikawajima-Harima Heavy Industries Co., Ltd. Method for manufacturing semiconductor device
US8735252B2 (en) 2012-06-07 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US9218973B2 (en) 2012-06-15 2015-12-22 Applied Materials, Inc. Methods of doping substrates with ALD
US9515166B2 (en) 2014-04-10 2016-12-06 Applied Materials, Inc. Selective atomic layer deposition process utilizing patterned self assembled monolayers for 3D structure semiconductor applications
TWI825991B (zh) 2015-05-11 2023-12-11 美商應用材料股份有限公司 水平環繞式閘極與鰭式場效電晶體元件的隔離

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021087132A1 (en) * 2019-10-29 2021-05-06 Lam Research Corporation Methods to enable seamless high quality gapfill
WO2024019440A1 (ko) * 2022-07-22 2024-01-25 주식회사 에이치피에스피 반도체 소자의 제조 방법

Also Published As

Publication number Publication date
US20190259625A1 (en) 2019-08-22
US11114333B2 (en) 2021-09-07

Similar Documents

Publication Publication Date Title
US11114333B2 (en) Method for depositing and reflow of a high quality etch resistant gapfill dielectric film
TWI541898B (zh) 用於半導體整合之非敏性乾式移除製程
JP7299898B2 (ja) 高圧アニールを用いたシーム修復
TWI414040B (zh) 氮化硼與硼-氮化物衍生材料的沉積方法
KR20200039564A (ko) 질소를 사용한 peald에 의해 산화물 막을 증착하는 방법
JP2023015253A (ja) 半導体処理装置
KR101046523B1 (ko) 케미컬 산화막의 제거 방법
US20070077777A1 (en) Method of forming a silicon oxynitride film with tensile stress
JP2019500756A (ja) 半導体アプリケーション用の水平ゲートオールアラウンドデバイスのためのナノワイヤ製造方法
KR100874517B1 (ko) 플라즈마 처리 방법
US20160218012A1 (en) Method of forming fine pattern, method of manufacturing semiconductor device, substrate processing apparatus and recording medium
KR20160111508A (ko) 듀얼 다마신 구조에서 유전체 배리어 층을 에칭하기 위한 방법들
TW201316405A (zh) 雙重圖案化蝕刻製程
US20060216933A1 (en) Methods of forming materials
US20110039389A1 (en) Manufacturing method of semiconductor device
KR101678266B1 (ko) 반도체 장치의 제조 방법 및 제조 장치
JP2023504353A (ja) 酸素ラジカル支援による誘電体膜の高密度化
TW201535513A (zh) 介電常數減少且機械性質強化的低k介電層
JP2008078627A (ja) 半導体装置の製造方法
TWI324364B (ko)
TW201724500A (zh) 用於製造對於半導體應用的水平環繞式閘極裝置的奈米線的方法
JP2006093242A (ja) 半導体装置の製造方法
JP2008235397A (ja) 半導体装置の製造方法
KR100680970B1 (ko) 반도체 소자의 게이트 형성방법
TWI829231B (zh) 過渡金屬氮化材料的選擇性移除

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X601 Decision of rejection after re-examination