JP2023504353A - 酸素ラジカル支援による誘電体膜の高密度化 - Google Patents

酸素ラジカル支援による誘電体膜の高密度化 Download PDF

Info

Publication number
JP2023504353A
JP2023504353A JP2022527095A JP2022527095A JP2023504353A JP 2023504353 A JP2023504353 A JP 2023504353A JP 2022527095 A JP2022527095 A JP 2022527095A JP 2022527095 A JP2022527095 A JP 2022527095A JP 2023504353 A JP2023504353 A JP 2023504353A
Authority
JP
Japan
Prior art keywords
silicon
containing material
layer
oxygen radicals
flowable
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022527095A
Other languages
English (en)
Other versions
JPWO2021118815A5 (ja
Inventor
シャシャンク シャルマ,
ウェイ リウ,
ヨン スン,
プラケト プラカシュ ジャ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2023504353A publication Critical patent/JP2023504353A/ja
Publication of JPWO2021118815A5 publication Critical patent/JPWO2021118815A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/336Changing physical properties of treated surfaces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Element Separation (AREA)

Abstract

本明細書の実施形態は、流動性化学気相堆積(FCVD)プロセスを使用して堆積されたケイ素含有材料層の酸素ラジカルベースの処理を提供する。FCVDで堆積されたケイ素含有材料層の酸素ラジカルベースの処理は、望ましくは、安定したSi--O結合の数を増加させ、望ましくない水素及び窒素不純物を除去し、かつ処理されたケイ素含有材料層にさらなる高密度化及び優れた膜品質を提供する。実施形態は、ケイ素含有材料の流動性層をアニールし、その密度を増加させるのに十分な条件下で、基板上に配置されたケイ素含有材料の流動性層を複数の酸素ラジカルと接触させることを含む、半導体デバイスを製造する方法及び装置を含む。【選択図】図3

Description

本開示の実施形態は、概して、電子デバイス製造の分野に関し、詳細には、誘電体層の特性の変更に関する。
誘電体材料は、常にサイズの縮小を続ける電子デバイスを生産するために、半導体産業において幅広く使用されている。概して、誘電体材料は、間隙充填膜、シャロートレンチ絶縁(STI)、ビア充填材、マスク、ゲート誘電体、又は他の電子デバイス特徴部として用いられる。
誘電体材料は、通常、二酸化ケイ素(SiO)などのケイ素含有材料を含み、流動性化学気相堆積(FCVD)プロセスを使用して前駆体から流動性の材料へと形成されうる。流動性のケイ素含有材料プロセス、例えば、(FCVD)プロセスを使用して堆積されたケイ素含有材料層は、概して、従来の方法を使用して堆積されたケイ素含有材料層と比較した場合に、高アスペクト比の特徴部の改善された間隙充填性能を提供する。しかしながら、本発明者らは、通常FCVDプロセスによって提供されるケイ素含有材料層が、問題なことに、Si-H、Si-NH結合及び炭素結合を含んでおり、酸化ケイ素材料を形成するときに酸化ケイ素層の膜密度をより低く又は不十分にすることを見出した。
流動性のケイ素含有材料は、その堆積後にさらに処理することができるが、本発明者らは、処理方法が、イオン衝撃に起因して基板上の下層の特徴部及び材料を損傷するリスクを生じさせるか、さもなければ高アスペクト比の開口部に配置されたケイ素含有材料を処理するには不適当であることを見出した。例えば、高温アニールは、膜の収縮及び応力を誘発し、その結果、亀裂、剥離、又はその両方を引き起こし、深いトレンチ内での誘電体膜の形成及び充填応用を介した誘電体膜の形成を妨げる可能性がある。
したがって、堆積された流動性のケイ素含有材料を処理して、所望の密度及び/又は他の所望される材料特性を達成するための改善された方法が必要とされている。
流動性のケイ素含有材料を処理することを含む、半導体デバイスを製造する方法及び装置が、本明細書に提供される。幾つかの実施形態では、半導体デバイスを製造する方法は、ケイ素含有材料の流動性層をアニールし、その密度を増加させるのに十分な条件下で、基板上に配置されたケイ素含有材料の流動性層を複数の酸素ラジカルと接触させることを含む。
幾つかの実施形態では、半導体デバイスを製造する方法は、基板上の1つ以上の特徴部の上にケイ素含有材料の流動性層を堆積させること;及び、ケイ素含有材料の流動性層をアニールし、その密度を増加させるために、ケイ素含有材料の流動性層の実質的に全体に酸素ラジカルを注入又は取り込むことを含む。
幾つかの実施形態では、電子デバイスを製造するための装置は、基板上にケイ素含有材料の流動性層を含む基板を保持するためのペデスタルを含む処理チャンバ;該処理チャンバに結合された酸素ラジカル源;並びに、処理チャンバ及び酸素ラジカル源に結合されたプロセッサを含み、該プロセッサは、ケイ素含有材料の流動性層をアニールし、その密度を増加させるのに十分な条件を処理チャンバに提供するように構成される。
本開示の他の実施形態及びさらなる実施形態について、以下に説明する。
上記で簡潔に要約し、以下により詳細に述べる本開示の実施形態は、添付の図面に示される本開示の例示的な実施形態を参照することにより、理解することができる。しかしながら、本開示は他の等しく有効な実施形態を許容しうることから、添付の図面は、本開示の典型的な実施形態のみを例示しており、したがって、範囲を限定していると見なされるべきではない。
本開示の方法に使用するための処理チャンバの概略的な断面図 本開示による処理のための半導体基板の側面図 本開示の実施形態による半導体基板の特徴部の上に堆積された流動性層の側面図 本開示の実施形態による流動性層と接触する酸素ラジカルを示す図 本開示の実施形態による流動性層への酸素ラジカルの注入又は取り込みを示す図 本開示の幾つかの実施形態による半導体デバイスを製造するフロー図 本開示の幾つかの実施形態による半導体デバイスを製造する実施形態のフロー図 本開示の一実施形態によるトライゲートトランジスタ構造の斜視図
理解を容易にするため、可能な場合には、図面に共通する同一の要素を示すために同一の参照番号が用いられる。図は縮尺どおりには描かれておらず、分かり易くするために簡略化されることがある。一実施形態の要素及び特徴は、さらなる記述がなくとも、他の実施形態に有益に組み込むことができる。
本明細書に記載される実施形態は、概して、基板表面に配置されたケイ素含有材料層の酸素ラジカルベースの処理のための方法に関し、例えば、流動性化学気相堆積(FCVD)プロセスを使用して堆積されたケイ素含有材料層の酸素ラジカルベースの処理のための方法に関する。例えば、(FCVD)プロセスを使用して堆積された酸化ケイ素層などの流動性のケイ素含有材料プロセスは、概して、従来の方法を使用して堆積されたケイ素含有材料層と比較した場合に、高アスペクト比の特徴部の改善された間隙充填性能を提供する。しかしながら、本発明者らは、ケイ素含有材料の流動性層を複数の酸素ラジカルと接触させることが、ケイ素含有材料の流動性層をアニールし、その密度を増加させるのに十分な条件下で実施することができることを見出した。酸素ラジカルは反応性であり、ケイ素含有材料の流動性層の深い浸透に適しており、Si-H、Si-NH、又は炭素結合の還元又は除去によって膜品質に改善をもたらす。さらに、本発明者らは、イオン衝撃又は高温アニールなどの従来の処理によって、基板上の下層の特徴部及び材料を損傷するリスクが低減又は排除されうることを観察した。
図1は、本開示の方法での使用に適した処理チャンバの概略的な断面図である。幾つかの実施形態では、処理チャンバ100は、チャンバリッドアセンブリ101、1つ以上の側壁102、及び処理容積120を集合的に画成するチャンバベース104を含む。チャンバリッドアセンブリ101は、チャンバリッド103、シャワーヘッド112、及びチャンバリッド103とシャワーヘッド112との間に配置された、プレナム122を画成する電気絶縁リング105を含む。チャンバリッド103を通して配置されたガス入り口114が、ガス源106に流体的に結合される。幾つかの実施形態では、ガス入り口114はさらに、遠隔プラズマ源107に流体的に結合される。間に複数の開口部118が配置されたシャワーヘッド112は、処理ガス又は酸素ラジカルをプレナム122から複数の開口部118を介して処理容積120内へと均一に分配するために用いられる。
幾つかの実施形態では、RF電源又はVHF電源などの電源142は、スイッチが(示されるように)第1の位置に配置される場合、スイッチ144を介してチャンバリッドに電気的に結合される。スイッチが第2の位置(図示せず)に配置される場合、電源142はシャワーヘッド112に電気的に結合される。スイッチ144が第1の位置にあるとき、電源142は、プレナム122内に配置された遠隔プラズマ128など、基板115から遠隔された第1のプラズマに点火し、維持するために用いられる。遠隔プラズマ128は、プレナムに流入し、電源142からの電力の容量結合によってプラズマとして維持される処理ガスで構成される。スイッチ144が第2の位置にあるとき、電源142は、シャワーヘッド112と基板支持体127上に配置された基板115との間の処理容積120内の第2のプラズマ(図示せず)に点火し、維持するために用いられる。
幾つかの実施形態では、処理容積120は、該処理容積120を大気圧未満の状態に維持し、そこから処理ガス及び他のガスを排出する真空出口113を介して、1つ以上の専用真空ポンプなどの真空源に流体的に結合される。処理容積120内に配置された基板支持体127は、チャンバベース104の下の領域でベローズ(図示せず)に囲まれるなど、チャンバベース104を通じて密封するように延びる支持シャフト124上に配置される。支持シャフト124は、該支持シャフト124及びその上に配置された基板支持体127を上下させ、その処理中に基板115を支持し、処理チャンバ100の内外へと基板115を移送するためのモータを制御するコントローラ140に結合される。
基板115は、基板115の処理中は、ドア又はバルブ(図示せず)で密封される1つ以上の側壁102のうちの1つにある開口部126を通じて処理容積120内へとロードされる。ここで、基板115は、基板支持体を通じて移動可能に配置された複数のリフトピン(図示せず)を含む従来のリフトピンシステム(図示せず)を使用して、基板支持体127の表面へと又は表面から移送される。典型的には、複数のリフトピンは、リフトピンフープ(図示せず)が下から接触して、基板支持体127の表面より上に延びるように動かされて、基板115をそこから持ち上げ、ロボットハンドラによるアクセスを可能にする。リフトピンフープ(図示せず)が下降位置にあるとき、複数のリフトピンの上部は、基板支持体127の表面と同一平面上又はその下に位置し、その上に基板が載る。基板支持体は、開口部126の下の、その上に基板を配置するための、又はそこから基板115を除去するための下降位置と、基板115を処理するための上昇位置との間で移動可能である。幾つかの実施形態では、基板支持体127及びその上に配置された基板115は、基板支持体に配置された抵抗加熱素子129及び/又は1つ以上の冷却チャネル137を使用して、所望の処理温度に維持される。典型的には、冷却チャネル137は、比較的高い電気抵抗を有する修正された水源又は冷媒源などの冷却媒体源133に流体的に結合される。幾つかの実施形態では、基板は、ランプが基板を急速に加熱するように構成されている急速熱処理チャンバ内に配置される。幾つかの実施形態では、急速熱処理チャンバは、ケイ素含有材料の流動性層をアニールし、その密度を増加させるのに十分な条件下で、基板上に配置されたケイ素含有材料の流動性層を複数の酸素ラジカルと接触させるなどの本開示による方法を実施するように構成される。本開示による構成に適した急速熱処理チャンバの非限定的な例には、基板を短時間で所定の温度に加熱するのに適した処理チャンバが含まれる。幾つかの実施形態では、加熱システムは、ランプなどの光源によって放出された光エネルギーが基板の材料表面に接触し、加熱するように配置された光源を含む。幾つかの実施形態では、基板は、米国カリフォルニア州サンタクララ所在のApplied Materials,Inc.社から入手可能なCENTURA(登録商標)RADIANCE(登録商標)RTPチャンバなどの処理チャンバ内に配置され、本開示によるアニールプロセスに曝露される。実施形態では、アニールチャンバは、基板が周囲環境に曝露されずにアニールすることができるように構成することができる。
幾つかの実施形態では、処理チャンバ100は、処理容積120に酸素ラジカルを供給するために遠隔プラズマ源107にさらに結合される。典型的には、遠隔プラズマ源(RPS)は、誘導結合プラズマ(ICP)源、容量結合プラズマ(CCP)源、又はマイクロ波プラズマ源を含む。幾つかの実施形態では、遠隔プラズマ源は独立型のRPSユニットである。他の実施形態では、遠隔プラズマ源は、処理チャンバ100と流体連結した第2の処理チャンバである。他の実施形態では、遠隔プラズマ源は、チャンバリッド103とシャワーヘッド112との間のプレナム122内で着火され、維持される遠隔プラズマ128である。他の幾つかの実施形態では、第1のガスをそのラジカル種へと光解離させるUV照射を使用するUV源などの非プラズマベースのラジカル源から、又は熱分解を使用して第1のガスをそのラジカル種へと解離させる熱線CVD(HWCVD)チャンバなどの熱線源から処理チャンバに、ガス状処理ラジカルが供給される。
図2Aは、本開示による電子デバイス構造200の側面図である。実施形態では、電子デバイス構造200は、基板201を含む。幾つかの実施形態では、基板201は、半導体材料、例えば、ケイ素(Si)、ゲルマニウム(Ge)、シリコンゲルマニウム(SiGe)、III-V材料ベースの材料、又はそれらの任意の組合せを含む。一実施形態では、基板201は、集積回路用のメタライゼーション相互接続層を含む。一実施形態では、基板201は、電子デバイス、例えば、トランジスタ、メモリ、キャパシタ、レジスタ、光電子デバイス、スイッチ、及び、例えば層間絶縁膜、トレンチ絶縁層、又は電子デバイス製造の当業者に知られている他の任意の絶縁層などの電気絶縁層によって分離された他の任意のアクティブ及びパッシブの電子デバイスを含む。少なくとも幾つかの実施形態では、基板201は、メタライゼーション層を接続するように構成された相互接続、例えば、ビアを含む。一実施形態では、基板201は、バルク下部基板、中間絶縁層、及び上部単結晶層を含む、半導体・オン・アイソレータ(SOI)基板である。上部単結晶層は、例えばケイ素などの上に列挙したいずれかの材料を含みうる。
幾つかの実施形態では、デバイス層202が基板201上に堆積される。幾つかの実施形態では、デバイス層202は、特徴部203、204、及び205などの複数の特徴部を含む。図2Aに示されるように、基板201上の特徴部間にトレンチ131などの複数のトレンチが形成される。実施形態では、トレンチは、底部232と、対向する側壁233及び234とを有する。底部232は、特徴部204と205との間の基板201が露出した部分である。側壁233は特徴部205の側壁であり、側壁234は特徴部204の側壁である。幾つかの実施形態では、デバイス層202は、基板201上に形成された1つ以上の半導体フィンを含む。幾つかの実施形態では、例えば203、204、及び205などの特徴部は、例えば、図5に示されるトライゲートトランジスタ(トランジスタ500)などの複数のトランジスタを含むトライゲートトランジスタのアレイを形成するためのフィン構造である。
幾つかの実施形態では、特徴部203、204、及び205の高さは、おおよそ、約30nmから約500nm(ナノメートル)の範囲にある。幾つかの実施形態では、特徴部203と特徴部204との間の距離は、約2nmから約100nmまでである。
幾つかの実施形態では、デバイス層202は、限定はしないが、化学気相堆積(CVD)、例えば、プラズマ化学気相堆積(PECVD)、物理的気相堆積(PVD)、分子ビームエピタクシー(MBE)、有機金属化学気相堆積(MOCVD)、原子層堆積(ALD)、又は電子デバイス製造の当業者に知られている他の堆積技法などの1つ以上の堆積技法を使用して基板201上に堆積された1つ以上の層を含む。幾つかの実施形態では、デバイス層202の1つ以上の層は、特徴部203、204、及び205などの特徴部を形成するために、電子デバイス製造の当業者に知られているパターン化及びエッチング技法を使用してパターン化及びエッチングされる。一実施形態では、デバイス層202の特徴部の各々は、1つ以上の層のスタックである。一実施形態では、デバイス層202の特徴部は、例えば、トランジスタ、メモリ、キャパシタ、抵抗器、光電子デバイス、スイッチ、及び任意の他のアクティブ及びパッシブの電子デバイスなどの電子デバイスの特徴部である。
幾つかの実施形態では、デバイス層202の特徴部は、導電層を含む。一実施形態では、デバイス層202の特徴部は、金属、例えば、銅(Cu)、アルミニウム(Al)、インジウム(In)、スズ(Sn)、鉛(Pb)、銀(Ag)、アンチモン(Sb)、ビスマス(Bi)、亜鉛(Zn)、カドミウム(Cd)、金(Au)、ルテニウム(Ru)、ニッケル(Ni)、コバルト(Co)、クロム(Cr)、鉄(Fe)、マンガン(Mn)、チタン(Ti)、ハフニウム(Hf)、タンタル(Ta)、タングステン(W)、バナジウム(V)、モリブデン(Mo)、バナジウム(Pd)、金(Au)、白金(Pt)、ポリシリコン、電子デバイス製造の当業者に知られている他の導電層、又はそれらの任意の組合せを含む。
図2Aに示されるように、保護層215は、任意選択的に、デバイス層202の特徴部の上に堆積される。実施形態では、保護層215は、図2Aに示されるように、デバイス層202の特徴部の各々の上部216などの上部を覆う。幾つかの実施形態では、保護層215は、その後の段階での処理からデバイス層202の特徴部を保護するように堆積される。幾つかの実施形態では、デバイス層202の特徴部は、ケイ素の特徴部である。幾つかの実施形態では、保護層215はハードマスク層である。幾つかの実施形態では、保護層は、上部及び側壁、例えば、デバイス層202の特徴部の各々の側壁217及び側壁218を覆う。幾つかの実施形態では、保護層215は、例えば、窒化ケイ素、窒化チタンなどの窒化物層、例えば、酸化ホウ素層、ホウ素をドープされたガラス層、酸化ケイ素層などの酸化物層、他の保護層、又はそれらの任意の組合せである。幾つかの実施形態では、保護層215の厚さは、約2nmから約50nmまでである。
幾つかの実施形態では、保護層215は、限定はしないが、化学気相堆積(CVD)、例えば、プラズマ化学気相堆積(PECV”)、物理的気相堆積(PVD)、分子ビームエピタクシー(MBE)、有機金属化学気相堆積(MOCVD)、原子層堆積(ALD)、又は電子デバイス製造の当業者に知られている他の堆積技法などの1つ以上の堆積技法を使用して堆積することができる。
図2Bは、本開示による電子デバイス構造210の側面図を示している。実施形態では、電子デバイス構造210は、基板201を含む。図2Bは、流動性層206がデバイス層202の特徴部の上に堆積された後のデバイスを示している。幾つかの実施形態では、流動性層206は、デバイス層の特徴部の上部、側壁、及び底部232などのトレンチの底部上に堆積された任意選択的な保護層215を覆う。幾つかの実施形態では、流動性層206は、保護層215なしに、デバイス層202の特徴部の上部及び側壁上に直接堆積される。幾つかの実施形態では、流動性層206は、基板201の一部に堆積され、デバイス層202の特徴部間の空間を埋める。一実施形態では、流動性層206は誘電体層である。幾つかの実施形態では、流動性層206の堆積されたままの密度は、例えば、約1.5g/cm以下である。幾つかの実施形態では、流動性層206の密度は、本開示の方法によって、例えば、1.5g/cmを超える量まで増加する。概して、材料の密度とは、単位体積あたりの材料の質量(質量を体積で割った商)である。幾つかの実施形態では、流動性層206はポアを有する(図示せず)。幾つかの実施形態では、材料中のポアとは、検討される材料以外の何か(例えば、空気、真空、液体、固体、若しくは気体又はガス状混合物)を含む領域を意味し、その結果、流動性層の密度は位置に応じて変化する。
幾つかの実施形態では、流動性層206は、例えば、酸化ケイ素(例えば、SiO)、酸化アルミニウム(Al)、又は他の酸化物層などの酸化物層、例えば、窒化ケイ素(例えば、Si)、又は他の窒化物層などの窒化物層、炭化物層(例えば、炭素、SiOC)、又は他の炭化物層、酸窒化物層(例えば、SiON)、又はそれらの任意の組合せである。
幾つかの実施形態では、流動性層206は、ケイ素含有材料の流動性層として開発された流動性のCVD膜であり、堆積されたままの膜は、通常、Si--H、Si--N、及び--NH結合を含む。次に、膜は、本開示に従って、硬化及びアニーリングによって、酸化環境でSi--Oネットワークへと変換される。
一実施形態では、流動性層206は、米国カリフォルニア州サンタクララ所在のApplied Materials,Inc.社によって開発された1つ以上の流動性化学気相堆積(FCVD)堆積技法、又は電子デバイス製造の当業者に知られている他のFCVD堆積技法を使用して堆積される。幾つかの実施形態では、流動性層206の厚さは約30nmから約500nmまでである。幾つかの実施形態では、流動性層206の厚さは約40nmから約100nmまでである。
幾つかの実施形態では、流動性層206は間隙充填層として機能する。幾つかの実施形態では、流動性層206は、基板のある部分上では間隙充填層として機能し、基板の他の部分上ではハードマスク層として機能する。幾つかの実施形態では、流動性層206は、5:1又は20:1などの高アスペクト比(高さの幅に対する比)の特徴部における間隙充填層として機能し、該特徴部は、20ナノメートル未満の幅を有する。
これより図2Cを参照すると、酸素ラジカル(O)211が、本開示の幾つかの実施形態による流動性層206と接触している。幾つかの実施形態では、基板上に配置されたケイ素含有材料の流動性層は、ケイ素含有材料の流動性層をアニール及び/又はその密度を増加させるのに十分な条件下で、ラジカル(O)211などの複数の酸素ラジカルと接触する。非限定的なケイ素含有材料は、酸化物層、窒化物層、炭化物層、酸窒化物層、又はそれらの組合せを含む。幾つかの実施形態では、ケイ素含有材料の流動性層は、酸化ケイ素(SiO)、酸窒化ケイ素(SiON)、窒化ケイ素(Si)、又は酸炭化ケイ素(SiOC)を含む。幾つかの実施形態では、ケイ素含有材料の流動性層は、10mTorrから20Torrまでの圧力で複数の酸素ラジカルと接触する。幾つかの実施形態では、ケイ素含有材料の流動性層は、摂氏100度から摂氏700度までの温度で複数の酸素ラジカルと接触する。幾つかの実施形態では、ケイ素含有材料の流動性層は、10秒から10分までなど、最大で10分の時間、複数の酸素ラジカルと接触する。幾つかの実施形態では、複数の酸素ラジカルは、ケイ素含有材料の流動性層の上部及び底部に浸透し、ケイ素含有材料の流動性層に取り込まれる。幾つかの実施形態では、流動性層206は、酸素ラジカル(O)によって酸化されて、デバイス層202の特徴部間に絶縁領域を形成する。幾つかの実施形態では、流動性層206は、酸素ラジカル(O)で処理されて、シャロートレンチ絶縁(STI)領域を形成する。幾つかの実施形態では、ケイ素含有材料の流動性層206は、該ケイ素含有材料の流動性層をアニールし、その密度を増加させるのに十分な条件下で、酸素ラジカル(O)211などの複数の酸素ラジカルとともに基板201上に配置される。
幾つかの実施形態では、複数の酸素ラジカルが、酸素(O)、水素(H)、又は窒素(N)のうちの1つ以上を含む反応ガス内に配置される。例えば、反応ガスは、酸素と混合された水素、又は窒素と混合された水素の混合物を含みうる。実施形態では、酸素ラジカルを含む反応ガスは、最大95%の水素をさらに含む。
図2Dを参照すると、酸素ラジカル(O)211などの複数の酸素ラジカルを本開示の実施形態による流動性層206に注入することが示されている。図2Dに示されるように、酸素ラジカル(O)211などの複数の酸素ラジカルが、流動性層206に供給される。幾つかの実施形態では、酸素ラジカル(O)211などの複数の酸素ラジカルは、酸素ラジカルのみを含む。実施形態では、流動性層206の1/3の部分、上半分、又は上2/3の部分に酸素ラジカル(O)211などの複数の酸素ラジカルが浸透するか、又は取り込まれる。幾つかの実施形態では、複数の酸素ラジカルとして、例えば酸素ラジカル(O)211が、流動性層206の全体にわたって浸透するのに十分な条件下で供給される。幾つかの実施形態では、酸素ラジカル(O)211などの複数の酸素ラジカルが、流動性層206の全体にわたって注入され、取り込まれるのに十分な条件下で供給される。
幾つかの実施形態では、複数の酸素ラジカルとして、例えば酸素ラジカル(O)211が、流動性層206の密度を増加させるのに十分な条件下で供給される。幾つかの実施形態では、酸素ラジカル(O)211は、流動性層206の密度を増加させるのに十分な量かつ適した条件下で供給される。幾つかの実施形態では、密度は、該密度の変化を示すウェットエッチング速度比(WERR)などのプロキシを含む、当技術分野で知られている技法によって測定可能である。幾つかの実施形態では、本開示によれば、ケイ素含有材料の処理された流動性層が形成され、0~2分のエッチング持続時間後、希HF中で約9、又は約10、又は約9~10のウェットエッチング速度比(WERR)を有する。実施形態では、ウェットエッチング速度比は、希HF(例えば、1:100のHF)を使用して、熱酸化ケイ素膜に関して測定される。
これより図3を参照すると、本開示の幾つかの実施形態による半導体デバイスを製造する方法のフロー図が示されている。実施形態では、該方法300は、ケイ素含有材料の流動性層をアニール及び/又はその密度を増加させるのに十分な条件下で、基板上に配置されたケイ素含有材料の流動性層を複数の酸素ラジカルと接触させることを含む処理シーケンス302を含む。幾つかの実施形態では、該方法は、窒化ケイ素層をガス状酸素ラジカルと接触させることを含む。方法300には示されていないが、該方法は、任意選択的に、基板支持体上に基板を位置づけることを含んでよく、該基板支持体は、図1に示される処理チャンバなどの処理チャンバの処理容積に配置される。幾つかの実施形態では、基板は、その表面に堆積された窒化ケイ素層を特徴とする。幾つかの実施形態では、最大で10分の時間にわたって、摂氏100度から摂氏700度までの温度、及び10mTorrから20Torrまでの圧力で実施される。実施形態では、複数の酸素ラジカルは、ケイ素含有材料の流動性層の上部及び底部に浸透するのに適した量及び条件下で適用される。幾つかの実施形態では、ケイ素含有材料の流動性層を複数の酸素ラジカルと接触させることは、急速熱処理チャンバ内で実施される。幾つかの実施形態では、複数の酸素ラジカルが反応ガス内に配置され、該反応ガスは、酸素、水素、窒素、又はそれらの組合せのうちの1つ以上を含む。
幾つかの実施形態では、ケイ素含有材料は、基板の表面に形成された複数の開口部に少なくとも部分的に配置される。幾つかの実施形態では、複数の開口部は、2:1を超える、例えば、5:1を超える、10:1を超える、20:1を超える、例えば25:1を超える、アスペクト比(深さ対幅の比)を有する。幾つかの実施形態では、開口部の幅は、約22nm未満、例えば約16nm未満、又は約1nmから約20nmの間、例えば約10nmから約20nmの間である。
これより図4を参照すると、本開示の幾つかの実施形態による半導体デバイスを製造する方法400のフロー図が示されている。処理シーケンス402では、ケイ素含有材料の流動性層は、基板上の1つ以上の特徴部の上に堆積される。幾つかの実施形態では、窒化ケイ素層、例えば、ポリシラザン層は、流動性化学気相堆積(FCVD)プロセスを使用して堆積される。幾つかの実施形態では、FCVDプロセスは、ケイ素含有材料層のラジカルをベースとした処理と同じ処理チャンバ内で実施される。幾つかの実施形態では、FCVDプロセスは、ケイ素含有材料のラジカルをベースとした処理に用いられる処理チャンバとは異なる処理チャンバ内で実施される。
幾つかの実施形態では、処理シーケンス402は、1つ以上のケイ素前駆体を処理容積内へと流し込み、基板を1つ以上のケイ素前駆体に曝露し、処理容積内に1つ以上の共反応物を供給し、かつ基板を1つ以上の共反応物に曝露するなどのFCVDプロセスを含みうる。幾つかの実施形態では、基板を1つ以上のケイ素前駆体に曝露すること、及び基板を1つ以上の共反応物に曝露することは、順次、同時に、又はそれらの組合せで行われる。
幾つかの実施形態では、FCVDが実施され、処理容積の圧力は、望ましくは、約10mTorrから約10Torrの間、例えば約6Torr未満、例えば約5Torr未満、又は約0.1Torrから約4Torrの間、例えば約0.5Torrから約3Torrの間で維持される。幾つかの実施形態では、基板は、望ましくは、摂氏約0度から摂氏約400度の間、又は摂氏約200度未満、又は摂氏約-10度から摂氏約75度の間の温度で維持される。
幾つかの実施形態では、1つ以上のケイ素前駆体は、シラン化合物、例えばシラン(SiH)、ジシラン(Si)、トリシラン(Si)、及びテトラシラン(Si10)、又はそれらの組合せを含む。他の幾つかの実施形態では、ケイ素前駆体は、少なくとも1つのSi--N--Si官能基を有するシラザン化合物、例えば、N,N’ジシリルトリシラザン(A)、他のシラザン化合物、例えばシラザン化合物、例えばトリシリルアミン(TSA)など、又はそれらの組合せを含む。幾つかの実施形態では、ケイ素前駆体は、1つ以上のシラン化合物と1つ以上のシラザン化合物との組合せを含む。
幾つかのFCVDの実施形態では、容量結合プラズマは、図1に記載されるプレナム122内で着火され、維持される遠隔プラズマ128など、シャワーヘッドとチャンバリッドとの間の処理容積内で着火され、維持されるガスから形成される。幾つかの実施形態では、上述したFCVDプロセスは、望ましくは、基板の表面に形成された高アスペクト比の開口部のボトムアップ充填を可能にする、流動性の酸化ケイ素膜又は窒化ケイ素膜を提供する。例えば、FCVDプロセスを使用して、20nm未満の幅及び約10:1を超えるアスペクト比を有する開口部に充填することができる。幾つかの実施形態では、基板は、摂氏約200度未満の温度で維持される。
処理シーケンス404において、方法400は、ケイ素含有材料の流動性層の実質的に全体に酸素ラジカルを注入して、ケイ素含有材料の流動性層をアニールし、及び/又はその密度を増加させることを含む。幾つかの実施形態では、処理シーケンス404は、FCVDで堆積されたケイ素流動性層を酸素ラジカルに曝露して、処理されたケイ素層を形成することを含む。幾つかの実施形態では、ケイ素層のFCVD堆積及びFCVD堆積されたケイ素層の酸素ラジカルへの曝露は、同じ処理チャンバで行われる。
幾つかの実施形態では、該方法400は、所望のケイ素含有材料の厚さに達するまで、ケイ素含有材料の流動性層の少なくとも一部を堆積し、次いで、少なくとも部分的に堆積されたケイ素含有材料の酸素ラジカルベースの処理の連続的な繰り返しを含む。典型的には、連続的な繰り返しは、ケイ素含有材料を所望の厚さまで堆積させ、その後、そのラジカルベースの処理を行う場合と比較して、結果的に得られる処理されたケイ素含有材料のより均一な高密度化及び化学量論を促進する。
幾つかの実施形態では、本開示は、基板上に配置されたケイ素含有材料の流動性層を、該ケイ素含有材料の流動性層をアニールし、その密度を増加させるのに十分な条件下で複数の酸素ラジカルと接触させることを含む、半導体デバイスを製造する方法に関する。幾つかの実施形態では、ケイ素含有材料の流動性層は、酸化物層、窒化物層、炭化物層、又は酸窒化物層を含む。幾つかの実施形態では、ケイ素含有材料の流動性層は、酸化ケイ素(SiO)、酸窒化ケイ素(SiON)、窒化ケイ素(Si)、又は酸炭化ケイ素(SiOC)を含む。幾つかの実施形態では、ケイ素含有材料の流動性層を複数の酸素ラジカルと接触させることは、10mTorrから20Torrまでの圧力で実施される。幾つかの実施形態では、ケイ素含有材料の流動性層を複数の酸素ラジカルと接触させることは、摂氏100度から摂氏700度までの温度で実施される。幾つかの実施形態では、ケイ素含有材料の流動性層を複数の酸素ラジカルと接触させることは、最大で10分の時間にわたって実施される。幾つかの実施形態では、複数の酸素ラジカルは、ケイ素含有材料の流動性層の上部及び底部に浸透する。幾つかの実施形態では、ケイ素含有材料の流動性層を複数の酸素ラジカルと接触させることは、急速熱処理チャンバ内で実施される。幾つかの実施形態では、ケイ素含有材料の流動性層を複数の酸素ラジカルと接触させる前に、ケイ素含有材料の流動性層は、オゾン及び水と接触させられる。
これより図5を参照すると、本開示の一実施形態によるトライゲートトランジスタ構造の斜視図が示されている。幾つかの実施形態では、フィン502を含むフィン層が基板501上に形成される。幾つかの実施形態では、フィン層は、A-A1軸に沿ったフィン502の断面図を表している。一実施形態では、トライゲートトランジスタ(トランジスタ500)は、複数のトライゲートトランジスタを含む、トライゲートトランジスタのアレイの一部である。幾つかの実施形態では、図2A~2Dに関して上に説明したように、1つの電子デバイスを基板501上の他のデバイスから絶縁するフィールド絶縁(例えば、STI)領域を提供するために、酸素ラジカル種の注入によって変更された流動性の誘電体層がフィン502に隣接した基板501上に形成される。幾つかの実施形態では、フィン502は、基板501の上面から突き出ている。フィン502は、任意のよく知られている半導体材料で形成することができる。幾つかの実施形態では、ゲート誘電体層(図示せず)は、フィン502の3つの側面に堆積される。幾つかの実施形態では、ゲート誘電体層は、フィン502の両側の側壁上及び上面に形成される。図5に示すように、ゲート電極506が、フィン502のゲート誘電体層上に堆積する。ゲート電極506は、図5に示されるように、フィン502のゲート誘電体層上及びその周囲に扇形に配置される。幾つかの実施形態では、図5に示されるように、フィン502内のゲート電極506の両側に、ドレイン領域505及びソース領域503が形成される。
幾つかの実施形態では、本開示は、基板上の1つ以上の特徴部の上にケイ素含有材料の流動性層を堆積させること;及び、ケイ素含有材料の流動性層をアニールし、その密度を増加させるためにケイ素含有材料の流動性層の実質的に全体に酸素ラジカルを注入又は取り込むことを含む、半導体デバイスを製造する方法に関する。幾つかの実施形態では、ケイ素含有材料の流動性層は、酸化ケイ素(SiO)、酸窒化ケイ素(SiON)、窒化ケイ素(Si)、酸炭化ケイ素(SiOC)、又はそれらの組合せを含む。幾つかの実施形態では、酸素ラジカルを注入することは、10mTorrから20Torrまでの圧力で実施される。幾つかの実施形態では、酸素ラジカルを注入することは、摂氏100度から摂氏700度までの温度で実施される。幾つかの実施形態では、酸素ラジカルを注入することは、最大で10分の時間にわたって実施される。幾つかの実施形態では、酸素ラジカルは、ケイ素含有材料の流動性層全体にわたって浸透する。幾つかの実施形態では、ケイ素含有材料の流動性層に酸素ラジカルを注入することは、急速熱処理チャンバ内で実施される。幾つかの実施形態では、酸素ラジカルをケイ素含有材料の流動性層に注入する前に、ケイ素含有材料の流動性層は、オゾン及び水と接触させられる。
幾つかの実施形態では、本開示は、基板上にケイ素含有材料の流動性層を含む基板を保持するためのペデスタルを含む処理チャンバ;該処理チャンバに結合された酸素ラジカル源;及び、該酸素ラジカル源に結合されたプロセッサを含む、電子デバイスを製造するための装置に関し、該プロセッサは、ケイ素含有材料の流動性層をアニールし、その密度を増加させるのに十分な条件を処理チャンバに提供するように構成される。幾つかの実施形態では、ケイ素含有材料の流動性層は、酸化ケイ素(SiO)、酸窒化ケイ素(SiON)、窒化ケイ素(Si)、酸炭化ケイ素(SiOC)、又はそれらの組合せを含む。幾つかの実施形態では、条件は、最大で10分の時間にわたって摂氏100度から摂氏700度までの温度、及び10mTorrから20Torrまでの圧力を含む。
幾つかの実施形態では、本開示は、実行されると、処理チャンバに、ケイ素含有材料の流動性層をアニールし、その密度を増加させるのに十分な条件下で、基板上に配置されたケイ素含有材料の流動性層を複数の酸素ラジカルと接触させることを含む、半導体デバイスを製造する方法を実施させる命令が格納されている、非一時的なコンピュータ可読媒体に関する。
幾つかの実施形態では、本開示は、ケイ素含有材料の流動性層をアニールし、その密度を増加させるのに十分な条件下で、基板上に配置されたケイ素含有材料の流動性層を複数の酸素ラジカルと接触させることを含む、ケイ素含有材料の流動性層を処理する方法に関する。
幾つかの実施形態では、本開示は、実行されると、処理チャンバに、ケイ素含有材料の流動性層をアニールし、その密度を増加させるのに十分な条件下で、基板上に配置されたケイ素含有材料の流動性層を複数の酸素ラジカルと接触させることを含むケイ素含有材料の流動性層を処理する方法を実施させる命令が格納されている、非一時的なコンピュータ可読媒体に関する。
上記は本開示の実施形態を対象とするが、本開示の基本的な範囲から逸脱することなく、本開示の他の実施形態及び更なる実施形態が考案されうる。

Claims (16)

  1. 半導体デバイスを製造する方法であって、
    基板上に配置されたケイ素含有材料の流動性層を、該ケイ素含有材料の流動性層をアニールし、その密度を増加させるのに十分な条件下で、複数の酸素ラジカルと接触させること
    を含む、方法。
  2. 前記ケイ素含有材料の流動性層が、酸化物層、窒化物層、炭化物層、酸窒化物層、又はそれらの組合せを含む、請求項1に記載の方法。
  3. 前記ケイ素含有材料の流動性層が、酸化ケイ素(SiO)、酸窒化ケイ素(SiON)、窒化ケイ素(Si)、酸炭化ケイ素(SiOC)、又はそれらの組合せを含む、請求項2に記載の方法。
  4. 前記ケイ素含有材料の流動性層を前記複数の酸素ラジカルと接触させることが、
    10mTorrから20Torrまでの圧力;
    摂氏100度から摂氏700度までの温度;又は
    最大で10分の時間
    のうちの少なくとも1つで実施される、請求項1から3のいずれか一項に記載の方法。
  5. 前記複数の酸素ラジカルが、前記ケイ素含有材料の流動性層の上部及び底部に浸透する、請求項1から3のいずれか一項に記載の方法。
  6. ケイ素含有材料の流動性層を複数の酸素ラジカルと接触させることが、急速熱処理チャンバ内で実施される、請求項1から3のいずれか一項に記載の方法。
  7. 前記複数の酸素ラジカルが、反応ガス内に配置され、該反応ガスが、酸素、水素、窒素、又はそれらの組合せのうちの1つ以上を含む、請求項1から3のいずれか一項に記載の方法。
  8. 基板の1つ以上の特徴部の上に前記ケイ素含有材料の流動性層を堆積させることをさらに含み、ここで、基板上に配置された前記ケイ素含有材料の流動性層を複数の酸素ラジカルと接触させることが、前記ケイ素含有材料の流動性層の実質的に全体に酸素ラジカルを注入して、前記ケイ素含有材料の流動性層をアニールし、その密度を増加させることを含む、
    請求項1から3のいずれか一項に記載の方法。
  9. 酸素ラジカルを注入することが、
    10mTorrから20Torrまでの圧力;
    摂氏100度から摂氏700度までの温度;又は
    最大で10分までの時間
    のうちの少なくとも1つで実施される、請求項8に記載の方法。
  10. 前記酸素ラジカルが、前記ケイ素含有材料の流動性層全体にわたって浸透する、請求項8に記載の方法。
  11. ケイ素含有材料の流動性層に酸素ラジカルを注入することが、急速熱処理チャンバ内で実施される、請求項8に記載の方法。
  12. 酸素ラジカルをケイ素含有材料の流動性層に注入する前に、前記ケイ素含有材料の流動性層がオゾン及び水と接触させられる、請求項8に記載の方法。
  13. 電子デバイスを製造するための装置であって、
    基板上にケイ素含有材料の流動性層を含む前記基板を保持するためのペデスタルを含む処理チャンバ;
    前記処理チャンバに結合された酸素ラジカル源;並びに
    前記処理チャンバ及び前記酸素ラジカル源に結合されたプロセッサであって、前記ケイ素含有材料の流動性層をアニールし、その密度を増加させるのに十分な条件を、前記処理チャンバに提供するように構成されている、プロセッサ
    を含む、装置。
  14. 前記ケイ素含有材料の流動性層が、酸化ケイ素(SiO)、酸窒化ケイ素(SiON)、窒化ケイ素(Si)、酸炭化ケイ素(SiOC)、又はそれらの組合せを含み、前記条件が、最大で10分の時間にわたって摂氏100度から摂氏700度までの温度、及び10mTorrから20Torrまでの圧力を含む、請求項13に記載の装置。
  15. 前記プロセッサが、実行されると、請求項1から12のいずれか一項に記載の方法である、半導体デバイスを製造する方法を実施するように前記装置の前記動作を制御する命令を含むコンピュータ可読媒体を含む、請求項13に記載の装置。
  16. 実行されると、請求項1から12のいずれか一項に記載の方法である半導体デバイスを製造する方法を、処理チャンバに実施させる命令が格納されている、コンピュータ可読媒体。
JP2022527095A 2019-12-09 2020-11-30 酸素ラジカル支援による誘電体膜の高密度化 Pending JP2023504353A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/708,026 US20210175075A1 (en) 2019-12-09 2019-12-09 Oxygen radical assisted dielectric film densification
US16/708,026 2019-12-09
PCT/US2020/062540 WO2021118815A1 (en) 2019-12-09 2020-11-30 Oxygen radical assisted dielectric film densification

Publications (2)

Publication Number Publication Date
JP2023504353A true JP2023504353A (ja) 2023-02-03
JPWO2021118815A5 JPWO2021118815A5 (ja) 2023-12-07

Family

ID=76209063

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022527095A Pending JP2023504353A (ja) 2019-12-09 2020-11-30 酸素ラジカル支援による誘電体膜の高密度化

Country Status (6)

Country Link
US (1) US20210175075A1 (ja)
JP (1) JP2023504353A (ja)
KR (1) KR20220111258A (ja)
CN (1) CN114730697A (ja)
TW (1) TW202124764A (ja)
WO (1) WO2021118815A1 (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10755922B2 (en) * 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11862699B2 (en) * 2020-08-05 2024-01-02 Changxin Memory Technologies, Inc. Semiconductor structure and method for manufacturing same
US11551926B2 (en) * 2021-01-22 2023-01-10 Micron Technology, Inc. Methods of forming a microelectronic device, and related systems and additional methods
JP2023130026A (ja) * 2022-03-07 2023-09-20 東京エレクトロン株式会社 埋込方法及び処理システム

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4221526B2 (ja) * 2003-03-26 2009-02-12 キヤノンアネルバ株式会社 金属酸化物を基板表面上に形成する成膜方法
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
KR101030997B1 (ko) * 2009-10-16 2011-04-25 주식회사 아토 증착 장치 및 이를 이용한 갭필 방법
WO2011084752A2 (en) * 2010-01-07 2011-07-14 Applied Materials, Inc. In-situ ozone cure for radical-component cvd
US8846536B2 (en) * 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US20130288485A1 (en) * 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
US20150118863A1 (en) * 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
US9847222B2 (en) * 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US9508561B2 (en) * 2014-03-11 2016-11-29 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
US9412581B2 (en) * 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US20160079034A1 (en) * 2014-09-12 2016-03-17 Applied Materials Inc. Flowable film properties tuning using implantation
TWI670756B (zh) * 2014-12-22 2019-09-01 美商應用材料股份有限公司 藉由沉積調整來解決fcvd的線條彎曲
US9777378B2 (en) * 2015-01-07 2017-10-03 Applied Materials, Inc. Advanced process flow for high quality FCVD films
CN117524976A (zh) * 2017-05-13 2024-02-06 应用材料公司 用于高质量间隙填充方案的循环可流动沉积和高密度等离子体处理处理
US10600684B2 (en) * 2017-12-19 2020-03-24 Applied Materials, Inc. Ultra-thin diffusion barriers
KR20200104923A (ko) * 2018-01-26 2020-09-04 어플라이드 머티어리얼스, 인코포레이티드 실리콘 질화물 박막들을 위한 처리 방법들
US20230042726A1 (en) * 2021-08-06 2023-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Flowable Chemical Vapor Deposition (FcvD) Using Multi-Step Anneal Treatment and Devices Thereof

Also Published As

Publication number Publication date
TW202124764A (zh) 2021-07-01
KR20220111258A (ko) 2022-08-09
WO2021118815A1 (en) 2021-06-17
US20210175075A1 (en) 2021-06-10
CN114730697A (zh) 2022-07-08

Similar Documents

Publication Publication Date Title
JP7447004B2 (ja) 窒化ケイ素の薄膜のための処理方法
US11114333B2 (en) Method for depositing and reflow of a high quality etch resistant gapfill dielectric film
JP6678166B2 (ja) 注入を用いた流動性膜特性のチューニング
JP2023504353A (ja) 酸素ラジカル支援による誘電体膜の高密度化
US9390914B2 (en) Wet oxidation process performed on a dielectric material formed from a flowable CVD process
US9209243B2 (en) Method of forming a shallow trench isolation structure
TWI373824B (en) Method of fabricating a silicon nitride stack
US7851385B2 (en) Low temperature conformal oxide formation and applications
KR102117581B1 (ko) 게이트 라스트 프로세스에서의 선택적 하이 k 형성
US20050112876A1 (en) Method to form a robust TiCI4 based CVD TiN film
US20230187276A1 (en) Method of dielectric material fill and treatment
TWI807009B (zh) 半導體裝置的形成方法
CN116982139A (zh) 使用脉冲高频射频(hfrf)等离子体的间隙填充工艺
CN109786254B (zh) 后栅极工艺中的选择性高k形成
JP2008235397A (ja) 半導体装置の製造方法
JP2002530885A (ja) 半導体製造における金属形状のパターニングのためのシランベース酸化物反射防止膜
JP2005277285A (ja) 半導体装置の製造方法
US20120122320A1 (en) Method Of Processing Low K Dielectric Films

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231129

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20231129