CN114730697A - 氧自由基辅助的介电膜致密化 - Google Patents

氧自由基辅助的介电膜致密化 Download PDF

Info

Publication number
CN114730697A
CN114730697A CN202080078830.XA CN202080078830A CN114730697A CN 114730697 A CN114730697 A CN 114730697A CN 202080078830 A CN202080078830 A CN 202080078830A CN 114730697 A CN114730697 A CN 114730697A
Authority
CN
China
Prior art keywords
silicon
containing material
material layer
flowable
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202080078830.XA
Other languages
English (en)
Inventor
沙善·夏尔马
刘炜
孙颙
普拉卡·普拉卡什·杰哈
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN114730697A publication Critical patent/CN114730697A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/336Changing physical properties of treated surfaces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Element Separation (AREA)

Abstract

本文中的实施方式提供了对使用可流动化学气相沉积(FCVD)工序来沉积的含硅材料层进行基于氧自由基的处理。对FCVD沉积的含硅材料层进行基于氧自由基的处理合乎需要地增加了稳定的Si‑‑O键的数量、移除了不合需要的氢和氮的不纯物、并且在处理的含硅材料层中提供了进一步的致密化和极好的膜品质。实施方式包括用于制造半导体装置的方法和设备,其包括:在足以使可流动的含硅材料层退火和增加可流动的含硅材料层的密度的条件下,使设置在基板上的可流动的含硅材料层与多个氧自由基接触。

Description

氧自由基辅助的介电膜致密化
技术领域
本公开内容的实施方式大体涉及电子装置制造和修改介电层的性质的领域。
背景技术
介电材料在半导体工业中广泛地用于生产尺寸不断减少的电子装置。一般而言,将介电材料用作间隙填充膜、浅沟槽绝缘(shallow trench insulation,STI)、过孔填充(via fill)、掩模、栅极电介质、或用作其他的电子装置特征。
介电材料一般包括含硅材料(诸如二氧化硅(SiO2)),且可以使用可流动化学气相沉积(FCVD)工序由前驱物形成为可流动材料。在与使用常规方法来沉积的含硅材料层相比时,使用FCVD工序来沉积的可流动的含硅材料工序(例如含硅材料层)一般会提供高纵横比(aspect ratio)特征的改善的间隙填充性能。然而,发明人已经发现,一般藉由FCVD工序来提供的含硅材料层有问题地包括Si-H、Si-NH键和碳键,从而在形成氧化硅材料时导致较低或不良的氧化硅层膜密度。
虽然可以在沉积可流动的含硅材料之后进一步处理所述可流动的含硅材料,但发明人已经发现,处理方法会由于离子轰击而产生损伤基板上的下伏(underlying)特征和材料的风险,或在其他情况下不适于处理设置在高纵横比的开口中的含硅材料。例如,高温退火可能诱发导致膜破裂、剥落、或两者的膜收缩和应力,从而阻碍了深沟槽和过孔填充应用中的介电膜形成。
因此,需要处理沉积的可流动含硅材料以实现期望的密度和/或其他期望的材料性质的改善方法。
发明内容
本文中提供了包括处理可流动的含硅材料的用于制造半导体装置的方法和设备。在一些实施方式中,一种制造半导体装置的方法包括以下步骤:在足以使可流动的含硅材料层退火和增加所述可流动的含硅材料层的密度的条件下,使设置在基板上的所述可流动的含硅材料层与多个氧自由基接触。
在一些实施方式中,一种制造半导体装置的方法包括以下步骤:将可流动的含硅材料层沉积在基板上方的一个或更多个特征上方;和将氧自由基注入(implanting)或混合(incorporating)到实质上整个所述可流动的含硅材料层,以使所述可流动的含硅材料层退火和增加所述可流动的含硅材料层的密度。
在一些实施方式中,一种用来制造电子装置的设备包括:处理腔室,所述处理腔室包括基座以保持基板,所述基板包括位于所述基板上方的可流动的含硅材料层;氧自由基源,所述氧自由基源耦接至所述处理腔室;和处理器,所述处理器耦接至所述处理腔室和所述氧自由基源,其中所述处理器被配置为在所述处理腔室中提供足以使所述可流动的含硅材料层退火和增加所述可流动的含硅材料层的密度的条件。
于下文中描述本公开内容的其他和另外的实施方式。
附图说明
可以藉由参照描绘于附图中的本公开内容的说明性实施方式来理解本公开内容的实施方式,这些实施方式在上文被简要概述且于下文被更详细地论述。然而,附图仅绘示本公开内容的典型实施方式且因此不被视为范围的限制,因为本公开内容可以允许其他同等有效的实施方式。
图1是用于本公开内容的方法中的处理腔室的示意性截面图。
图2A是根据本公开内容用于处理的半导体基板的侧视图。
图2B是根据本公开内容的实施方式沉积在半导体基板的特征上方的可流动层的侧视图。
图2C示出根据本公开内容的实施方式与可流动层接触的氧自由基。
图2D示出根据本公开内容的实施方式将氧自由基注入或混合到可流动层中。
图3是根据本公开内容的一些实施方式制造半导体装置的流程图。
图4是根据本公开内容的一些实施方式制造半导体装置的实施方式的流程图。
图5是根据本公开内容的一个实施方式的三栅极晶体管结构的透视图。
为了便于理解,已尽可能使用相同的参考标号来标示各图共有的相同元件。各图并不是按比例绘制的,且可以为了明确起见而简化各图。可以在不另外详述的情况下有益地将一个实施方式的元件和特征并入其他实施方式。
具体实施方式
本文中所描述的实施方式大体涉及用于对设置在基板表面上的含硅材料层进行基于氧自由基的处理的方法,例如涉及用于对已经使用可流动化学气相沉积(FCVD)工序来沉积的含硅材料层进行基于氧自由基的处理的方法。在与使用常规方法来沉积的含硅材料层相比时,使用FCVD工序来沉积的可流动的含硅材料工序(诸如氧化硅层)一般会提供高纵横比特征的改善的间隙填充性能。然而,发明人已经发现,可以在足以使可流动的含硅材料层退火和增加所述可流动的含硅材料层的密度的条件下执行使可流动的含硅材料层与多个氧自由基接触的步骤。氧自由基是反应性的,且适于深度渗透可流动的含硅材料层,从而藉由减少或消除Si-H、Si-NH、或碳键而导致改善的膜品质。进一步地,发明人已经观察到,可以减少或消除由导致膜收缩和应力的常规处理(诸如离子轰击或高温退火)所引起的损伤基板上的下伏特征和材料的风险。
图1是适用于本公开内容的方法中的处理腔室的示意性截面图。在一些实施方式中,处理腔室100包括共同界定处理容积120的腔室盖组件101、一个或更多个侧壁102、和腔室基部104。腔室盖组件101包括腔室盖103、喷头112、和电绝缘环105,所述电绝缘环105设置在腔室盖103与喷头112之间,这界定了增压室(plenum)122。设置通过腔室盖103的气体入口114流体耦接至气源106。在一些实施方式中,气体入口114进一步地流体耦接至远程等离子体源107。具有多个开口118的喷头112用来将处理气体或氧自由基从增压室122通过所述多个开口118均匀地分布到处理容积120中,所述多个开口被设置通过所述喷头。
在一些实施方式中,在将开关144设置在第一位置(如图所示)时,电源142(诸如RF或VHF电源)经由所述开关电耦接至腔室盖。在将开关设置在第二位置(未示出)时,电源142电耦接至喷头112。在开关144处于第一位置时,电源142用来点燃并维持相对于基板115位于远程的第一等离子体(诸如设置在增压室122中的远程等离子体128)。远程等离子体128由流动到增压室中的处理气体组成,且藉由将来自电源142的功率与所述远程等离子体电容耦合而维持为等离子体。在开关144处于第二位置时,电源142用来点燃并维持喷头112与设置在基板支撑件127上的基板115之间的处理容积120中的第二等离子体(未示出)。
在一些实施方式中,处理容积120通过真空出口113流体耦接至真空源(诸如一个或更多个专用真空泵),这将处理容积120维持在亚大气(sub-atmospheric)条件下并从所述处理容积抽空处理气体和其他气体。设置在处理容积120中的基板支撑件127被设置在支撑轴124上,所述支撑轴密封地延伸通过腔室基部104,诸如在腔室基部104下方的区域中被波纹管(未示出)环绕。支撑轴124耦接至控制马达以升起和降低支撑轴124的控制器140和设置在所述支撑轴上的基板支撑件127,以在基板115的处理期间支撑所述基板并将基板115向处理腔室100传送和从所述处理腔室传送基板115。
将基板115通过所述一个或更多个侧壁102之一中的开口126装载到处理容积120中,所述开口在基板115处理期间常规上用门或阀(未示出)密封。在本文中,使用常规的升降销系统(未示出)将基板115向基板支撑件127的表面及从所述表面传送,所述升降销系统包括可移动地设置通过基板支撑件的多个升降销(未示出)。一般而言,所述多个升降销从其下方由升降销箍状物(lift pin hoop)(未示出)接触并移动以延伸于基板支撑件127的表面上方,从而从所述表面提升基板115并允许由机械手搬运器(robot handler)接取。在升降销箍状物(未示出)处于降下位置时,所述多个升降销的顶部被定位为与基板支撑件127的表面和搁置在所述表面上的基板齐平或位于所述表面和所述基板下方。基板支撑件在下部位置与升起位置之间可移动,所述下部位置位于开口126下方且用于将基板安置在所述基板支撑件上或从所述基板支撑件移除基板115,所述升起位置用于基板115的处理。在一些实施方式中,使用设置在基板支撑件中的电阻式加热元件129和/或一个或更多个冷却通道137将基板支撑件127和设置在所述基板支撑件上的基板115维持在期望的处理温度下。一般而言,冷却通道137流体耦接至冷却剂源133(诸如具有相对较高的电阻的改性的水源,或制冷剂源)。在一些实施方式中,将基板设置在快速热处理腔室内,其中将灯配置为快速加热基板。在一些实施方式中,将快速热处理腔室配置为用于执行根据本公开内容的方法,诸如在足以使可流动的含硅材料层退火和增加所述可流动的含硅材料层的密度的条件下,使设置在基板上的可流动的含硅材料层与多个氧自由基接触。适于根据本公开内容的配置的快速热处理腔室的非限制性示例包括适于在短时间内将基板加热到预定温度的处理腔室。在一些实施方式中,加热系统包括光源,所述光源被设置为使得由所述光源所发射(诸如来自灯)的光能量接触并加热基板的材料表面。在一些实施方式中,根据本公开内容将基板设置在处理腔室(诸如从位于加州圣克拉拉市的应用材料公司可取得的
Figure BDA0003640471650000051
RTP腔室)内并暴露于退火工序。在实施方式中,可以将退火腔室配置为使得可以使基板退火而不会使所述基板暴露于周围环境。
在一些实施方式中,处理腔室100进一步耦接至远程等离子体源107,所述远程等离子体源向处理容积120提供氧自由基。一般而言,远程等离子体源(RPS)包括电感耦合等离子体(ICP)源、电容耦合等离子体(CCP)源、或微波等离子体源。在一些实施方式中,远程等离子体源是独立的RPS单元。在其他的实施方式中,远程等离子体源是与处理腔室100流体连通的第二处理腔室。在其他的实施方式中,远程等离子体源是在腔室盖103与喷头112之间的增压室122中点燃和维持的远程等离子体128。在一些其他的实施方式中,从基于非等离子体的自由基源(诸如UV源,所述UV源使用UV辐射来使第一气体光解离成所述第一气体的自由基物种,或热线源(诸如热线CVD(HWCVD)腔室),所述热线源使用热分解来将第一气体解离成所述第一气体的自由基物种)向处理腔室提供气态处理自由基。
图2A是根据本公开内容的电子装置结构200的侧视图。在实施方式中,电子装置结构200包括基板201。在一些实施方式中,基板201包括半导体材料,例如硅(Si)、锗(Ge)、硅锗(SiGe)、基于III-V族材料的材料、或上述项目的任何组合。在一个实施方式中,基板201包括集成电路的金属化互连层。在一个实施方式中,基板201包括电子装置,例如晶体管、存储器、电容器、电阻器、光电子装置、开关、和被电绝缘层(例如层间电介质、沟槽绝缘层、或电子装置制造领域中的普通技术人员已知的任何其他绝缘层)分离的任何其他有源和无源的电子装置。在至少一些实施方式中,基板201包括配置为连接金属化层的互连结构(例如过孔)。在一个实施方式中,基板201是隔离器上半导体结构(semiconductor-on-isolator,SOI)基板,所述基板包括本体下基板(bulk lower substrate)、中间绝缘层、和顶部单晶层。顶部单晶层可包括上文所列举的任何材料,例如硅。
在一些实施方式中,将装置层202沉积于基板201上。在一些实施方式中,装置层202包括多个特征,诸如特征203、204、和205。如图2A中所示,将多个沟槽(诸如沟槽131)形成于基板201上以及特征之间。在实施方式中,沟槽具有底部232和相对的侧壁233和234。底部232是特征204与205之间的基板201的暴露部分。侧壁233是特征205的侧壁,而侧壁234则是特征204的侧壁。在一些实施方式中,装置层202包括形成于基板201上的一个或更多个半导体鳍片。在一些实施方式中,特征(例如203、204、和205)是鳍片结构以形成例如三栅极晶体管阵列,所述三栅极晶体管阵列包括多个晶体管,诸如图5中所示的三栅极晶体管(晶体管500)。
在一些实施方式中,特征203、204、和205的高度是在从约30nm至约500nm(纳米)的近似范围内。在一些实施方式中,特征203与204之间的距离为从约2nm至约100nm。
在一些实施方式中,装置层202包括使用一种或更多种沉积技术(诸如但不限于化学气相沉积(CVD)(例如等离子体增强化学气相沉积(PECVD))、物理气相沉积(PVD)、分子束外延(MBE)、金属有机化学气相沉积(MOCVD)、原子层沉积(ALD)、或电子装置制造领域中的普通技术人员已知的其他沉积技术)来沉积于基板201上的一个或更多个层。在一些实施方式中,使用电子装置制造领域中的普通技术人员已知的图案化和蚀刻技术将装置层202的所述一个或更多个层图案化和蚀刻以形成特征(诸如特征203、204、和205)。在一个实施方式中,装置层202的特征的每一者均是一个或更多个层的堆叠。在一个实施方式中,装置层202的特征是电子装置(例如晶体管、存储器、电容器、电阻器、光电子装置、开关、和任何其他有源和无源的电子装置)的特征。
在一些实施方式中,装置层202的特征包括导电层。在一些实施方式中,装置层202的特征包括金属(例如铜(Cu)、铝(Al)、铟(In)、锡(Sn)、铅(Pb)、银(Ag)、锑(Sb)、铋(Bi)、锌(Zn)、镉(Cd)、金(Au)、钌(Ru)、镍(Ni)、钴(Co)、铬(Cr)、铁(Fe)、锰(Mn)、钛(Ti)、铪(Hf)、钽(Ta)、钨(W)、钒(V)、钼(Mo)、钯(Pd)、金(Au)、铂(Pt))、多晶硅、电子装置制造领域中的普通技术人员已知的其他导电层、或上述项目的任何组合。
如图2A中所示,可选地将保护层215沉积在装置层202的特征上方。在实施方式中,保护层215覆盖装置层202的特征的每一者的顶部(诸如顶部216),如图2A中所示。在一些实施方式中,沉积保护层215以保护装置层202的特征以免受到随后阶段的处理的影响。在一些实施方式中,装置层202的特征是硅特征。在一些实施方式中,保护层215是硬掩模层。在一些实施方式中,保护层覆盖装置层202的特征的每一者的顶部和侧壁(诸如侧壁217和侧壁218)。在一些实施方式中,保护层215是氮化物层(例如氮化硅、氮化钛)、氧化物层(例如氧化硼层、硼掺杂的玻璃层、氧化硅层)、其他保护层、或上述项目的任何组合。在一些实施方式中,保护层215的厚度为从约2nm55约50nm。
在一些实施方式中,可以使用一种或更多种沉积技术(诸如但不限于化学气相沉积(CVD)(例如等离子体增强化学气相沉积(PECVD))、物理气相沉积(PVD)、分子束外延(MBE)、金属有机化学气相沉积(MOCVD)、原子层沉积(ALD)、或电子装置制造领域中的普通技术人员已知的其他沉积技术)来沉积保护层215。
图2B示出根据本公开内容的电子装置结构210的侧视图。在实施方式中,电子装置结构210包括基板201。图2B示出将可流动层206沉积在装置层202的特征上方之后的装置。在一些实施方式中,可流动层206覆盖沉积于装置层的特征的顶部、侧壁上的可选保护层215和沟槽的底部(诸如底部232)。在一些实施方式中,在不存在保护层215的情况下将可流动层206直接沉积在装置层202的特征的顶部和侧壁上。在一些实施方式中,将可流动层206沉积于基板201的部分上,从而填充在装置层202的特征之间的空间中。在一个实施方式中,可流动层206是介电层。在一些实施方式中,可流动层206的初沉积密度为例如小于或为约1.5g/cm3。在一些实施方式中,藉由本公开内容的方法来增加可流动层206的密度,诸如增加到大于1.5g/cm3的量。一般而言,材料的密度指的是每单位体积的材料质量(质量除以体积)。在一些实施方式中,可流动层206具有孔(未示出)。在一些实施方式中,材料中的孔指的是含有所考虑的材料以外的物质(例如空气、真空、液体、固体、或气体或气态混合物)使得可流动层的密度根据位置而变化的区域。
在一些实施方式中,可流动层206是氧化物层(例如氧化硅(例如SiO2)、氧化铝(Al2O3)、或其他氧化物层)、氮化物层(例如氮化硅(例如Si3N4)或其他氮化物层)、碳化物层(例如碳、SiOC、或其他碳化物层)、氮氧化物层(例如SiON)、或上述项目的任何组合。
在一些实施方式中,可流动层206是发展为可流动的含硅材料层的可流动的CVD膜,其中初沉积的膜一般含有Si--H、Si--N、和Si--NH键。然后根据本公开内容通过固化和退火在氧化环境中将膜转换成Si--O网络。
在一个实施方式中,使用由位于加州圣克拉拉市的应用材料公司开发的一种或更多种可流动化学气相沉积(FCVD)沉积技术或电子装置制造领域中的普通技术人员已知的其他FCVD沉积技术来沉积可流动层206。在一些实施方式中,可流动层206的厚度为从约30nm至约500nm。在一些实施方式中,可流动层206的厚度为从约40nm至约100nm。
在一些实施方式中,可流动层206充当间隙填充层。在一些实施方式中,可流动层206在基板的一部分上方充当间隙填充层,并在基板的其他部分上方充当硬掩模层。在一些实施方式中,可流动层206在高纵横比(高度比宽度)的特征(诸如5∶1或20∶1)中充当间隙填充层,其中所述特征具有小于20纳米的宽度。
现在参照图2C,氧自由基(O·)211根据本公开内容的一些实施方式接触可流动层206。在一些实施方式中,设置在基板上的可流动的含硅材料层在足以使可流动的含硅材料层退火和/或增加可流动的含硅材料层的密度的条件下与多个氧自由基(诸如自由基(O·)211)接触。非限制性的含硅材料包括氧化物层、氮化物层、碳化物层、氮氧化物层、或上述项目的组合。在一些实施方式中,可流动的含硅材料层包括氧化硅(SiO2)、氮氧化硅(SiON)、氮化硅(Si3N4)、或碳氧化硅(SiOC)。在一些实施方式中,可流动的含硅材料层在10毫托至20托的压力下与所述多个氧自由基接触。在一些实施方式中,可流动的含硅材料层在100摄氏度至700摄氏度的温度下与多个氧自由基接触。在一些实施方式中,可流动的含硅材料层与多个氧自由基接触达高达10分钟的持续时间,诸如10秒至10分钟。在一些实施方式中,所述多个氧自由基渗透通过可流动的含硅材料层的顶部和底部,并混合到可流动的含硅材料层中。在一些实施方式中,藉由氧自由基(O)来氧化可流动层206以在装置层202的特征之间形成绝缘区域。在一些实施方式中,藉由氧自由基(O·)来处理可流动层206以形成浅沟槽绝缘(shallow trench insulation,STI)区域。在一些实施方式中,在足以使可流动的含硅材料层退火和增加可流动的含硅材料层的密度的条件下,将可流动的含硅材料层206与多个氧自由基(诸如氧自由基(O·)211)一起设置在基板201上。
在一些实施方式中,将所述多个氧自由基设置在反应气体内,其中所述反应气体包括氧(O2)、氢(H2)、或氮(N2)之一或更多者。例如,反应气体可包括与氧混合的氢或与氮混合的氢的混合物。在实施方式中,包括氧自由基的反应气体可以进一步包括高达95%的氢。
参照图2D,示出了根据本公开内容的实施方式将多个氧自由基(诸如氧自由基(O·)211)注入到可流动层206。如图2D中所示,向可流动层206供应多个氧自由基(诸如氧自由基(O·)211)。在一些实施方式中,多个氧自由基(诸如氧自由基(O·)211)仅包括氧自由基。在实施方式中,多个氧自由基(诸如氧自由基(O·)211)渗透或混合到可流动层206的1/3部分、上半部、或上2/3部分中。在一些实施方式中,在足以渗透整个可流动层206的条件下供应作为多个氧自由基(诸如氧自由基(O·)211)。在一些实施方式中,在足以注入和混合到整个可流动层206的条件下供应多个氧自由基(诸如氧自由基(O·)211)。
在一些实施方式中,用足以增加可流动层206的密度的量供应作为多个氧自由基(诸如氧自由基(O·)211)。在一些实施方式中,用足以增加可流动层206的密度的量和在适于增加可流动层206的密度的条件下供应氧自由基(O·)211。在一些实施方式中,密度由本领域中的已知技术(包括诸如指示密度的改变的湿蚀刻速率比(WERR)的代理(proxies))可测量。在一些实施方式中,根据本公开内容,形成了处理的可流动的含硅材料层,且所述处理的可流动的含硅材料层在稀释的HF中在0-2分钟的蚀刻持续时间之后具有约9、或约10、或介于约9-10之间的湿蚀刻速率比(WERR)。在实施方式中,使用稀释的HF(例如1∶100的HF)相对于热氧化硅膜测量湿蚀刻速率比。
现在参照图3,示出了根据本公开内容的一些实施方式制造半导体装置的方法的流程图。在实施方式中,方法300包括工序序列302,其包括以下步骤:在足以使可流动的含硅材料层退火和/或增加可流动的含硅材料层的密度的条件下,使设置在基板上的可流动的含硅材料层与多个氧自由基接触。在一些实施方式中,所述方法包括以下步骤:使氮化硅层与气态氧自由基接触。虽然未示于方法300中,但所述方法也可以可选地包括以下步骤:将基板定位在基板支撑件上,其中将基板支撑件设置在处理腔室(诸如图1中所描述的处理腔室)的处理容积中。在一些实施方式中,基板的特征在于沉积于其表面上的氮化硅层。在一些实施方式中,使可流动的含硅材料层与所述多个氧自由基接触在10毫托至20托的压力下且在100摄氏度至700摄氏度的温度下进行达高达10分钟的持续时间。在实施方式中,在适于渗透通过可流动的含硅材料层的顶部且渗透到底部的量和条件下施加所述多个氧自由基。在一些实施方式中,在快速热处理腔室中执行使可流动的含硅材料层与多个氧自由基接触。在一些实施方式中,将所述多个氧自由基设置在反应气体内,其中反应气体包括氧、氢、氮之一或更多者、或上述项目的组合。
在一些实施方式中,将含硅材料至少部分地设置在形成于基板的表面中的多个开口中。在一些实施方式中,所述多个开口具有大于2∶1的纵横比(深宽比),诸如大于5∶1、大于10∶1、大于20∶1,例如大于25∶1。在一些实施方式中,开口的宽度小于约22nm,例如小于约16nm,或介于约1nm与约20nm之间,诸如介于约10nm与约20nm之间。
现在参照图4,示出了根据本公开内容的一些实施方式制造半导体装置的方法400的流程图。在工序序列402处,将可流动的含硅材料层沉积在基板上方的一个或更多个特征上方。在一些实施方式中,使用可流动化学气相沉积(FCVD)工序来沉积氮化硅层(例如聚硅氮烷层)。在一些实施方式中,在用于对含硅材料层进行基于自由基的处理的同一处理腔室中执行FCVD工序。在一些实施方式中,在与用于对含硅材料进行基于自由基的处理的处理腔室不同的处理腔室中执行FCVD工序。
在一些实施方式中,工序序列402可包括FCVD工序,诸如使一个或更多个硅前驱物流动到处理容积中,将基板暴露于所述一种或更多种硅前驱物,在处理容积中提供一种或更多种共反应物,和将基板暴露于所述一种或更多种共反应物。在一些实施方式中,依序地、并行地、或用上述项目的组合进行将基板暴露于一种或更多种硅前驱物和将基板暴露于所述一种或更多种共反应物的步骤。
在一些实施方式中,执行FCVD,其中将处理容积的压力合乎需要地维持在约10毫托与约10托之间,诸如小于约6托,诸如小于约5托,或介于约0.1托与约4托之间,诸如介于约0.5托与约3托之间。在一些实施方式中,将基板合乎需要地维持在约0摄氏度与约400摄氏度之间、或小于约200摄氏度、或约-10摄氏度与约75摄氏度之间的温度下。
在一些实施方式中,所述一种或更多种硅前驱物包括硅烷化合物,诸如硅烷(SiH4)、乙硅烷(Si2H6)、丙硅烷(Si3H8)、和丁硅烷(Si4H10)、或上述项目的组合。在一些其他的实施方式中,硅前驱物包括具有至少一个Si--N--Si官能团的硅氮烷化合物(诸如N,N′二甲硅烷基三硅氮烷(A))、其他硅氮烷化合物(例如三甲硅烷基胺(TSA))、或上述项目的组合。在一些实施方式中,硅前驱物包括一种或更多种硅烷化合物与一种或更多种硅氮烷化合物的组合。
在一些FCVD实施方式中,由在喷头与腔室盖之间的处理容积中点燃和维持的气体(诸如在图1中所描述的增压室122中点燃和维持的远程等离子体128)形成电容耦合等离子体。在一些实施方式中,上述的FCVD工序合乎需要地提供可流动的氧化硅或氮化物膜,其允许从底部向上填充形成于基板的表面中的高纵横比的开口。例如,可以使用FCVD工序来填充具有小于20nm的宽度和大于约10∶1的纵横比的开口。在一些实施方式中,将基板维持在小于约200摄氏度的温度下。
在工序序列404处,方法400包括以下步骤:实质通过可流动的含硅材料层注入氧自由基以使可流动的含硅材料层退火和/或增加可流动的含硅材料层的密度。在一些实施方式中,工序序列404包括以下步骤:将FCVD沉积的硅可流动层暴露于氧自由基以形成处理的硅层。在一些实施方式中,在同一处理腔室中进行FCVD沉积硅层和将FCVD沉积的硅层暴露于氧自由基。
在一些实施方式中,方法400包括以下步骤:依序重复沉积可流动的含硅材料层的至少一部分,然后对至少部分地沉积的含硅材料进行基于氧自由基的处理,直到达到期望的含硅材料厚度为止。一般而言,在与将含硅材料沉积到期望的厚度且随后对所述含硅材料进行基于自由基的处理相比时,依序重复会促进生成的处理的含硅材料的更均匀的致密化和化学计量。
在一些实施方式中,本公开内容涉及一种制造半导体装置的方法,所述方法包括以下步骤:在足以使可流动的含硅材料层退火和增加可流动的含硅材料层的密度的条件下,使设置在基板上的可流动的含硅材料层与多个氧自由基接触。在一些实施方式中,可流动的含硅材料层包括氧化物层、氮化物层、碳化物层、或氮氧化物层。在一些实施方式中,可流动的含硅材料层包括氧化硅(SiO2)、氮氧化硅(SiON)、氮化硅(Si3N4)、或碳氧化硅(SiOC)。在一些实施方式中,在10毫托至20托的压力下使可流动的含硅材料层与所述多个氧自由基接触。在一些实施方式中,在100摄氏度至700摄氏度的温度下使可流动的含硅材料层与多个氧自由基接触。在一些实施方式中,使可流动的含硅材料层与多个氧自由基接触的持续时间高达10分钟。在一些实施方式中,所述多个氧自由基渗透通过可流动的含硅材料层的顶部和底部。在一些实施方式中,在快速热处理腔室中执行使可流动的含硅材料层与多个氧自由基接触。在一些实施方式中,在使可流动的含硅材料层与多个氧自由基接触之前,使可流动的含硅材料层与臭氧和水接触。
现在参照图5,示出了根据本公开内容的一个实施方式的三栅极晶体管结构的透视图。在一些实施方式中,将包括鳍片502的鳍片层形成于基板501上。在一些实施方式中,鳍片层表示鳍片502的沿着A-A’轴线的截面图。在一个实施方式中,三栅极晶体管(晶体管500)是包括多个三栅极晶体管的三栅极晶体管阵列的一部分。在一些实施方式中,将藉由注入氧自由基物种而改性的可流动的介电层形成于鳍片502附近的基板501上以提供场隔离(例如STI)区域,所述场隔离区域将基板501上的一个电子装置与其他装置隔离,如上文针对图2A-2D所描述的。在一些实施方式中,鳍片502从基板501的顶面凸出。鳍片502可以由任何众所周知的半导体材料所形成。在一些实施方式中,将栅极介电层(未示出)沉积于鳍片502的三个侧面上。在一些实施方式中,将栅极介电层形成于鳍片502的相对的侧壁上和顶面上。如图5中所示,将栅极电极506沉积于鳍片502上的栅极介电层上。栅极电极506如图5中所示地扇形地分布在鳍片502上的栅极电极上和周围。在一些实施方式中,将漏极区域505和源极区域503形成于鳍片502中的栅极电极506的相对侧处,如图5中所示。
在一些实施方式中,本公开内容涉及一种制造半导体装置的方法,所述方法包括以下步骤:将可流动的含硅材料层沉积在基板上方的一个或更多个特征上方;和将氧自由基注入或混合到实质上整个所述可流动的含硅材料层以使所述可流动的含硅材料层退火和增加所述可流动的含硅材料层的密度。在一些实施方式中,所述可流动的含硅材料层包括氧化硅(SiO2)、氮氧化硅(SiON)、氮化硅(Si3N4)、碳氧化硅(SiOC)、或上述项目的组合。在一些实施方式中,在10毫托至20托的压力下执行注入氧自由基。在一些实施方式中,在100摄氏度至700摄氏度的温度下执行注入氧自由基。在一些实施方式中,执行注入氧自由基达高达10分钟的持续时间。在一些实施方式中,这些氧自由基完全渗透整个所述可流动的含硅材料层。在一些实施方式中,在快速热处理腔室中执行将氧自由基注入到可流动的含硅材料层中。在一些实施方式中,在将氧自由基注入到可流动的含硅材料层中之前,使所述可流动的含硅材料层与臭氧和水接触。
在一些实施方式中,本公开内容涉及一种用来制造电子装置的设备,所述设备包括:处理腔室,所述处理腔室包括基座以保持基板,所述基板包括位于所述基板上方的可流动的含硅材料层;氧自由基源,所述氧自由基源耦接至所述处理腔室;和处理器,所述处理器耦接至所述氧自由基源,其中所述处理器被配置为在所述处理腔室中提供足以使所述可流动的含硅材料层退火和增加所述可流动的含硅材料层的密度的条件。在一些实施方式中,所述可流动的含硅材料层包括氧化硅(SiO2)、氮氧化硅(SiON)、氮化硅(Si3N4)、碳氧化硅(SiOC)、或上述项目的组合。在一些实施方式中,这些条件包括10毫托至20托的压力、100摄氏度至700摄氏度的温度、和高达10分钟的持续时间。
在一些实施方式中,本公开内容涉及一种非暂时性计算机可读介质,所述非暂时性计算机可读介质具有储存在其上的指令,这些指令在被执行时使得处理腔室执行用于制造半导体装置的方法,所述方法包括以下步骤:在一定条件下使设置在基板上的可流动的含硅材料层与多个氧自由基接触,这些条件足以使所述可流动的含硅材料层退火和增加所述可流动的含硅材料层的密度。
在一些实施方式中,本公开内容涉及一种用于处理可流动的含硅材料层的方法,所述方法包括以下步骤:在一定条件下使设置在基板上的可流动的含硅材料层与多个氧自由基接触,这些条件足以使所述可流动的含硅材料层退火和增加所述可流动的含硅材料层的密度。
在一些实施方式中,本公开内容涉及一种非暂时性计算机可读介质,所述非暂时性计算机可读介质具有储存在其上的指令,这些指令在被执行时使得处理腔室执行用于处理可流动的含硅材料层的方法,所述方法包括以下步骤:在一定条件下使设置在基板上的可流动的含硅材料层与多个氧自由基接触,这些条件足以使所述可流动的含硅材料层退火和增加所述可流动的含硅材料层的密度。
虽然上文是针对本公开内容的实施方式,但也可以在不脱离本公开内容的基本范围的情况下设计本公开内容的其他和另外的实施方式。

Claims (16)

1.一种制造半导体装置的方法,所述方法包括以下步骤:
在一定条件下使设置在基板上的可流动的含硅材料层与多个氧自由基接触,所述条件足以使所述可流动的含硅材料层退火和增加所述可流动的含硅材料层的密度。
2.如权利要求1所述的方法,其中所述可流动的含硅材料层包括氧化物层、氮化物层、碳化物层、氮氧化物层、或上述项目的组合。
3.如权利要求2所述的方法,其中所述可流动的含硅材料层包括氧化硅(SiO2)、氮氧化硅(SiON)、氮化硅(Si3N4)、碳氧化硅(SiOC)、或上述项目的组合。
4.如权利要求1至3中的任一者所述的方法,其中在以下至少一个条件下执行使所述可流动的含硅材料层与所述多个氧自由基接触:
在10毫托至20托的压力下;
在100摄氏度至700摄氏度的温度下;或
持续时间高达10分钟。
5.如权利要求1至3中的任一者所述的方法,其中所述多个氧自由基渗透通过所述可流动的含硅材料层的顶部和底部。
6.如权利要求1至3中的任一者所述的方法,其中在快速热处理腔室中执行使可流动的含硅材料层与多个氧自由基接触。
7.如权利要求1至3中的任一者所述的方法,其中将所述多个氧自由基设置在反应气体内,其中所述反应气体包括氧、氢、氮之一或更多者、或上述项目的组合。
8.如权利要求1至3中的任一者所述的方法,进一步包括以下步骤:
将所述可流动的含硅材料层沉积在基板的一个或更多个特征上方,其中使设置在基板上的所述可流动的含硅材料层与多个氧自由基接触包括将氧自由基注入到实质上整个所述可流动的含硅材料层,以使所述可流动的含硅材料层退火和增加所述可流动的含硅材料层的密度。
9.如权利要求8所述的方法,其中在以下至少一个条件下执行注入氧自由基:
在10毫托至20托的压力下;
在100摄氏度至700摄氏度的温度下;或
持续时间高达10分钟。
10.如权利要求8所述的方法,其中所述氧自由基完全渗透整个所述可流动的含硅材料层。
11.如权利要求8所述的方法,其中在快速热处理腔室中执行将氧自由基注入到可流动的含硅材料层中。
12.如权利要求8所述的方法,其中在将氧自由基注入到可流动的含硅材料层中之前,使所述可流动的含硅材料层与臭氧和水接触。
13.一种用来制造电子装置的设备,所述设备包括:
处理腔室,所述处理腔室包括基座以保持基板,所述基板包括位于所述基板上方的可流动的含硅材料层;
氧自由基源,所述氧自由基源耦接至所述处理腔室;和
处理器,所述处理器耦接至所述处理腔室和所述氧自由基源,其中所述处理器被配置为在所述处理腔室中提供足以使所述可流动的含硅材料层退火和增加所述可流动的含硅材料层的密度的条件。
14.如权利要求13所述的设备,其中所述可流动的含硅材料层包括氧化硅(SiO2)、氮氧化硅(SiON)、氮化硅(Si3N4)、碳氧化硅(SiOC)、或上述项目的组合,且其中所述条件包括10毫托至20托的压力、100摄氏度至700摄氏度的温度、高达10分钟的持续时间。
15.如权利要求13所述的设备,其中所述处理器包括计算机可读介质,所述计算机可读介质包含指令,所述指令在被执行时控制所述设备的操作以执行制造半导体装置的方法,所述方法如权利要求1至12中的任一者所描述的。
16.一种计算机可读介质,所述计算机可读介质具有储存在其上的指令,所述指令在被执行时使得处理腔室执行用于制造半导体装置的方法,其中所述方法如权利要求1至12中的任一者所描述的。
CN202080078830.XA 2019-12-09 2020-11-30 氧自由基辅助的介电膜致密化 Pending CN114730697A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/708,026 US20210175075A1 (en) 2019-12-09 2019-12-09 Oxygen radical assisted dielectric film densification
US16/708,026 2019-12-09
PCT/US2020/062540 WO2021118815A1 (en) 2019-12-09 2020-11-30 Oxygen radical assisted dielectric film densification

Publications (1)

Publication Number Publication Date
CN114730697A true CN114730697A (zh) 2022-07-08

Family

ID=76209063

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202080078830.XA Pending CN114730697A (zh) 2019-12-09 2020-11-30 氧自由基辅助的介电膜致密化

Country Status (6)

Country Link
US (1) US20210175075A1 (zh)
JP (1) JP2023504353A (zh)
KR (1) KR20220111258A (zh)
CN (1) CN114730697A (zh)
TW (1) TW202124764A (zh)
WO (1) WO2021118815A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10755922B2 (en) * 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11862699B2 (en) * 2020-08-05 2024-01-02 Changxin Memory Technologies, Inc. Semiconductor structure and method for manufacturing same
US11551926B2 (en) * 2021-01-22 2023-01-10 Micron Technology, Inc. Methods of forming a microelectronic device, and related systems and additional methods
JP2023130026A (ja) * 2022-03-07 2023-09-20 東京エレクトロン株式会社 埋込方法及び処理システム

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040191426A1 (en) * 2003-03-26 2004-09-30 Anelva Corporation Film-forming method for forming metal oxide on substrate surface
US20110045676A1 (en) * 2009-08-18 2011-02-24 Applied Materials, Inc. Remote plasma source seasoning
KR20110041709A (ko) * 2009-10-16 2011-04-22 주식회사 아토 증착 장치 및 이를 이용한 갭필 방법
CN102714156A (zh) * 2010-01-07 2012-10-03 应用材料公司 自由基成分cvd的原位臭氧固化
US20130230987A1 (en) * 2012-03-05 2013-09-05 Nerissa Draeger Flowable oxide film with tunable wet etch rate
US20130288485A1 (en) * 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
TW201529883A (zh) * 2013-10-25 2015-08-01 Lam Res Corp 用以形成具有低孔隙率之可流動的介電膜之方法及設備
TW201532188A (zh) * 2013-10-25 2015-08-16 Lam Res Corp 基板表面上的可流動介電質沉積用處理
US20150262869A1 (en) * 2014-03-11 2015-09-17 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
US20160079034A1 (en) * 2014-09-12 2016-03-17 Applied Materials Inc. Flowable film properties tuning using implantation
US20160194758A1 (en) * 2015-01-07 2016-07-07 Applied Materials, Inc. Advanced process flow for high quality fcvd films
US20180330980A1 (en) * 2017-05-13 2018-11-15 Applied Materials, Inc. Cyclic flowable deposition and high-density plasma treatment processes for high quality gap fill solutions
US20230042726A1 (en) * 2021-08-06 2023-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Flowable Chemical Vapor Deposition (FcvD) Using Multi-Step Anneal Treatment and Devices Thereof

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9412581B2 (en) * 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
TWI670756B (zh) * 2014-12-22 2019-09-01 美商應用材料股份有限公司 藉由沉積調整來解決fcvd的線條彎曲
US10600684B2 (en) * 2017-12-19 2020-03-24 Applied Materials, Inc. Ultra-thin diffusion barriers
KR20200104923A (ko) * 2018-01-26 2020-09-04 어플라이드 머티어리얼스, 인코포레이티드 실리콘 질화물 박막들을 위한 처리 방법들

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040191426A1 (en) * 2003-03-26 2004-09-30 Anelva Corporation Film-forming method for forming metal oxide on substrate surface
US20110045676A1 (en) * 2009-08-18 2011-02-24 Applied Materials, Inc. Remote plasma source seasoning
TW201123302A (en) * 2009-08-18 2011-07-01 Applied Materials Inc Remote plasma source seasoning
KR20110041709A (ko) * 2009-10-16 2011-04-22 주식회사 아토 증착 장치 및 이를 이용한 갭필 방법
CN102714156A (zh) * 2010-01-07 2012-10-03 应用材料公司 自由基成分cvd的原位臭氧固化
US20130230987A1 (en) * 2012-03-05 2013-09-05 Nerissa Draeger Flowable oxide film with tunable wet etch rate
US20130288485A1 (en) * 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
TW201529883A (zh) * 2013-10-25 2015-08-01 Lam Res Corp 用以形成具有低孔隙率之可流動的介電膜之方法及設備
TW201532188A (zh) * 2013-10-25 2015-08-16 Lam Res Corp 基板表面上的可流動介電質沉積用處理
US20150262869A1 (en) * 2014-03-11 2015-09-17 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
US20160079034A1 (en) * 2014-09-12 2016-03-17 Applied Materials Inc. Flowable film properties tuning using implantation
US20160194758A1 (en) * 2015-01-07 2016-07-07 Applied Materials, Inc. Advanced process flow for high quality fcvd films
CN107109643A (zh) * 2015-01-07 2017-08-29 应用材料公司 高质量流动式化学气相沉积膜的先进工艺流程
US20180330980A1 (en) * 2017-05-13 2018-11-15 Applied Materials, Inc. Cyclic flowable deposition and high-density plasma treatment processes for high quality gap fill solutions
US20230042726A1 (en) * 2021-08-06 2023-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Flowable Chemical Vapor Deposition (FcvD) Using Multi-Step Anneal Treatment and Devices Thereof

Also Published As

Publication number Publication date
TW202124764A (zh) 2021-07-01
KR20220111258A (ko) 2022-08-09
WO2021118815A1 (en) 2021-06-17
US20210175075A1 (en) 2021-06-10
JP2023504353A (ja) 2023-02-03

Similar Documents

Publication Publication Date Title
US11450771B2 (en) Semiconductor device and method for manufacturing same
JP6678166B2 (ja) 注入を用いた流動性膜特性のチューニング
US7955510B2 (en) Oxide etch with NH4-NF3 chemistry
WO2019147462A1 (en) Treatment methods for silicon nitride thin films
US7622340B2 (en) Method for manufacturing semiconductor device
CN114730697A (zh) 氧自由基辅助的介电膜致密化
WO2019013949A1 (en) SELECTIVE OXIDATION FOR MANUFACTURING NANOWIRES FOR SEMICONDUCTOR APPLICATIONS
JP2008244059A (ja) 半導体装置の製造方法
US20230187276A1 (en) Method of dielectric material fill and treatment
TWI807009B (zh) 半導體裝置的形成方法
JP2024020242A (ja) メモリ用途のための垂直トランジスタの作製
KR20140100948A (ko) 층간 폴리실리콘 유전체 캡 및 그것을 형성하는 방법
US20150179743A1 (en) Graphene as a Ge Surface Passivation Layer to Control Metal-Semiconductor Junction Resistivity
CN109786254B (zh) 后栅极工艺中的选择性高k形成
JP2008235397A (ja) 半導体装置の製造方法
US20230242115A1 (en) Air spacer formation with a spin-on dielectric material

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination