TW201330101A - 用於半導體整合之非敏性乾式移除製程 - Google Patents

用於半導體整合之非敏性乾式移除製程 Download PDF

Info

Publication number
TW201330101A
TW201330101A TW101135392A TW101135392A TW201330101A TW 201330101 A TW201330101 A TW 201330101A TW 101135392 A TW101135392 A TW 101135392A TW 101135392 A TW101135392 A TW 101135392A TW 201330101 A TW201330101 A TW 201330101A
Authority
TW
Taiwan
Prior art keywords
dielectric layer
dielectric
etch rate
deposited
wet etch
Prior art date
Application number
TW101135392A
Other languages
English (en)
Other versions
TWI541898B (zh
Inventor
Kedar Sapre
Rossella Mininni
Jing Tang
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201330101A publication Critical patent/TW201330101A/zh
Application granted granted Critical
Publication of TWI541898B publication Critical patent/TWI541898B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Weting (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

揭露沉積介電層與自半導體基板之表面蝕刻介電層的方法。方法可包括沉積第一介電層,第一介電層具有HF水溶液中的第一濕蝕刻速率。方法亦可包括沉積第二介電層,第二介電層在沉積之後最初可為可流動的,且第二介電層可具有HF水溶液中的第二濕蝕刻速率,第二濕蝕刻速率高於第一濕蝕刻速率。方法可進一步包括用蝕刻劑氣體混合物蝕刻第一介電層與第二介電層,其中第一介電層與第二介電層的蝕刻速率比例比起HF水溶液中的第二濕蝕刻速率與第一濕蝕刻速率的比例更接近1。

Description

用於半導體整合之非敏性乾式移除製程 【相關申請案的交互參照】
此申請案主張2011年9月26日申請且名稱為「Insensitive Dry Removal Process for Semiconductor Integration」之美國專利臨時申請案第61/539,270號的權利。將上述美國臨時申請案之全部揭露內容併入本文中。
本發明係關於半導體製程技術。
半導體製程通常包括多個不同的製造步驟。在當前技術下,可例行地在奈米尺寸上形成電路部件且需要敏感性製造技術。舉例而言,在替換式金屬閘極(「RMG」或「後閘極(gate last)」)製程中,可能需要在基板上之非犧牲材料的存在下移除位在溝槽中或位在半導體基板上之另一特徵結構中的犧牲材料。用於移除第一材料之蝕刻技術可能暴露第一材料與第二材料兩者至蝕刻劑,蝕刻劑可能會移除犧牲材料與非犧牲材料兩者。若在移除犧牲材料之前移除太多或所有的非犧牲材料,可能會弄壞基板。
由於後閘極製程可包括在已經沉積非犧牲膜之後的犧牲材料移除,因此需要精細與受控制的蝕刻技術。雖然 可取得多種蝕刻技術,但很少有蝕刻技術提供之移除可對位於半導體基板上之膜的類型與性質兩者負責。舉例而言,利用氟化氫溶液之濕移除可用於多種類型的膜或氧化物移除。然而,該等溶液易受已經沉積之材料的密度或性質影響,且該等溶液可能會移除太多的非犧牲材料。在某些實施例中,濕蝕刻將會以比較高性質的膜快高達40倍的速率移除較低性質的膜。若較低性質的膜是非犧牲的,則濕蝕刻移除可能無法在移除太多(若非全部)的非犧牲層之前移除犧牲層。
因此,持續需要在非犧牲膜存在下移除犧牲膜之技術與產物。本發明技術解決該等需求與其他需求。
本發明技術提供自半導體基板之表面移除介電材料的方法,該方法實質上不被接受移除之材料的性質所影響。藉由應用在實質上相似速率下蝕刻氧化物之乾蝕刻劑氣體的組合,可在較低膜性質的非犧牲膜存在下移除較高膜性質的犧牲膜。
揭露沉積介電層與自半導體基板之表面蝕刻介電層的方法。方法可包括沉積第一介電層,第一介電層具有HF水溶液中的第一濕蝕刻速率。方法亦可包括沉積第二介電層,第二介電層在沉積之後最初可為可流動的,且第二介電層可具有HF水溶液中的第二濕蝕刻速率,第二 濕蝕刻速率高於第一濕蝕刻速率。方法可進一步包括用蝕刻劑氣體混合物蝕刻第一介電層與第二介電層,其中第一介電層與第二介電層的蝕刻速率比例比起HF水溶液中的第二濕蝕刻速率與第一濕蝕刻速率的比例更接近1。
技術的實施例亦包括移除半導體基板之表面上的介電材料之方法。方法可被用於後閘極製程,且方法可包括在基板上沉積第一介電材料以產生第一性質的介電層,第一性質的介電層具有HF水溶液中的第一濕蝕刻速率。亦可沉積第二介電材料,且第二介電材料在沉積之後最初可為可流動的。可固化第二介電材料以產生第二性質的第二介電層,第二性質的第二介電層具有HF水溶液中的第二濕蝕刻速率,第二濕蝕刻速率大於第一濕蝕刻速率。方法亦可包括用乾蝕刻劑氣體混合物蝕刻第一介電層與第二介電層,其中用乾蝕刻劑氣體混合物之第一介電層與第二介電層的蝕刻速率比例比起HF水溶液中的第二濕蝕刻速率與第一濕蝕刻速率的比例更接近1。
在後續描述中提出額外實施例與特徵結構的部分,且彼等熟悉技術人士在查看本說明書後及/或藉由實施揭露方法可得知額外實施例與特徵結構的部分。可藉由本說明書描述之儀器設備、組合及/或方法理解與達成揭露製程與方法的特徵結構與優點。
描述在較低性質的非犧牲膜存在下蝕刻較高性質的犧牲膜之方法。藉由利用實質上不被接受蝕刻之介電層的性質影響的乾蝕刻劑,可在第二性質的非犧牲膜存在下移除第一性質的犧牲膜。
揭露沉積介電層與自半導體基板之表面蝕刻介電層的方法。方法可包括沉積第一介電層,第一介電層具有HF水溶液中的第一濕蝕刻速率。方法亦可包括沉積第二介電層,第二介電層在沉積之後最初可為可流動的,且第二介電層可具有HF水溶液中的第二濕蝕刻速率,第二濕蝕刻速率高於第一濕蝕刻速率。方法可進一步包括用蝕刻劑氣體混合物蝕刻第一介電層與第二介電層,其中第一介電層與第二介電層的蝕刻速率比例比起HF水溶液中的第二濕蝕刻速率與第一濕蝕刻速率的比例更接近1。
參照第1圖,圖示已經在半導體基板上執行假閘極移除製程之半導體基板的橫剖面圖。針對基板100,圖示包括溝槽110之矽基板105。沉積第一介電層115以隔離矽基板105。可將介電質沉積成基板上之連續層。沉積假閘極120於第一介電層115上,接著藉由假閘極與上有假閘極之介電質兩者的蝕刻來形成島或隔離閘極。介電質可為氧化物、氮化物或氮氧化物,且可藉由多種手段來沉積介電質。介電沉積物可為熱氧化物或HDP-CVD 沉積之介電質或者介電沉積物可為SACVD沉積之介電質。或者,可藉由提供用於絕緣目的之相對高性質氧化物的不同技術來沉積介電質。假閘極可為後續可移除的任何材料。舉例而言,假閘極可為之後在替換式閘極之製備中移除的聚矽或某些其他金屬或氧化物。
在已經執行留下假閘極結構之蝕刻之後,基板140顯示後續的製造製程。可執行佈植摻雜以在假閘極下之基板105中產生源極/汲極145。可沉積側壁間隔物150以進一步分隔基板與已經形成之閘極。在一實施例中,間隔物可為氮化物,且可用共形生長技術形成間隔物,或者可毯覆沉積間隔物並接著蝕刻間隔物至確定厚度。可在側壁間隔物150上形成第二介電層155,且第二介電層155可作為用於整合式被動元件縮放之介層介電質。可藉由更流動性手段(諸如,可流動的CVD或旋塗式玻璃)來沉積第二介電層,以提供較佳的縫隙填充特性。或者,可用HDP-CVD、SACVD或用其他沉積技術來沉積第二介電層155。
第二介電材料155可經蝕刻或接受化學機械平坦化處理,以減少材料低至暴露假閘極的水平。一旦已經暴露假閘極,舉例而言可利用聚矽選擇性蝕刻來移除假閘極,聚矽選擇性蝕刻如圖所示僅移除基板180中的聚矽假閘極。一旦已經移除假閘極,可執行蝕刻技術以移除第一介電材料115。可用至少部分地維持第二介電材料155的方式移除第一介電材料115。在示範性基板上,第 一介電材料115是較高性質熱或HDP性質氧化物,而第二介電質155是較低性質的可流動介電質。因此,可應用移除較高性質氧化物同時維持較低性質氧化物的蝕刻技術。
參照第2圖,第2圖圖示根據所揭露之方法的蝕刻製程200,可在半導體基板上形成第一介電層210。舉例而言,可在後閘極製造製程中形成第一介電層,且第一介電層可用來產生保護層,保護層上可形成有其他材料。可藉由較不流動性或非流動性沉積技術來形成第一介電層,較不流動性或非流動性沉積技術可為熱氧化物形成、HDP-CVD,或者可為SACVD(例如,HARP)或PECVD(諸如,電漿輔助TEOS與氧或TEOS與臭氧)。第一介電層可包括氧化矽、氮化矽或氮氧化矽。可在基板上之溝槽中或在基板之表面上形成第一介電層。基板的進一步製程可在第一介電層上與第一介電層周圍形成其他材料,以致第一介電層位於基板表面上之特徵結構中。如第1圖中所示,介電層可為第一介電層115。
HDP沉積產生具有第一性質之第一介電層。性質是用來自多種態樣描述組成物的詞彙。氧化物的性質可代表密度、純度、依時效崩潰時間(time to breakdown)等等。舉例而言,比起較低性質的氧化物,較高性質的氧化物通常較密集且具有較高的崩潰電壓。比起可流動沉積技術而言,HDP沉積可產生較高性質的介電層或氧化物。藉由在通常具有射頻能量之低壓或甚至真空下激發反應 劑氣體來產生HDP膜,射頻能量在基板表面附近產生電漿。電漿能量造成元素具高度反應性且電漿能量產生高密度與高性質膜。在其他實施例中,可在基板上執行熱製程以產生第一介電層,其中藉由加熱基板達高溫來引發反應劑氣體的化學反應,以引發膜的反應與形成。
在已經形成第一介電層之後,可執行中間步驟。舉例而言,在替換式閘極製程中,可在第一介電材料上形成作為假閘極之聚矽或某些其他材料的層。可在間隔物形成之後執行假閘極與第一介電質的蝕刻,間隔物由例如氮化物的材料所製成且間隔物被置於假閘極之間。舉例而言,可執行參照第1圖所述之製程。
可在半導體基板上沉積第二介電層215。可藉由可流動沉積技術來產生第二介電層,舉例而言,可流動沉積技術可為旋塗式玻璃或可流動式CVD。可應用可流動式CVD以介電材料覆蓋所有先前形成的層。舉例而言,在縮放以產生整合被動式元件時,可形成介層介電質以分隔各個沉積之部件與部件之後續層。沉積的介電層可為實質上共形的。共形性指的是產生在水平表面與垂直表面兩者上具有均勻厚度之層,或階梯覆蓋約等於1之沉積。
可應用多種方法來沉積在沉積之後最初是可流動的介電層。舉例而言,可應用可流動式CVD製程,其中將矽前驅物引導至容納基板之基板製程區。在另一前驅物通過遠端電漿區以產生游離基團前驅物之後引導另一前驅 物(例如,氮前驅物),接著氮前驅物流入基板製程區並與矽前驅物組合。用此技術,含矽前驅物並不直接由基板製程區中之電漿功率的施加所激發。反之,電漿功率施加僅用來在基板製程區外激發游離基團前驅物。此配置造成基板上的含矽與氮層之可流動沉積。膜的流動性隨著沉積進行而衰減,且可在固化操作過程中基本上移除流動性。
含矽前驅物可包含碳及/或氮以確保介電層形成過程中的流動性。含矽前驅物可為不具碳的含矽前驅物,不具碳的含矽前驅物讓介電層在固化製程過程中承受較少的收縮。舉例而言,不具碳的矽前驅物可為含矽-與-氮前驅物、含矽-與-氫前驅物或含矽-氮-與氫前驅物,等等其他類型的矽前驅物。該等前驅物的特定實施例可包括矽胺,矽胺諸如H2N(SiH3)、HN(SiH3)2與N(SiH3)3等等其他矽胺。該等矽胺可與額外氣體混合,額外氣體可作為載氣、反應性氣體或兩者。該等額外氣體的實例可包括H2、N2、NH3、He與Ar等其他氣體。不具碳的矽前驅物之實例亦可包括矽烷(SiH4),矽烷(SiH4)可為單獨的或與其他含矽氣體(例如,N(SiH3)3)、含氫氣體(例如,H2)及/或含氮氣體(諸如,N2、NH3)任一者混合。含矽前驅物亦可包括不具有碳或氮的矽化合物(諸如,矽烷、二矽烷等等)。若沉積的氧化物膜是摻雜的氧化物膜,亦可應用摻雜劑前驅物,諸如TEB、TMB、B2H6、TEPO、PH3、P2H6與TMP等其他硼與磷摻雜劑。
可在游離基團前驅物與含矽前驅物任一者或兩者中包括氮。當氮存在於游離基團前驅物中時,可將此前驅物稱為氮游離基團前驅物。氮游離基團前驅物包括藉由在電漿中激發更穩定的含氮前驅物產生之電漿排出物。舉例而言,可在腔室電漿區或製程腔室外的遠端電漿系統(RPS)中激發包含NH3及/或肼(N2H4)的相對穩定含氮前驅物以形成氮游離基團前驅物,接著將氮游離基團前驅物傳送至不具電漿的基板製程區。在不同實施例中,穩定的氮前驅物亦可為包括NH3與N2之混合物、NH3與H2之混合物、NH3與N2與H2之混合物,以及N2與H2之混合物。在具有N2與H2之混合物中,肼亦可用來取代NH3或與NH3搭配應用。或者,穩定氮前驅物的流動速率大於或約200 sccm、大於或約300 sccm、大於或約500 sccm或者大於或約700 sccm。含氮前驅物亦可包括N2O、NO、NO2與NH4OH。
所產生的氮游離基團前驅物可包括.N、.NH、.NH2等等的一或多者,且所產生的氮游離基團前驅物亦可伴隨有在電漿中形成的離子化物種。或者,在製程腔室的一部分中產生氮游離基團前驅物,該製程腔室的一部分分隔於基板製程區,基板製程區中前驅物混合與反應以在沉積基板(即,半導體晶圓)上沉積矽-與-氮層。可將分隔件併入供應反應物至基板製程區之噴頭中。氮游離基團前驅物亦可伴隨有載氣(諸如,氬、氦等等)。可同時地輸送氧(以O2及/或O3的形式)進入遠端電漿區,以調整 氮游離基團前驅物與用此技術沉積之介電層中的氧含量。或者,輸送的氧可繞過遠端電漿區並直接流入基板製程區。
流動性可至少部分歸因於沉積膜中的顯著氫成分。舉例而言,沉積膜可具有矽氮烷型Si-NH-Si骨架(即,Si-N-H膜)。流動性亦可源自矽氮烷型之短鍊聚合物。允許短鍊聚合物形成與流動性的氮可源自游離基團前驅物或含矽前驅物任一者。當矽前驅物與氮游離基團前驅物兩者不具有碳時,所沉積之含矽-與-氮膜亦實質上不具有碳。當然,「不具有碳」並非必然地意謂膜缺少甚至微量的碳。碳汙染物可能存在於前驅物材料中,此舉發現碳汙染物進入所沉積之含矽-與-氮膜中的方式。然而,該等碳雜質的數量遠少於具有碳基團之矽前驅物(諸如,TEOS、TMDSO等等)中所發現的數量。
可將第一介電層與第二介電層沉積成兩者皆為可流動的或兩者皆為非可流動的。或者,可藉由不同機制來沉積介電質(例如,第一介電質為非可流動的而第二介電質為可流動的),但該等介電質可取決於所用之反應物而具有相似的介電性質。在其他實施例中,藉由相同的機制沉積第一介電質與第二介電質,但由於針對兩個介電質應用不同的反應劑物種,第一介電質與第二介電質具有不同的性質。
在含矽膜之沉積過程中,可在將基板溫度維持在相對低溫度下時進行可流動膜生成。可在沉積過程中藉由冷 卻基板而維持基板表面在低溫下,並在基板表面上沉積可流動氧化物膜。基座可包括加熱及/或冷卻管道,加熱及/或冷卻管道在不同製程中設定基座與基板的溫度在約-40℃與約1000℃之間、約100℃與約600℃之間、低於約500℃或在約400℃或更低溫度下。
在第二介電層形成之後,可針對不同製程執行中間步驟。舉例而言,可執行化學機械平坦化(CMP)以移除過量的第二介電層。可執行CMP以暴露個別層,舉例而言個別層包括先前已經沉積之聚矽假閘極。此外,可執行聚矽選擇性濕蝕刻製程來移除先前已經沉積之假閘極。聚矽選擇性蝕刻指的是在其他材料存在下優先移除聚矽的蝕刻製程。製程可基於與聚矽材料發生而非與其他材料發生的化學反應,或者製程可基於犧牲層或光阻層的額外形成,犧牲層或光阻層經沉積以保護其他材料免於聚矽材料的蝕刻。可移除聚矽材料或其他材料以暴露第一介電層,藉此允許暴露第一介電層與第二介電層兩者。
在已經於基板上沉積可流動介電質與已經執行任何中間步驟之後,可在準備用於後續整合式被動元件製造步驟中執行蝕刻製程以移除過量介電質。可應用乾蝕刻劑氣體來蝕刻介電層220。蝕刻劑可移除第一介電層與第二介電層兩者的一部分。蝕刻劑可包括含氟化合物,含氟化合物諸如三氟化氮與氨。蝕刻劑氣體與介電層反應以產生固體副產物,固體副產物在基板的溫度提升高於昇華溫度時昇華,藉此移除過量的介電質。在流入製程 腔室之前,乾蝕刻劑氣體可流過遠端電漿系統,乾蝕刻劑氣體在進入反應區之前在遠端電漿系統中被激發。移除第一介電襯墊層與移除第二介電層的蝕刻速率比例可約為1:2,或者在其他實施例中,比例可約為1:1.5、1:1.3、1:1.2、1:1.1、1:1.05、1:1.01或約1:1。當蝕刻速率比例等同於1:1時,在相同速率下移除第一介電層與第二介電層。
第一介電層可為基板上的薄保護層並且第一介電層可為犧牲的。可用蝕刻製程完全移除或實質上移除第一介電層,以製備用於後續製程的基板,後續製程舉例而言可包括金屬閘極沉積與分層。然而,第一介電層的蝕刻亦會暴露第二介電層至蝕刻劑氣體。若第二介電層是介層介電質,舉例而言,第二層可為非犧牲的,且在第一介電層之移除過程中第二層的維持可試圖保護抵抗層間的摻雜劑或電荷擴散。可執行蝕刻一段時間,該段時間允許移除足夠的介電材料以移除第一介電層,且所需的時間可取決於第一介電層的厚度。
第二介電層的厚度可約相同或大於第一介電層的厚度。此外,第二介電層可具有與第一介電層相比約相同或較高或較低的性質。若第二介電層的性質高於第一介電層的性質,舉例而言,因為蝕刻會以比非犧牲第二介電層快的速率移除犧牲第一介電層,則將應用更敏感或選擇性蝕刻製程。或者,若第二介電層的性質低於第一介電層的性質,則可應用對氧化物性質較不敏感或不敏 感的蝕刻製程。對氧化物性質實質上不敏感的蝕刻製程能夠在實質上相同的速率下移除不同性質的氧化物。
可執行具有乾蝕刻劑氣體之蝕刻來移除低於約100埃的材料,以完全移除第一介電層。取決於第一介電層的厚度,蝕刻製程可移除約75埃或更少的介電材料、約50埃或更少、約40埃或更少、約30埃或更少、約25埃或更少、約20埃或更少、約15埃或更少、約10埃或更少、約5埃或更少等等的介電材料。乾蝕刻劑氣體可移除實質上所有或所有的第一介電層而不完全移除第二介電層。即便第二介電層的性質低於第一介電層的性質,仍可發生此移除作用。在移除第一介電層之後,可執行後續製造步驟(包括金屬化與電路縮放)。
現參照第3圖,描述移除半導體基板之表面上的介電材料之方法300。方法可用於後閘極製程,且方法可包括沉積第一介電材料於基板上310,以產生第一性質的介電層,第一性質的介電層具有HF水溶液中的第一濕蝕刻速率。HF中的濕蝕刻速率可與即將沉積之材料的性質成比例。舉例而言,與若介電層是較低性質相比,若介電層是高性質,則HF水溶液中的蝕刻速率將較低。第一介電層可為任何類型或性質的材料,在一實施例中,第一介電層是藉由HDP CVD沉積之氧化物材料。或者,第一介電層可為覆蓋基板之氮化物或其他材料。第一介電層可為用來保護下方基板之薄層,且第一介電層的厚度可低於約50埃。在移除過程中,可在至少部分維 持第二材料層時移除第一介電層。可在第一介電層之移除過程中部分地移除第二介電材料,但可藉由特定移除製程限制第二材料之移除數量。
在已經沉積第一介電材料之後,可發生額外的製造製程,額外的製造製程包括額外的層沉積或生成、材料移除、材料摻雜等等。可執行後閘極製程,其中在第一介電層上沉積聚矽材料或某些其他材料的層315。後續蝕刻320會產生聚矽島或假閘極。在任何辨識出之製程(包括第一介電材料之沉積)之前或之後,可形成溝槽並用介電材料填充溝槽且可執行佈植摻雜325。在介層介電質的沉積之前,可在假閘極之間沉積氮化物或某些其他材料的側壁間隔物。舉例而言,間隔物可為氮化物,且可在介層介電質的沉積之前蝕刻間隔物至確定厚度。
亦可沉積第二介電材料335,且第二介電材料在沉積之後最初可為可流動的。第二介電材料可填充於已經沉積的假閘極或間隔物之間與之上。藉由沉積第二介電材料以致第二介電材料在沉積之後最初為可流動的,流動性可有助於避免空隙、接縫或其他缺陷的發展。可藉由旋塗式玻璃、可流動式CVD或產生在沉積之後最初為可流動之介電材料的其他方法來執行可流動沉積。或者,可藉由可產生較高性質的介電質之非可流動技術來沉積第二介電材料。第二介電材料可為第二性質的氧化物、氮化物或其他材料,第二性質的氧化物、氮化物或其他材料具有HF水溶液中的第二濕蝕刻速率,且此第二濕 蝕刻速率可大於第一濕蝕刻速率。取決於第一介電材料與第二介電材料的膜性質,第二介電材料可具有之第二濕蝕刻速率是第一濕蝕刻速率的約兩倍或更高,或者第二濕蝕刻速率為第一濕蝕刻速率的約四倍、約六倍、約八倍、約十倍、約十二倍、約二十倍、約三十倍或約四十倍或更多倍。
在沉積之後可固化第二介電材料340,以產生具有改良膜性質的介電層。在多種實施例中,可在氧化環境(如蒸氣)、惰性環境(例如,氮)或其他環境中執行固化。膜的流動性隨著沉積進行而衰減,且在固化操作過程中基本上移除流動性。固化操作可包括將含矽-與-氮層轉換成氧化矽。固化可包括提高經圖案化之基板溫度並暴露介電材料至含氧環境。固化可為退火,且可在低於約1000℃的溫度下執行固化。替代地,可在低於約800℃、600℃、500℃、400℃、300℃、200℃或低於約100℃或更低溫度下發生固化。
退火可改良介電膜特性,特性包括硬度、性質(例如,改良的密度)、均勻性、流動性、崩潰電荷等等。氧化後退火可增加膜的密度並且氧化後退火可移除在沉積過程中已經併入的某些缺陷。利用可流動沉積技術可降低若將溫度提升高於一閥值而發生介電質之重流的製造製程的熱預算。同樣地,當執行某些製造類型(例如,後閘極)時,高溫亦可允許發生摻雜劑擴散。因此,可在低於閥值溫度下執行固化,在某些實施例中,可低於約600℃、 約500℃、400℃、300℃、200℃或低於約100℃或更低。此外,可執行蝕刻或研磨製程(例如,化學機械平坦化)以降低介層介電質至暴露假閘極的水平。
在已經形成第二介電層之後,可執行其他製造製程。示範性製程可包括CMP以移除沉積之過量介電材料及/或選擇性蝕刻製程345以移除先前沉積之假閘極。舉例而言,蝕刻可為聚矽選擇性濕蝕刻,且蝕刻可移除假閘極至低於第一介電材料的水平。此外,可搭配材料摻雜或用於微製造的其他製程執行其他蝕刻與沉積步驟。
可在固化第二介電層之後執行蝕刻製程350。蝕刻可包括暴露基板至乾蝕刻劑氣體,乾蝕刻劑氣體移除第一介電層的至少一部分。乾蝕刻劑可為氣體的混合物,氣體的混合物包括含氟化合物(諸如,三氟化氮與氨)。乾蝕刻劑可額外地包括其他氣體(諸如,氦或其他惰性氣體)。可個別地將氣體流入基板所在之製程腔室,且在將乾蝕刻劑氣體流入製程腔室之前亦藉由遠端電漿源激發乾蝕刻劑氣體。乾蝕刻劑氣體混合物可在隨著移除第一介電層時移除第二介電質的一部分。
第一介電質可為犧牲層,而第二介電層可為非犧牲的。乾蝕刻劑氣體可在實質上相似的速率下移除犧牲與非犧牲介電層,並且乾蝕刻劑氣體可實質上不被介電層的性質所影響。舉例而言,第一介電層可為較高性質的HDP氧化物,而第二介電層可為較低性質的可流動氧化物。雖然利用HF水溶液的濕蝕刻可在比較高性質的第 一介電層快約兩倍下移除較低性質的第二介電層,但乾蝕刻劑氣體並不如此作。
乾蝕刻劑氣體可在相似速率下移除較高性質與較低性質的介電層兩者,以在移除第一介電層時維持第二介電層的至少一部分。用乾蝕刻劑氣體混合物之第一介電層與第二介電層的蝕刻速率比例比起HF水溶液中的第二濕蝕刻速率與第一濕蝕刻速率的比例更接近1。在一實施例中,第二介電層可被應用作為介層介電質,以有助於避免摻雜劑遷移等其他保護應用。有利地,藉由在移除較高性質犧牲層時限制此層的移除,可在半導體或整合式被動元件的縮放過程中避免擴散、電荷轉移與其他問題。
回到第4圖,第4圖圖示已經在基板410上執行根據本方法的蝕刻製程之基板410的橫剖面圖。在假閘極(未圖示)的沉積之前,在基板410上沉積HDP性質的介電材料415。伴隨著間隔物形成430執行蝕刻、淺溝槽隔離420與佈植摻雜425。或者,可在HDP性質的介電材料與假閘極的沉積之前執行淺溝槽隔離420。在基板上沉積第二介電層或介層介電質435,第二介電層或介層介電質435在沉積之後最初可為可流動的,且執行CMP以移除過量的介電質並暴露假閘極。執行聚矽選擇性濕蝕刻以移除假閘極,藉此暴露HDP性質的介電材料415。將三氟化氮、氨與氦的乾蝕刻劑氣體混合物暴露至基板,以移除HDP性質的介電材料415。在流入反應區 之前,氣體可流過遠端電漿腔室。可在HDP性質的介電材料415之移除過程中移除一數量的介層介電質435。然而,即便介層介電質435的性質可能低於HDP性質的介電材料415,乾蝕刻劑氣體混合物可在實質上相似速率下移除層。因此,在HDP性質的介電材料之移除過程中限制介層介電材料435的移除數量。
實施例
在利用乾蝕刻劑氣體混合物的蝕刻選擇性之間進行比較實例。在包含藉由HDP CVD以及可流動式CVD沉積之氧化物的基板上執行蝕刻。在蝕刻之前以在400℃下的退火來固化可流動氧化物。將氧化物暴露至含有三氟化氮與氨的乾蝕刻劑氣體混合物達十三秒的週期。如下方表I中可見,乾蝕刻劑氣體混合物僅移除稍微多一點的可流動氧化物(相較於HDP性質的氧化物),如此指出乾蝕刻劑氣體實質上不被氧化物性質所影響:
第5A圖是圖示利用HF水溶液蝕刻劑之溝槽與開放區中的蝕刻深度之SEM影像。HF被氧化物性質所影響,因此氧化物性質中的差異反應於不同的蝕刻深度中。開 放區505非為均勻高度,且溝槽510顯示非均勻數量的氧化物移除。然而,第5B圖圖示SEM影像,SEM影像顯示利用乾蝕刻劑氣體混合物之溝槽與開放區中的蝕刻深度。乾蝕刻劑氣體混合物包括三氟化氮與氨。乾蝕刻劑氣體混合物實質上不被氧化物性質所影響,且開放區520與溝槽515兩者的凹陷數量是實質上相似與均勻的。
在先前描述中,為了說明,已經提出多個細節以提供本發明技術之多個實施例的理解。然而,熟悉技術人士可理解可在不具有該等細節的某些細節(或具有額外細節)下執行某些實施例。
已經揭露多個實施例,彼等熟悉技術人士可理解可在不悖離所揭露實施例的精神下應用多種修改、替換構造與等效物。此外,並未已經描述多種習知製程與元件以避免非必要地模糊本發明。因此,上方描述不應被用來限制本發明的範圍。
值得注意的是可將各個實施例描述成以流程圖、流動圖或方塊圖繪製的製程。雖然流程圖可描述方法為依序製程,但可平行或同時地執行多個操作。此外,可重新排列操作的次序。當製程的操作完成時可終止製程,但製程可具有圖式中未討論或包含的額外步驟。再者,並非任何特定描述製程中的所有操作會發生於所有實施例中。製程可對應於方法、函數、程序、子程序、輔程序等等。當製程對應於函數時,製程的終結對應於函數返回呼叫函數或主函數。
除非文中清楚地另外指出,否則當提供數值範圍時,可理解此舉亦明確揭露範圍的上限與下限之間的各個中間值至下限單位的最小分數。包含聲明範圍中的任何聲明數值或中間值與該聲明範圍中的任何其他聲明數值或中間值之間的各個較小範圍。在聲明範圍中任何特定排除界限的情況下,可在範圍中獨立地將彼等較小範圍的上限與下限包括或排除,且界限任一者、兩者皆無或兩者皆有包含於較小範圍中的各個範圍亦包含於本發明中。當聲明範圍包括界限之一者或兩者時,如此亦包括排除彼等包含之界限的一者或兩者的範圍。
除非文中清楚以其他方式指出,否則本文與隨附申請專利範圍所用之單數型式「一」、「一個」與「該」包括複數對照物。因此,舉例而言,提及「一介電材料」包括複數個上述材料,而提及「該沉積」包括提及一或多個沉積與熟悉技術人士所習知的沉積等效物等等。
再者,當用於本說明書與後續申請專利範圍中時,詞彙「包括」與「包含」意欲表明陳述特徵結構、整數、部件或步驟的存在,但該等詞彙並不排除一或多個其他特徵結構、整數、部件、步驟、行為或群組的存在或添加。
100、140、180、410‧‧‧基板
105‧‧‧矽基板
110‧‧‧溝槽
115‧‧‧第一介電層
120‧‧‧假閘極
145‧‧‧源極/汲極
150‧‧‧側壁間隔物
155‧‧‧第二介電層
200‧‧‧蝕刻製程
210、215、220、310、315、320、325、330、335、340、345、350‧‧‧步驟
300‧‧‧方法
415‧‧‧介電材料
420‧‧‧淺溝槽隔離
425‧‧‧佈植摻雜
430‧‧‧間隔物
435‧‧‧介層介電質
505、520‧‧‧開放區
510、515‧‧‧溝槽
第1圖圖示已經執行假閘極移除製程於基板上之基板 的橫剖面圖。
第2圖圖示根據揭露方法之蝕刻製程的流程圖。
第3圖圖示根據揭露方法之蝕刻製程的流程圖。
第4圖圖示已經執行根據本方法之蝕刻製程於基板上之基板的橫剖面圖。
第5A圖圖示濕凹陷蝕刻製程的TEM影像。
第5B圖圖示已經執行根據揭露方法之蝕刻製程於基板上之基板的TEM影像。
100、140、180‧‧‧基板
105‧‧‧矽基板
110‧‧‧溝槽
115‧‧‧第一介電層
120‧‧‧假閘極
145‧‧‧源極/汲極
150‧‧‧側壁間隔物
155‧‧‧第二介電層

Claims (19)

  1. 一種沉積數個介電層並自一半導體基板之一表面蝕刻該等介電層的方法,該方法包括以下步驟:沉積一第一介電層,該第一介電層具有HF水溶液中的一第一濕蝕刻速率;沉積一第二介電層,其中該第二介電層在該沉積之後最初是可流動的,且其中該第二介電層具有HF水溶液中的一第二濕蝕刻速率,該第二濕蝕刻速率高於該第一濕蝕刻速率;及用一蝕刻劑氣體混合物蝕刻該第一介電層與該第二介電層,該蝕刻劑氣體混合物包括一含氟氣體與氨,其中用該蝕刻劑氣體混合物的該第一介電層與該第二介電層的一蝕刻速率比例比HF水溶液中的該第二濕蝕刻速率與該第一濕蝕刻速率的比例更接近1。
  2. 如請求項1所述之方法,其中該蝕刻劑氣體混合物是一包括電漿排出物的乾蝕刻劑氣體混合物。
  3. 如請求項1所述之方法,其中該第一介電層與該第二介電層的至少一者包括一氧化物。
  4. 如請求項1所述之方法,其中該第一介電層藉由一熱沉積製程或一高密度電漿沉積製程的任一者加以沉積。
  5. 如請求項4所述之方法,其中該第一介電層藉由一高密度電漿沉積製程加以沉積。
  6. 如請求項1所述之方法,其中該第二介電層藉由旋塗式玻璃或可流動式CVD的任一者加以沉積。
  7. 如請求項6所述之方法,其中該第二介電層藉由可流動式CVD加以沉積。
  8. 如請求項1所述之方法,進一步包括以下步驟:在沉積該第二介電層之後固化該第二介電層。
  9. 如請求項8所述之方法,其中該第二介電層在約400℃或更低的一溫度下被沉積、固化與蝕刻。
  10. 如請求項1所述之方法,其中該用該蝕刻劑氣體混合物的蝕刻速率比例是低於約1.1。
  11. 一種在一替換式金屬閘極半導體製程中移除一半導體基板之一表面上之一介電材料的方法,該方法包括以下步驟:在該基板上沉積一第一介電材料以產生一第一性質的介電層,該第一性質的介電層具有HF水溶液中的一 第一濕蝕刻速率;沉積一第二介電材料,其中該第二介電材料在該沉積之後最初是可流動的;固化該第二介電材料以產生一第二性質的第二介電層,該第二性質的第二介電層具有HF水溶液中的一第二濕蝕刻速率,該第二濕蝕刻速率大於該第一濕蝕刻速率;用一乾蝕刻劑氣體混合物蝕刻該第一介電層與該第二介電層,其中用該乾蝕刻劑氣體混合物的該第一介電層與該第二介電層的一蝕刻速率比例比HF水溶液中的該第二濕蝕刻速率與該第一濕蝕刻速率的比例更接近1。
  12. 如請求項11所述之方法,其中該乾蝕刻劑氣體混合物包括一含氟氣體與氨的電漿排出物。
  13. 如請求項12所述之方法,其中該含氟氣體是三氟化氮。
  14. 如請求項11所述之方法,其中該第二介電層在約400℃或更低的一溫度下被沉積、固化與蝕刻。
  15. 如請求項11所述之方法,其中該乾蝕刻劑氣體實質上不被接受蝕刻之該介電層的性質所影響,以致該第二 介電質用該乾蝕刻劑氣體之蝕刻速率與該第一介電層用該乾蝕刻劑氣體之蝕刻速率的比例低於約1.1。
  16. 如請求項11所述之方法,其中該第一介電層藉由一熱沉積製程或一高密度電漿沉積製程的任一者加以沉積。
  17. 如請求項16所述之方法,其中該第一介電層藉由一高密度電漿沉積製程加以沉積。
  18. 如請求項11所述之方法,其中該第二介電層藉由旋塗式玻璃或可流動式CVD的任一者加以沉積。
  19. 如請求項18所述之方法,其中該第二介電層藉由可流動式CVD加以沉積。
TW101135392A 2011-09-26 2012-09-26 用於半導體整合之非敏性乾式移除製程 TWI541898B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201161539270P 2011-09-26 2011-09-26
US13/624,693 US20130260564A1 (en) 2011-09-26 2012-09-21 Insensitive dry removal process for semiconductor integration

Publications (2)

Publication Number Publication Date
TW201330101A true TW201330101A (zh) 2013-07-16
TWI541898B TWI541898B (zh) 2016-07-11

Family

ID=47996724

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101135392A TWI541898B (zh) 2011-09-26 2012-09-26 用於半導體整合之非敏性乾式移除製程

Country Status (6)

Country Link
US (1) US20130260564A1 (zh)
JP (1) JP2014527315A (zh)
KR (1) KR20140070630A (zh)
CN (1) CN103843118A (zh)
TW (1) TWI541898B (zh)
WO (1) WO2013049223A2 (zh)

Families Citing this family (130)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US8921235B2 (en) * 2013-03-04 2014-12-30 Applied Materials, Inc. Controlled air gap formation
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US20150206803A1 (en) * 2014-01-19 2015-07-23 United Microelectronics Corp. Method of forming inter-level dielectric layer
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP6434367B2 (ja) * 2015-05-14 2018-12-05 東京エレクトロン株式会社 基板液処理装置及び基板液処理方法並びに基板液処理プログラムを記憶したコンピュータ読み取り可能な記憶媒体
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5300463A (en) * 1992-03-06 1994-04-05 Micron Technology, Inc. Method of selectively etching silicon dioxide dielectric layers on semiconductor wafers
JP3330554B2 (ja) * 1999-01-27 2002-09-30 松下電器産業株式会社 エッチング方法
US6291282B1 (en) * 1999-02-26 2001-09-18 Texas Instruments Incorporated Method of forming dual metal gate structures or CMOS devices
KR100350056B1 (ko) * 2000-03-09 2002-08-24 삼성전자 주식회사 다마신 게이트 공정에서 자기정렬콘택패드 형성 방법
US6303418B1 (en) * 2000-06-30 2001-10-16 Chartered Semiconductor Manufacturing Ltd. Method of fabricating CMOS devices featuring dual gate structures and a high dielectric constant gate insulator layer
KR100484258B1 (ko) * 2001-12-27 2005-04-22 주식회사 하이닉스반도체 반도체 소자 제조 방법
US7582555B1 (en) * 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US20050272191A1 (en) * 2004-06-03 2005-12-08 Uday Shah Replacement gate process for making a semiconductor device that includes a metal gate electrode
KR100703014B1 (ko) * 2005-10-26 2007-04-06 삼성전자주식회사 실리콘 산화물 식각액 및 이를 이용한 반도체 소자의 제조 방법
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
KR100818708B1 (ko) * 2006-08-18 2008-04-01 주식회사 하이닉스반도체 표면 세정을 포함하는 반도체소자 제조방법
US8110787B1 (en) * 2006-08-23 2012-02-07 ON Semiconductor Trading, Ltd Image sensor with a reflective waveguide
WO2008074672A1 (en) * 2006-12-20 2008-06-26 Nxp B.V. Improving adhesion of diffusion barrier on cu containing interconnect element
JP5282419B2 (ja) * 2007-04-18 2013-09-04 ソニー株式会社 半導体装置及びその製造方法
KR100877107B1 (ko) * 2007-06-28 2009-01-07 주식회사 하이닉스반도체 반도체 소자의 층간절연막 형성방법
TW200933812A (en) * 2008-01-30 2009-08-01 Promos Technologies Inc Process for forming trench isolation structure and semiconductor device produced thereby
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US7910491B2 (en) * 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
WO2011072143A2 (en) * 2009-12-09 2011-06-16 Novellus Systems, Inc. Novel gap fill integration
US20110151677A1 (en) * 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US8501629B2 (en) * 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US8361338B2 (en) * 2010-02-11 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hard mask removal method
US8535998B2 (en) * 2010-03-09 2013-09-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a gate structure
US8475674B2 (en) * 2010-04-30 2013-07-02 Applied Materials, Inc. High-temperature selective dry etch having reduced post-etch solid residue
KR20120058962A (ko) * 2010-11-30 2012-06-08 삼성전자주식회사 반도체 장치의 제조 방법
US8415250B2 (en) * 2011-04-29 2013-04-09 International Business Machines Corporation Method of forming silicide contacts of different shapes selectively on regions of a semiconductor device
US9012283B2 (en) * 2011-05-16 2015-04-21 International Business Machines Corporation Integrated circuit (IC) chip having both metal and silicon gate field effect transistors (FETs) and method of manufacture
US8927390B2 (en) * 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile

Also Published As

Publication number Publication date
JP2014527315A (ja) 2014-10-09
KR20140070630A (ko) 2014-06-10
US20130260564A1 (en) 2013-10-03
CN103843118A (zh) 2014-06-04
WO2013049223A2 (en) 2013-04-04
WO2013049223A3 (en) 2013-05-23
TWI541898B (zh) 2016-07-11

Similar Documents

Publication Publication Date Title
TWI541898B (zh) 用於半導體整合之非敏性乾式移除製程
TWI553726B (zh) 改良的溝槽內輪廓
CN101743631B (zh) 硼衍生的材料的沉积方法
TWI505364B (zh) 硬遮罩材料
TWI396253B (zh) 以低蝕刻速率介電質襯裡改善間隙填充之方法
KR20190101893A (ko) 고품질 에칭 저항성 갭필 유전체 막의 퇴적 및 리플로우를 위한 방법
TWI525658B (zh) 設計製造用於微影蝕刻遮罩應用的富硼薄膜之方法
KR101327923B1 (ko) 보론 니트라이드 및 보론 니트라이드-유도된 물질 증착 방법
TWI479044B (zh) 硼膜界面工程
TWI400755B (zh) 用於由下而上間隙充填的介電質沈積與回蝕處理
TWI579916B (zh) 整合可流動氧化物及頂蓋氧化物之新穎間隙填充
TWI424498B (zh) 用以改良介電薄膜之階梯覆蓋與圖案負載的方法
TWI343952B (en) Method for silicon based dielectric chemical vapor deposition
TWI517298B (zh) 經控制之氣隙的形成
WO2006136584A1 (en) Method of forming a high dielectric constant film and method of forming a semiconductor device
US8329552B1 (en) Semiconductor device and method of manufacture
KR101739496B1 (ko) 갭필 방법
CN116670802A (zh) 用于半导体装置形成的底层膜
US10593543B2 (en) Method of depositing doped amorphous silicon films with enhanced defect control, reduced substrate sensitivity to in-film defects and bubble-free film growth
KR20090120228A (ko) 저온 화학기상증착에 의한 산화막 증착 방법
TW202409321A (zh) 用於高品質選擇性氮化矽沉積的集成方法及工具
KR20180045047A (ko) 티타늄-화합물 계 하드 마스크 막들

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees