JP4871433B2 - 半導体装置およびその製造方法 - Google Patents

半導体装置およびその製造方法 Download PDF

Info

Publication number
JP4871433B2
JP4871433B2 JP2011511314A JP2011511314A JP4871433B2 JP 4871433 B2 JP4871433 B2 JP 4871433B2 JP 2011511314 A JP2011511314 A JP 2011511314A JP 2011511314 A JP2011511314 A JP 2011511314A JP 4871433 B2 JP4871433 B2 JP 4871433B2
Authority
JP
Japan
Prior art keywords
nitride layer
metal nitride
film
metal
insulating film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2011511314A
Other languages
English (en)
Other versions
JPWO2010125810A1 (ja
Inventor
隆史 中川
尚武 北野
和昭 松尾
求 小須田
徹 辰巳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Anelva Corp
Original Assignee
Canon Anelva Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Canon Anelva Corp filed Critical Canon Anelva Corp
Priority to JP2011511314A priority Critical patent/JP4871433B2/ja
Application granted granted Critical
Publication of JP4871433B2 publication Critical patent/JP4871433B2/ja
Publication of JPWO2010125810A1 publication Critical patent/JPWO2010125810A1/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Description

本発明は高誘電率絶縁膜と金属ゲート電極を有する半導体装置ならびにその製造方法及び製造プログラムに関するものであり、特にMOSFET(Metal Oxide Semiconductor Field Effect Transistor)の高性能化に関する技術である。
トランジスタの微細化が進む先端CMOS(相補型MOS)デバイス開発では、ポリシリコン(poly−Si)電極の空乏化による駆動電流の劣化とゲート絶縁膜の薄膜化によるゲート電流の増加が問題となっている。そこで、メタルゲートの適用により電極の空乏化を回避すると同時に、ゲート絶縁膜に高誘電体材料を用いて物理膜厚を厚くすることでゲートリーク電流を低減する複合技術が検討されている。メタルゲート電極に用いる材料として、純金属や金属窒化物あるいはシリサイド材料等が検討されているが、いずれの場合においても、N型MOSFET、P型MOSFETのしきい値電圧(Vth)を適切な値に設定可能でなければならない。従来の多結晶シリコン膜を介したゲート電極を用いる場合、トランジスタのしきい値電圧はチャネル領域の不純物濃度と多結晶シリコン膜中の不純物濃度で決定される。一方、メタルゲート電極を用いる場合には、トランジスタのしきい値電圧は、チャネル領域の不純物濃度とゲート電極の仕事関数で決定される。CMOSトランジスタで±0.5V以下のVthを実現するためには、N型MOSFETでは仕事関数がSiのミッドギャップ(4.6eV)以下、望ましくは4.4eV以下の材料を、P型MOSFETでは仕事関数がSiのミッドギャップ(4.6eV)以上、望ましくは4.8eV以上の材料をゲート電極に用いる必要がある。
これらを実現する手段の一つとして、既存のCMOS作製工程と整合性の高いメタル挿入Poly−Si積層構造(MIPS:Metal−inserted Poly−silicon Stack)が検討されている。この方法では、Poly−Siとゲート絶縁膜の間にメタル膜を挿入したゲート電極を形成し、挿入したゲート電極の仕事関数によってしきい値電圧の調整している。このとき、メタル膜の仕事関数は、熱処理工程におけるゲート絶縁膜やPoly−Siとの相互反応により変化するという課題がある。
例えば、特許文献1では、多結晶シリコンとPVD−TiN(第2金属層)とCVD−TiN(第1金属層)の積層構造からなるゲート電極を用いる方法が開示されている。この方法によれば、第1金属層であるTiNをTiCl4とNH3を用いた熱CVD法で、450℃以下の低温で形成することで、P型MOSFETのメタルゲートに適した4.8eV以上の仕事関数を有するTiNが実現できると記載されている。また、第2金属層であるTiNをPVD法で、500℃(第1金属層であるTiNを形成するよりも高い温度)で形成することで、(100)面に配向したTiNが形成されると記載されている。この(100)面に配向したTiNは、ゲート電極の形成後の熱工程(例えば、活性化アニール工程)においてPoly−SiからTiNへのSiが拡散することによる仕事関数の低下を抑制する効果があると述べられている。
また、特許文献2では、ゲート絶縁膜と接する部分の平均結晶粒径が30nm以下である金属ゲート電極を用いる方法が開示されている。この方法によれば、例えばスパッタリング法により形成したTiNをゲート電極として用いる場合、300℃以下の成膜温度で、TiとNの比率が1:1よりも窒素が過剰になるように、Arと窒素の分圧比を制御して形成することにより、TiNの粒径が30nm以下になり、トランジスタのしきい値電圧のばらつきが抑制できると記載されている。また、TiNの結晶構造については、(111)配向のTiN膜を用いた方が、(111)と(110)配向が共存するTiN膜を用いた場合よりも、しきい値電圧値のばらつきが小さくなると述べられている。
また、特許文献3では、ゲート絶縁膜と接する部分の金属ゲート電極の面方位をそろえる方法が開示されている。この文献によると、TiNの仕事関数はTiNの結晶配向性によって変化し、(100)配向で4.3eV、(111)配向で4.6eVとなると記載されている。
また、特許文献4には、TiNの仕事関数を変化させる方法として、TiNとタングステンなどの高融点金属の積層構造からなるゲート電極を用い、窒化チタンの含有窒素濃度によって仕事関数を変化させる技術が開示されている。この方法によれば、TiN膜中への窒素のイオン注入や反応性スパッタリングによるTiNの形成時における窒素ガスの流量比を高くし、TiN膜中の窒素含有率を上昇させることで仕事関数を低下できると開示されている。また、反応性スパッタリング時の窒素含有率を100%にすることで、TiN膜の結晶配向性が概ね(200)に変化し、N型チャネル用MOSFETのゲート電極に適した仕事関数の低いTiNが得られると開示されている。
また、特許文献5には、TiNを用いたゲート電極と高誘電率ゲート絶縁膜の間に生じる反応を抑制する方法が開示されている。この方法によれば、TiNとタングステンの積層構造からなるゲート電極において、TiNの膜密度を5.0g/cm3以上にし、かつ結晶構造を(100)配向にし、かつ膜組成Ti/Nを1.0〜1.2の範囲に設定することによって、TiNと高誘電率ゲート絶縁膜の相互反応が抑制できると述べられている。
特開2008−16538号公報 特許第3523093号公報 特許第3540613号公報 特開2001−203276号公報 特開2009−59882号公報
しかしながら、上述の技術にはそれぞれ以下のような課題が存在する。
特許文献1に記載の方法では、高い仕事関数を有するTiNを実現するとともに、ゲート電極の形成後の熱工程におけるPoly−SiからTiNへSiが拡散することによる仕事関数の低減を抑制できる点で効果的な技術であるが、それぞれのTiNの膜組成に関して述べられておらず、組成により仕事関数が変化するという課題がある。また、ゲート絶縁膜と接する領域のTiNに関する最適な結晶配向性についても不明である。また、CVD法により高い仕事関数を有するTiNを形成した後、PVD法によりSiの拡散を抑制できるTiNを形成しているためゲート電極作成工程数が増加するという課題がある。また、PVD法によりSiの拡散を抑制できるTiNを形成するためには、500℃程度の高温化において成膜をする必要があるため、スループットの低下が懸念される。
また、特許文献2および特許文献3に記載のTiN膜の結晶粒径や結晶配向性を制御する方法では、最適な仕事関数を得るための膜組成やMIPS用の金属膜として適用した場合における、ゲート絶縁膜およびPoly−Siとの相互反応による仕事関数の変化について何も述べられていない。
また、特許文献4に記載の方法では、窒化チタンの含有窒素濃度により仕事関数を制御できる効果的な技術ではあるが、MIPS用の金属膜として適用した場合における、ゲート絶縁膜およびPoly−Siとの相互反応による仕事関数の変化について何も述べられていない。また、ゲート絶縁膜として窒化シリコン膜もしくは窒化酸化シリコン膜を用いているため、高誘電率ゲート絶縁膜に最適なTiN膜の膜組成や結晶配向性に関して述べられていない。
また、特許文献5に記載のTiN膜の膜密度、結晶配向性、膜組成を最適化する方法では、TiNとゲート絶縁膜との反応を抑制する点で効果的であるが、MIPS用の金属膜として適用した場合における、ゲート絶縁膜およびPoly−Siとの相互反応による仕事関数の変化について何も述べられていない。
本発明は、上記従来の課題に対してなされたものであり、その目的とするところは、より簡略化した製造プロセスを用いてTiNの膜組成や結晶配向性を最適化することで素子特性を向上させることが可能な半導体装置並びにその製造方法及び製造プログラムを提供することである。
本発明の半導体装置は、シリコン基板上に、ゲート絶縁膜と該ゲート絶縁膜上に設けられたゲート電極とを有するP型MOSFETを備えた半導体装置において、
前記ゲート絶縁膜が、金属酸化物、金属シリケート、又は窒素が導入された金属酸化物もしくは金属シリケートからなる高誘電率絶縁膜を有し、
前記ゲート電極が、前記ゲート絶縁膜上に配置されたTiとNを含有する第1金属窒化物層、該第1金属窒化物層上に配置されたTiとNを含有する第2金属窒化物層および該第2金属窒化物層上に配置された多結晶シリコン層を有し、
前記第1金属窒化物層のTiとNのモル比率(N/Ti)が1.1以上であり、かつ結晶配向性X1が1.1<X1<1.8であり、
前記第2金属窒化物層のTiとNのモル比率(N/Ti)が1.1以上であり、かつ結晶配向性X2が1.8≦X2であることを特徴とする。
また、本発明の半導体装置の製造方法は、シリコン基板上に、金属酸化物、金属シリケート、又は窒素が導入された金属酸化物もしくは金属シリケートからなる高誘電率絶縁膜を有するゲート絶縁膜と、該ゲート絶縁膜上に設けられたTiとNを含有する第1金属窒化物層、該第1金属窒化物層上に配置されたTiとNを含有する第2金属窒化物層及び該第2金属窒化物層上に配置された多結晶シリコン層を有するゲート電極とを有するP型MOSFETを備えた半導体装置の製造方法であって、
TiとNのモル比率(N/Ti)が1.1以上であり、かつ結晶配向性X1が1.1<X1<1.8の範囲である第1金属窒化物層を形成する工程と、
TiとNのモル比率(N/Ti)が1.1以上であり、かつ結晶配向性X2が1.8≦X2である第2金属窒化物層を形成する工程と、
を備えたことを特徴とする。
また、本発明の半導体装置の製造プログラムは、シリコン基板上に、金属酸化物、金属シリケート、又は窒素が導入された金属酸化物もしくは金属シリケートからなる高誘電率絶縁膜を有するゲート絶縁膜と、該ゲート絶縁膜上に設けられたTiとNを含有する第1金属窒化物層、該第1金属窒化物層上に配置されたTiとNを含有する第2金属窒化物層及び該第2金属窒化物層上に配置された多結晶シリコン層を有するゲート電極とを有するP型MOSFETを備えた半導体装置の製造プログラムであって、
TiとNのモル比率(N/Ti)が1.1以上であり、かつ結晶配向性X1が1.1<X1<1.8の範囲である第1金属窒化物層を形成する手順と、
TiとNのモル比率(N/Ti)が1.1以上であり、かつ結晶配向性X2が1.8≦X2である第2金属窒化物層を形成する手順と、
をコンピューターに実行させることを特徴とする。
本発明によれば、素子の電気特性の劣化を招くことなく、ゲート電極形成後の熱処理工程における多結晶シリコン層からTiN膜中へのSiの拡散による仕事関数の低下を抑制することができる。
本発明の実施形態に関わる素子構造の断面を示す図である。 本発明の実施形態に関わる窒化チタン膜の形成工程に用いられる処理装置の概略を示す図である。 本発明の実施形態に関わる窒化チタン膜の膜組成と膜密度および実効仕事関数の関係を示す図である。 本発明の実施形態に関わる窒化チタン膜のXRD回折スペクトルを示す図である。 本発明の実施形態に関わる窒化チタン膜のXRD回折スペクトルにおけるピーク強度比と膜組成の関係を示す図である。 本発明の実施形態に関わる素子のEOTとリーク電流の関係を示す図である。 本発明の実施形態に関わる窒化チタン膜の膜組成と膜密度の関係を示す図である。 本発明の実施形態に関わる素子構造の断面を示す図である。 本発明の実施形態に関わる素子の実効仕事関数の値とアニール温度の関係を示す図である。 本発明の実施形態に関わる窒化チタン膜のSEM観測像を示す図である。 本発明の実施形態に関わる素子のEOTとリーク電流の関係を示す図である。 本発明の実施形態に関わる素子構造の断面図を示す図である。 本発明の実施例1の半導体装置の断面構造を示す図である。 本発明の実施例2の半導体装置の製造方法の工程を示す図である。 本発明の実施例3の半導体装置の製造方法の工程を示す図である。 図2の処理装置を制御する制御装置の模式図である。 図16の制御装置の内部構成を示した図である。
以下、本発明の実施形態を図面に基づき詳細に説明する。
本発明者らは、高誘電率ゲート絶縁膜上、TiNからなる金属窒化膜と多結晶シリコン膜の積層構造からなるゲート電極を設けたP型MOSFET構造において、高い仕事関数を有し、かつゲート電極形成後の熱処理工程における仕事関数の低下を抑制できる窒化チタン膜構造を鋭意検討した結果、ゲート絶縁膜上に配置された第1金属窒化物層と第1金属窒化物層上に配置された第2金属窒化物層からなる積層構造を有し、第1金属窒化物層のTiとNのモル比率(N/Ti)が1.1以上であり、かつ結晶配向性X1が1.1<X1<1.8の範囲、第2金属窒化物層のTiとNのモル比率(N/Ti)が1.1以上であり、かつ結晶配向性X2が1.8≦X2に設定された窒化チタン膜構造を適用することにより、(1)素子の性能を低下させることなく高い仕事関数を実現、(2)ゲート電極形成後の熱処理工程における仕事関数の低下を抑制、できるゲート電極を新たに発見した。
ここで、本発明において、「結晶配向性」とは、TiとNを含有する金属窒化物層のX線回折スペクトルにおける(200)ピーク強度と(111)ピーク強度の比(C(200)/C(111))をいう。
本発明における高い仕事関数を実現するための窒化チタン膜の形態について、図1のMIPS型ゲート電極を有する素子を例に取り説明する。図1に示すように、表面にシリコン酸化膜と高誘電率膜としてHfSiO膜を用いたゲート絶縁膜2を有するp型シリコン基板1上に、窒化チタン膜3およびシリコン膜4が形成されている。
ゲート絶縁膜2に用いられる高誘電率材料は、SiO2の比誘電率(3.9)より大きな比誘電率をもつ材料であり、金属酸化物、金属シリケート、窒素が導入された金属酸化物、窒素が導入された金属シリケートが挙げられる。結晶化が抑えられ、素子の信頼性が向上する点から、窒素が導入された高誘電率膜が好ましい。高誘電率材料中の金属としては、膜の耐熱性および膜中の固定電荷抑制の観点から、HfもしくはZrが好ましい。また、高誘電率材料としては、Hf又はZrとSiとを含む金属酸化物、この金属酸化物にさらに窒素を含む金属酸窒化物が好ましく、HfSiO、HfSiONがより好ましい。また、ここではゲート絶縁膜2としてシリコン酸化膜とその上に積層された高誘電率膜を用いているが、これに限定されるものではなく、高誘電率絶縁膜単独あるいはシリコン酸窒化膜とその上に積層された高誘電率膜を用いることができる。
図2に、本発明における窒化チタン膜3の形成工程に用いられる処理装置の概略を示す。
成膜処理室100はヒータ101によって所定の温度に加熱できるようになっている。被処理基板102は、基板支持台103に組み込まれた、サセプタ104を介して、ヒータ105によって所定の温度に加熱できるようになっている。基板支持台103は、膜厚の均一性の観点から所定の回転数で回転できることが好ましい。成膜処理室内には、ターゲット106が被処理基板102を望む位置に設置されている。ターゲット106は、Cu等の金属から出来ているバックプレート107を介してターゲットホルダー108に設置されている。なお、ターゲット106とバックプレート107を組み合わせたターゲット組立体の外形を一つの部品としてターゲット材料で作成し、これをターゲットとして取り付けても構わない。つまり、ターゲットがターゲットホルダーに設置された構成でも構わない。Cu等の金属製のターゲットホルダー108には、スパッタ放電用電力を印加する直流電源110が接続されており、絶縁体109により接地電位の成膜処理室100の壁から絶縁されている。スパッタ面から見たターゲット106の背後には、マグネトロンスパッタリングを実現するためのマグネット111が配設されている。尚、各マグネット111の配列は、磁束線(磁気フラックス)を生成するものであれば、どのような配列で設けてもよい。マグネット111は、マグネットホルダー112に保持され、図示しないマグネットホルダー回転機構により回転可能となっている。ターゲットのエロージョンを均一にするため、放電中には、このマグネット111は回転している。ターゲット106は、基板102に対して斜め上方のオフセット位置に設置されている。すなわち、ターゲット106のスパッタ面の中心点は、基板102の中心点の法線に対して所定の寸法ずれた位置にある。ターゲット106と処理基板102の間には、遮蔽板116が配置され、電力が供給されたターゲット106から放出されるスパッタ粒子による処理基板102上への成膜を制御している。
ターゲットは、Tiの金属ターゲット106を用いた。窒化チタン膜の堆積は、金属ターゲット106に、それぞれ直流電源110より、ターゲットホルダー108およびバックプレート107を介して電力を供給することにより実施される。この際、不活性ガスが、不活性ガス源201から、バルブ202、マスフローコントローラ203、バルブ204を介してターゲット付近から成膜処理室100に導入される。また、窒素からなる反応性ガスは、窒素ガス源205から、バルブ206、マスフローコントローラ207、バルブ208を介して成膜処理室100内の基板付近に導入される。導入された不活性ガスおよび反応性ガスは、コンダクタンスバルブ117を介して、排気ポンプ118によって排気される。
本発明における窒化チタン膜3の堆積は、スパッタリングガスとしてアルゴン、反応性ガスとして窒素を用いた。基板温度は、27℃〜600℃、ターゲットパワーは50W〜1000W、スパッタガス圧は0.1Pa〜1.0Pa、Ar流量は0sccm〜100sccm(0Pa・m3/sec〜1.69×10-1Pa・m3/sec)、窒素ガス流量は0sccm〜100sccm(0Pa・m3/sec〜1.69×10-1Pa・m3/sec)、の範囲で適宜決定することができる。ここでは、基板温度30℃、Tiのターゲットパワー750W、スパッタガス圧0.2Paとしアルゴンガス流量を0sccm〜20sccm(0Pa・m3/sec〜3.38×10-2Pa・m3/sec)、窒素ガス流量を2sccm〜50sccm(3.38×10-3Pa・m3/sec〜8.45×10-2Pa・m3/sec)の範囲で変化させて堆積した。窒化チタン膜中のTi元素とN元素のモル比率および結晶配向性は、図16、図17に示す制御装置600を用いて、スパッタリング時に導入するアルゴンと窒素の混合比率により調整した。尚、本明細書中における「モル比率」とは、物質量の基本単位であるモル数の比率をいう。Ti元素とN元素のモル比率は、例えば、X線光電子分光法により物質内にある固有の電子の結合エネルギー、電子のエネルギー準位と量から測定することができる。
次に、堆積した窒化チタン膜3上に、スパッタリング法によりシリコン膜4を20nm堆積した。
次に、作製したサンプルを窒素雰囲気中、400℃〜1000℃の範囲で2min間のアニール処理を行った。
次に、リソグラフィー技術とRIE(Reactive Ion Etching)技術を用いてTiN膜4を所望の大きさに加工し、MIPS型ゲート電極を有する素子を形成した。
堆積した窒化チタン膜の組成は、X線光電子分光(XPS:X−ray Photoelectron Spectroscopy)法により分析した。また、窒化チタン膜の結晶配向性はX線回折(XRD:X−ray Diffraction)法により分析した。また、膜密度はX線反射率(X−Ray Reflect meter)法により分析した。また、実効仕事関数、EOT(Equivalent Oxide Thickness、SiO2換算膜厚のこと)、リーク電流特性などの電気特性をC−V、I−V測定により評価した。また、本発明において、「実効仕事関数」とは、一般にゲート絶縁膜とゲート電極とのCV測定によるフラットバンドより求められるものであり、ゲート電極本来の仕事関数の他に、絶縁膜中の固定電荷、界面に形成される双極子、フェルミレベルピンニング等の影響を受ける。ゲート電極を構成する材料本来の「仕事関数」(1個の電子をフェルミ準位から真空準位に取り出すのに必要なエネルギー)とは区別される。
次に、EOT(酸化膜換算膜厚)について説明する。絶縁膜の種類によらず、絶縁膜材料がシリコン酸化膜であると仮定して、容量から逆算して得られる絶縁膜の電気的な膜厚を酸化膜換算膜厚という。即ち、絶縁膜の比誘電率をεh、シリコン酸化膜の比誘電率をεoとし、絶縁膜の厚さをdhとしたとき、酸化膜換算膜厚deは、下記式(1)で表される。
de=dh×(εo/εh)・・・(1)
上記式(1)は、絶縁膜に、シリコン酸化膜の比誘電率εoに比べて大きな誘電率εhをもった材料を用いた場合には、酸化膜換算膜厚deは、この絶縁膜の膜厚dhよりも薄いシリコン酸化膜と同等になることを示している。なお、シリコン酸化膜の比誘電率εoは3.9程度である。そのため、例えば、εh=39の高誘電率材料からなる膜は、その物理膜厚dhを15nmとしても、酸化膜換算膜厚(電気膜厚)deが1.5nmになり、絶縁膜の容量値を膜厚が1.5nmのシリコン酸化膜と同等に保ちつつ、リーク電流を著しく低減することができる。
図3に、本発明における窒化チタン膜の膜組成(N/Ti比)(TiとNのモル比率(N/Ti))と膜密度の関係を示す。また、図中には、主なサンプルの窒化チタン形成条件(アルゴンガスおよび窒素ガスの流量条件)と熱処理を施していない状態における実効仕事関数(eWf)の値を表している。図に示されるように、アルゴンガス流量10sccm(1.69×10-2Pa・m3/sec)、窒素ガス流量10sccm(1.69×10-2Pa・m3/sec)の条件(条件A)で作製した窒化チタンの膜組成はN/Ti=1.24、膜密度は5.06g/ccであり、実効仕事関数はeWf=4.96eVと高い値を有している。また、アルゴンガス流量0sccm(0Pa・m3/sec)、窒素ガス流量50sccm(8.45×10-2Pa・m3/sec)の条件(条件B)で作製した窒化チタンの膜組成はN/Ti=1.23、膜密度は4.8g/ccであり、実効仕事関数はeWF=4.9eVと高い値を有している。また、アルゴンガス流量13.5sccm(2.28×10-2Pa・m3/sec)、窒素ガス流量6sccm(1.01×10-2Pa・m3/sec)の条件(条件C)で作製した窒化チタンの膜組成はN/Ti=1.15、膜密度は5.05g/ccであり、実効仕事関数はeWF=4.6eVと上述の条件と比較して低い値を有している。このように、本発明における窒化チタン膜は、スパッタリング形成時におけるアルゴンガス流量と窒素ガス流量を調節することにより、膜組成(N/Ti比)、膜密度、仕事関数を制御できる。更に、窒化チタン膜の実効仕事関数は、膜密度ではなく膜組成(N/Ti比)に関係していることが明らかになった。従って、P型MOSFETに適した4.6eV以上の仕事関数を得るには、N/Ti比は1.1以上が好ましく、4.8eV以上の仕事関数を得るには、N/Ti比は1.2以上が好ましい。このように本発明における窒化チタン膜は、膜組成(N/Ti比)の増加に従い、実効仕事関数値が増加することから、上述した特許文献4に記載の窒化チタン(膜組成(N/Ti比)の増大に伴い、実効仕事関数が減少する)とその現象が大きく異なっている。
図4に、条件A、条件B、条件Cで作製した窒化チタン膜のXRD回折スペクトルを比較した結果を示す。図4の横軸は、回折角度を示しており、図4の縦軸は、回折強度を示している。図中のC(111)、C(200)およびC(220)はそれぞれ窒化チタン膜の結晶面、(111)面、(200)面、(220)面を表している。図に示されるように、膜組成(N/Ti比)と実効仕事関数が高い条件Aおよび条件Bの窒化チタン膜は、膜組成(N/Ti比)と実効仕事関数が低い条件Cと比較して、(200)面の結晶配向性が高い結晶構造を有している。
図5に、窒化チタン膜の膜組成(N/Ti比)とXRDスペクトルにおける(111)面と(200)面のピーク強度比C(200)/C(111)(結晶配向性)を比較した結果を示す。図5において、横軸は、窒化チタン膜の膜組成(N/Ti比)を示し、縦軸は、ピーク強度比を示す。図に示されるように、条件Aと条件Bの膜組成(N/Ti比)が1.2以上の窒化チタン膜のピーク強度比は1.7以上の高い値を有している。また、条件Bの窒化チタン膜のピーク強度比は1.8以上と条件Aと比較して更に高い値を有している。従って、実効仕事関数の値が4.6eV以上の窒化チタン膜は、膜組成(N/Ti比)が1.1以上であり、結晶配向性を表すXRD回折スペクトルピーク強度比C(200)/C(111)が1.1以上であり、実効仕事関数の値が4.8eV以上の窒化チタン膜は、膜組成(N/Ti比)が1.2以上であり、結晶配向性を表すXRD回折スペクトルピーク強度比C(200)/C(111)が1.7以上であることが示される。このように本発明における窒化チタン膜は、(200)面の結晶配向性を有する場合においても実効仕事関数値は4.9eVと高い値を示すことから、上述した特許文献3で開示されている窒化チタン膜(実効仕事関数の値は(100)配向で4.3eV、(111)配向で4.6eVを有する)と異なることが示される。
図6に、条件A、条件Bおよび条件Cで作製した窒化チタン膜を有する素子のEOT(Equivalent Oxide Thickness、SiO2換算膜厚のこと)とリーク電流(Jg)の関係を示す。図より、条件Aおよび条件Cの窒化チタン膜を有する素子と比較して、条件Bの窒化チタン膜を有する素子は、EOTが0.2nm増加し、かつリーク電流(Jg)が約一桁増加する。このことは、条件Bの窒化チタン膜は、高い仕事関数を有しているものの素子特性の低下を招くことを示している。条件Bの窒化チタン膜と条件Aおよび条件Cの窒化チタン膜の相違は、膜密度が低いこと、結晶配向性の指標となるピーク強度比C(200)/C(111)が1.8以上と高いことである。ここで、条件Cと同等の実効仕事関数値およびピーク強度比C(200)/C(111)を有し、膜密度が条件Bと同等の窒化チタン膜を有する素子の電気特性を評価した結果、EOTおよびリーク電流値(Jg)の劣化はないことを確認した。従って、条件Bの窒化チタン膜を有する素子におけるEOTとリーク電流の増加は、結晶配向性に起因していると考えられる。
図7に、膜組成(O/Ti比(モル比))と膜密度の関係を示す。ここで、作製したサンプルを大気暴露により酸化している。図より、O/Ti比は膜密度の増加に伴い低下する。窒化チタン膜の酸化は、比抵抗の増大を招き素子特性を悪化させることから、窒化チタン膜の膜密度は4.8g/cc以上が好ましいことが示される。
次に、本発明におけるゲート電極の形成後の熱処理工程における仕事関数の低下を抑制する窒化チタン膜について、図8の素子を例に取り説明する。図8(a)および(b)は、図1で示した素子構造と同一であり、窒化チタン膜は、それぞれ上述した条件Aおよび条件Bで作製した。また、図8(c)は、窒化チタン膜が積層型の膜構造を有している点で異なり、ゲート絶縁膜上に条件Aで膜厚2nmの窒化チタン膜を堆積した後、同一の成膜処理室内において連続して条件Bで膜厚8nmの窒化チタン膜を堆積した。尚、その他の条件は、図1で示した素子と同一である。
図9は、作製した素子の実効仕事関数のアニール温度依存性を示したものである。図中の構造(a)、(b)、(c)はそれぞれ図8に示した素子(a)、(b)、(c)に対応している。図中のシリコンなしは、図8の素子(a)の窒化チタン上にシリコンを堆積していない素子構造を表している。図に示されるように、素子の実効仕事関数は、アニール処理を施していない状態(as−deposited)で4.9eV以上の値を有しているが、600℃以上のアニール処理により減少する。構造(a)は、1000℃のアニール処理により実効仕事関数は4.45eVまで低下する。一方、構造(b)、(c)は、1000℃のアニール処理により実効仕事関数は低下するが、P型MOSFETに適した値である4.6eVを有している。窒化チタン膜上にシリコン膜を堆積していない素子の実効仕事関数は、1000℃のアニール処理を施しても4.9eV以上の高い値を示していることから、アニール処理に伴う実効仕事関数の低下は、上述した特許文献1にも述べられているように、シリコンが窒化チタン膜中に拡散することに起因していると考えられる。従って、構造(b)および(c)を構成する窒化チタンは、アニール処理による窒化チタン膜中へのシリコンの拡散を抑制する効果を有しており、少なくともシリコンと接している領域に条件Bで堆積した窒化チタン膜が存在していればその効果を確保できることが示される。また、ここでは1000℃、2minのアニール条件における実効仕事関数の値を示したが、MOSFETの作製工程における活性化アニール(1000℃、10sec)の条件では、更に、実効仕事関数の変化は抑制できることを確認した。
また、構造(a)の1000℃アニール後の実効仕事関数はN型MOSFETに適した4.6eV以下の値を有している。ここで、素子(a)の窒化チタン膜として、TiとNのモル比率が1.1以下であり、かつ結晶配向性X3が1.1以下の範囲を有する窒化チタン膜を堆積し、1000℃アニール後の実効仕事関数を評価した結果、N型MOSFETに適した4.4eVの値が得られることを確認した。
図10に、条件Aおよび条件Bで堆積した窒化チタン膜の表面SEM像を示す。条件Aで堆積した窒化チタン膜は、20nm程度のグレインサイズの柱状構造を有し、表面は結晶面に起因する鋭い凹凸を有している。条件Bで堆積した窒化チタン膜は、条件Aよりも小さい20nm以下のグレインサイズの柱状構造を有し、表面は条件Aと比較して平坦性に優れた形状を有している。窒化チタン膜の堆積条件による結晶形状や表面平坦性の違いは、図4において示された結晶配向性によるものであり、ピーク強度比C(200)/C(111)が1.8以上の窒化チタン膜は、グレインサイズが小さく、表面平坦性に優れている。また、図9において示された窒化チタン膜の相違による実効仕事関数の値の違いは、窒化チタン膜のグレインサイズや表面平坦性に関連していると考えられる。すなわち、条件Bの窒化チタン膜は、グレインサイズが小さく、かつ表面平坦性に優れているため、結晶粒界を介したシリコンの拡散を防止し、その結果、実効仕事関数の低下を抑制している。また、この効果は、シリコンと接する領域に条件Bの窒化チタン膜を有する素子(C)においても同様に発揮される。上述した特許文献2では、窒化チタン膜の平均結晶粒径が30nm以下にすることで、しきい値電圧のバラツキを抑制できること、また、窒化チタン膜の結晶構造は、(111)配向のTiN膜を用いた方が、(111)と(110)配向が共存するTiN膜を用いた場合よりも、しきい値電圧値のばらつきが小さくなると述べられている。一方、本実施形態によれば、しきい値電圧のバラツキに寄与する実効仕事関数の低下は、窒化チタンのグレインサイズだけでなく表面平坦性に大きく影響を受け、結晶配向性を表すXRD回折スペクトルにおけるピーク強度比C(200)/C(111)が1.8以上である窒化チタンを用いることで平坦性を改善し実効仕事関数の低下を抑制できる。従って、本発明における窒化チタン膜は、特許文献2で開示されている窒化チタン膜と異なることが示される。
図11に、1000℃アニール後のEOTとリーク電流(Jg)の関係を示す。図に示されるように、素子(a)および素子(c)のEOTおよびJgは、ほぼ同じ特性であるのに対して、素子(b)のJgは素子(a)および素子(c)と比較して約一桁大きい。図6において示された結果と同様に、素子(b)の窒化チタン膜は、1000℃のアニール工程を経た場合においても素子の電気特性の悪化を招く。すなわち、素子()における窒化チタン膜は、1000℃のアニール処理による実効仕事関数の低下を抑制できるが、素子の電気特性を悪化させるため、MIPS型ゲート電極を用いた半導体装置の窒化チタン膜としては適さない。従って、電気特性の劣化を招くことなくゲート電極の形成後の熱処理工程における実効仕事関数値の低下を抑制するためには、素子(c)に示されるように、ゲート絶縁膜上に配置されたTiとNを含有する第1金属窒化物層と第1金属窒化物層上に配置されたTiとNを含有する第2金属窒化物層の積層構造が必要であることが示された。また、本発明における第1金属窒化物層は、スパッタリング法により高い仕事関数を実現するため、膜組成(N/Ti比)および結晶配向性を最適化し、かつ第2金属窒化物層は、スパッタリング法によりシリコンの拡散による実効仕事関数の低下を抑制するため、膜組成(N/Ti比)および結晶配向性を最適化している点で、特許文献1に記載の窒化チタン膜と異なる。更に、本発明における窒化チタンの積層膜は、同一の成膜処理室内において、堆積時の基板温度を上げることなく、スパッタリングによる連続プロセスを用いて形成されている点で、特許文献1に記載の方法とは異なる。
以上の結果より、本発明における第1金属窒化物層のTiとNのモル比率(N/Ti)は、P型MOSFETに適した実効仕事関数を実現するため、1.1以上が好ましく、1.2以上がより好ましい。更に、第1金属窒化物層の結晶配向性を表すXRDスペクトルにおけるC[200]/C[111]のピーク強度比X1は、P型MOSFETに適した実効仕事関数を実現し、かつ素子の電気特性を悪化させないため、1.1<X1<1.8の範囲が好ましい。更に、膜密度は、酸化による素子特性の劣化を防止するため、4.8g/cc以上が好ましく、5.0g/cc以上がより好ましい。
また、本発明における第2金属窒化物層のTiとNのモル比率(N/Ti)は、ゲート電極の形成後の熱処理工程におけるシリコンの拡散による実効仕事関数値の低下を抑制するため、1.1以上が好ましく、1.2以上がより好ましい。更に、第2金属窒化物層の結晶配向性を表すXRDスペクトルにおけるC[200]/C[111]のピーク強度比X2は、1.8≦X2の範囲が好ましい。更に、膜密度は、酸化による素子特性の劣化を防止するため、4.8g/cc以上が好ましい。
また、本発明における第1金属窒化物層の膜厚と前記第2金属窒化物層の膜厚の合計は、ゲート電極のエッチング加工におけるシリコンと窒化チタンにおけるエッチングレートに起因したサイドエッチングに伴うゲート形状の変化を抑制するため、20nm以下が好ましく、10nm以下がより好ましい。その際、第1金属窒化物層の膜厚は、第1金属窒化物層の膜厚と第2金属窒化物層の膜厚の合計に対して、少なくとも50%以上の比率を有していることが好ましく、80%の比率を有していることがより好ましい。
また、本発明における窒化チタン膜の堆積は、ゲート絶縁膜へのプラズマダメージによる素子特性の悪化を抑制し、かつ組成および結晶配向を制御するため、図2に示されるような、ターゲットが基板に対して斜め上方のオフセット位置に設置された成膜処理室内において、窒素からなる反応性ガスと不活性ガスの混合雰囲気下においてTiターゲットをマグネトロンスパッタする工程であり、第1金属窒化物層のTiとNのモル比率が1.1以上であり、かつ結晶配向性X1が1.1<X1<1.8の範囲を満たすように反応性ガスと不活性ガスの混合比率を設定し、第2金属窒化物層のTiとNのモル比率が1.1以上であり、かつ結晶配向性X2が1.8≦X2を満たすように反応性ガスと不活性ガスの混合比率を設定することが好ましい。
また、スループットの向上とおよび大気暴露に伴う窒化チタン膜の酸化を抑制するため、第1金属窒化物層を形成する工程と第2金属窒化物層を形成する工程を同一の成膜処理室内で実施することが、製造方法の簡略化を実現することができ、好ましい。
また、上記説明では、シリコン酸化膜と高誘電率膜としてHfSiO膜を用いたゲート絶縁膜を有する素子について述べたが、これに限定されるものではなく、ゲート絶縁膜に用いられる高誘電率材料は、SiO2の比誘電率(3.9)より大きな比誘電率をもつ材料であり、金属酸化物、金属シリケート、窒素が導入された金属酸化物、窒素が導入された金属シリケートが挙げられる。結晶化が抑えられ、素子の信頼性が向上する点から、窒素が導入された高誘電率膜が好ましい。高誘電率材料中の金属としては、膜の耐熱性および膜中の固定電荷抑制の観点から、HfもしくはZrが好ましい。また、高誘電率材料としては、Hf又はZrとSiとを含む金属酸化物、この金属酸化物にさらに窒素を含む金属酸窒化物が好ましく、HfSiO、HfSiONがより好ましい。また、ここではゲート絶縁膜としてシリコン酸化膜とその上に積層された高誘電率膜を用いているが、これに限定されるものではなく、高誘電率絶縁膜単独あるいはシリコン酸窒化膜とその上に積層された高誘電率膜を用いることができる。
また、上記の説明では、表面にシリコン酸化膜と高誘電率膜としてHfSiO膜を用いたゲート絶縁膜を有するp型シリコン基板上に、窒化チタン膜およびシリコン膜が形成されたMIPS型ゲート電極を有する素子について述べたが、これに限定されるものではなく、図12に示されるMIPS構造からなるゲート電極を有するMOSFET素子においても、本発明の条件を満たす窒化チタン膜が含まれていれば、十分にその効果を得ることができる。
また、図15に示す様に、シリコン基板上に、更にN型MOSFETを備える場合には、N型MOSFETは、ゲート絶縁膜とゲート絶縁膜上に設けられたゲート電極を有し、ゲート絶縁膜が、金属酸化物、金属シリケート、又は窒素が導入された金属酸化物もしくは金属シリケートからなる高誘電率絶縁膜を有し、ゲート電極が、ゲート絶縁膜上に設けられたTiとNを含有する第3金属窒化物層と第3金属窒化物層上に配置された多結晶シリコン層を有し、第3金属窒化物層のTiとNのモル比率(N/Ti)が1.1以下であり、かつ結晶配向性X3が1.1以下であることが好ましい。
次に、本実施形態の窒化チタン膜の形成工程に用いられる図2の処理装置の制御装置について説明する。図16は、図2の処理装置を制御する制御装置の模式図である。バルブ202、204、206、208はそれぞれ制御用入出力ポート500、501、502、503を介して制御装置600によって開閉制御ができる。また、マスフローコントローラ203、207はそれぞれ制御用入出力ポート504、505を介して制御装置600によって流量の調節ができる。また、コンダクタンスバルブ117は、制御用入出力ポート506を介して制御装置600によって開度の調節ができる。また、ヒータ105は、入出力ポート507を介して制御装置600によって温度の調節ができる。また、基板支持台103の回転状態は、入出力ポート508を介して制御装置600によって回転数の調節ができる。また、直流電源110は、入出力ポート509を介して制御装置600によって周波数ならびに供給電力が調節できる。
本発明においては、制御装置600により、第1金属窒化物層のTiとNのモル比率(N/Ti)が1.1以上であり、かつ結晶配向性X1が1.1<X1<1.8になるように、また、第2金属窒化物層のTiとNのモル比率(N/Ti)が1.1以上であり、かつ結晶配向性X2が1.8≦X2になるように、スパッタリング成膜時に導入するアルゴンガス等の不活性ガスと窒素ガスからなる反応性ガスの混合比率を制御している。
図17は、図16の制御装置600の内部構成を示した図である。制御装置600は、入力部601、プログラム及びデータを有する記憶部602、プロセッサ603及び出力部604からなり、基本的にはコンピューター構成であり、図2の処理装置605を制御している。
本発明の製造プログラムは、コンピューター(PC)により読み取り可能な記録媒体に記録されて、制御装置600の記憶部602にインストールされる。記録媒体としては、フロッピー(登録商標)ディスク、ZIP(登録商標)等の磁気記録媒体、MO等の光磁気記録媒体、CD−R、DVD−R、DVD+R,DVD−RAM、DVD+RW(登録商標)、PD等の光ディスク等が挙げられる。また、コンパクトフラッシュ(登録商標)、スマートメディア(登録商標)、メモリースティック(登録商標)、SDカード等のフラッシュメモリ系、マイクロドライブ(登録商標)、Jaz(登録商標)等のリムーバブルディスクが挙げられる。
記憶部602内にインストールされる本発明の製造プログラムは、シリコン基板上に、ゲート絶縁膜と、該ゲート絶縁膜上に設けられたTiとNを含有する第1金属窒化物層、該第1金属窒化物層上に配置されたTiとNを含有する第2金属窒化物層及び該第2金属窒化物層上に配置された多結晶シリコン層を有するゲート電極とを有するP型MOSFETを備えた半導体装置の製造プログラムである。
そして、本発明の製造プログラムは、TiとNのモル比率(N/Ti)が1.1以上であり、かつ結晶配向性X1が1.1<X1<1.8の範囲である第1金属窒化物層を形成する手順と、TiとNのモル比率(N/Ti)が1.1以上であり、かつ結晶配向性X2が1.8≦X2である第2金属窒化物層を形成する手順と、をコンピューターに実行させる。
より具体的には、前記第1金属窒化物層を形成する手順では、窒素からなる反応性ガスと不活性ガスの混合雰囲気下においてTiターゲットをマグネトロンスパッタする際に、金属窒化物層のTiとNのモル比率(N/Ti)が1.1以上であり、かつ結晶配向性X1が1.1<X1<1.8の範囲を満たすように反応性ガスと不活性ガスの混合比率を制御し、前記第2金属窒化物層を形成する手順では、窒素からなる反応性ガスと不活性ガスの混合雰囲気下においてTiターゲットをマグネトロンスパッタする際に、金属窒化物層のTiとNのモル比率(N/Ti)が1.1以上であり、かつ結晶配向性X2が1.8≦X2を満たすように反応性ガスと不活性ガスの混合比率を制御する。
また、本発明の製造プログラムは、更に、ゲート絶縁膜を形成する手順として、シリコン基板を加熱し、ターゲットを用いた物理蒸着により被処理基板に金属膜を堆積する手順と、該金属膜を酸化する元素を含有するガスを供給し、熱酸化反応によって前記金属膜を酸化して高誘電率絶縁膜を形成する手順を有していてもよい。
<実施例1>
本発明の第1の実施例を、図面を参照しながら詳細に説明する。
図13は、実施例1に関わるMIPS型ゲート電極を有する素子構造の断面の概略である。表面に膜厚1.8nmのシリコン酸化膜を有するシリコン基板5に、スパッタリング法により膜厚0.5〜0.7nmのHfを堆積した。その後、酸素分圧0.1Paの雰囲気で、900℃、1minのアニール処理を施し、シリコン酸化膜中にHfを拡散させることで、シリコン酸化膜とHfSiO膜の積層構造からなるゲート絶縁膜6を形成した。その後、ゲート絶縁膜上に図2に示す処理装置において、Ti金属ターゲットを用いてアルゴンガス流量と窒素ガス流量の混合比を調節することによりTiとNのモル比率が1.1以上であり、かつ結晶配向性X1が1.1<X1<1.8の範囲を有する窒化チタン膜7を2nm〜5nm堆積した。次に、同一の成膜処理室内において、アルゴンガス流量と窒素ガス流量の混合比を調節することにより、TiとNのモル比率が1.1以上であり、かつ結晶配向性X2が1.8≦X2となるように窒化チタン膜8を5nm〜8nm堆積した。スパッタパワーは、750W、基板温度は、30℃と同一である。次に、CVD法により多結晶シリコン9を20nm堆積した。次に、窒素雰囲気中で1000℃、2minのアニール処理を行った。
次に、リソグラフィー技術とRIE(Reactive Ion Etching)技術を用いてTiN膜を所望の大きさに加工し、MIPS型ゲート電極を有する素子を形成した。
堆積した窒化チタン膜の組成は、X線光電子分光(XPS:X−ray Photoelectron Spectroscopy)法により分析した。また、窒化チタン膜の結晶配向性はX線回折(XRD:X−ray Diffraction)法により分析した。また、膜密度はX線反射率(X−Ray Reflect meter)法により分析した。また、実効仕事関数、EOT、リーク電流特性などの電気特性をC−V、I−V測定により評価した。
その結果、本実施例におけるゲート絶縁膜上に配置された第1金属窒化物層としてTiとNのモル比率が1.1以上であり、かつ結晶配向性X1が1.1<X1<1.8の範囲を有する窒化チタン膜と、第2金属窒化物層としてTiとNのモル比率が1.1以上であり、かつ結晶配向性X2が1.8≦X2となるように窒化チタン膜からなるMIPS型ゲート電極を有する素子において、EOTやリーク電流の悪化を伴うことなく、P型MOSFETに適した実効仕事関数(4.6eV以上)が得られることを確認した。また、第1金属窒化物層および第2金属窒化物層の膜密度は4.8g/cc以上であり、酸化による比抵抗の増大に伴う、電気特性の低下はみられなかった。
また、ゲート絶縁膜としてCVD法により堆積したHfSiO膜においても同様の効果を得ることを確認した。
また、HfSiOを堆積した後、ラジカルN化処理により形成したHfSiON膜をゲート絶縁膜として用いた場合においても同様の効果を得ることを確認した。
また、ゲート絶縁膜としてZrを含む、ZrSiO、ZrSiON、HfZrSiO、HfZrSiONからなる群から選択される一つの材料を用いても、同様の効果が得られることを確認した。
<実施例2>
本発明の第2の実施例を、図面を参照しながら詳細に説明する。
図14(a)〜(c)は、本発明の第2の実施例である図12に示す半導体装置の製造方法の工程を示した図である。まず図14(a)に示すようにシリコン基板301の表面に、STI(Shallow Trench Isolation)技術により形成された素子分離領域302が設けられている。続いて、素子分離されたシリコン基板表面に熱酸化法により膜厚1.0nmのシリコン熱酸化膜を形成する。その後、実施例1と同じ方法によりHfSiON膜を堆積しゲート絶縁膜303を形成する。
次に、ゲート絶縁膜303上に実施例1と同じ方法により、Ti金属ターゲットを用いてアルゴンガス流量と窒素ガス流量の混合比を調節することによりTiとNのモル比率が1.1以上であり、かつ結晶配向性X1が1.1<X1<1.8の範囲を有する窒化チタン膜(第1金属窒化物層)304を2nm〜5nm堆積した。次に、同一の成膜処理室内において、アルゴンガス流量と窒素ガス流量の混合比を調節することにより、TiとNのモル比率が1.1以上であり、かつ結晶配向性X2が1.8≦X2となるように窒化チタン膜(第2金属窒化物層)305を5nm〜8nm堆積した。
次に、膜厚20nmのシリコン層306を形成した後、図14(b)に示すようにリソグラフィー技術およびRIE技術を用いてゲート電極に加工し、引き続いてイオン注入を行い、エクステンション拡散領域307をゲート電極をマスクとして自己整合的に形成した。
さらに、図14(c)に示すように、シリコン窒化膜とシリコン酸化膜を順次堆積し、その後、エッチバックすることによってゲート側壁308を形成した。この状態で再度イオン注入を行い、活性化アニールを経てソース・ドレイン拡散層309を形成した。
作製した素子の電気特性を評価した結果、EOTやリーク電流の悪化を伴うことなく、P型MOSFETに適した実効仕事関数(4.6eV以上)が得られることを確認した。
また、ゲート絶縁膜としてCVD法により堆積したHfSiO膜においても同様の効果を得ることを確認した。
また、HfSiOを堆積した後、ラジカルN化処理により形成したHfSiON膜をゲート絶縁膜として用いた場合においても同様の効果を得ることを確認した。
また、ゲート絶縁膜としてZrを含む、ZrSiO、ZrSiON、HfZrSiO、HfZrSiONからなる群から選択される一つの材料を用いても、同様の効果が得られることを確認した。
このように、本実施の形態におけるMIPS型ゲート電極からなるMOSFET素子においても本発明の効果を得られることを確認した。
<実施例3>
図15(a)〜(c)は、本発明の第3の実施例である半導体装置の製造方法の工程を示した図である。まず図15(a)に示すようにシリコン基板401の表面に、STI(Shallow Trench Isolation)技術により形成された素子分離領域402が設けられ、N型MOSFET領域とP型MOSFET領域が分離される。続いて、素子分離されたシリコン基板表面に熱酸化法により膜厚1.0nmのシリコン熱酸化膜を形成する。その後、実施例1と同じ方法によりHfSiON膜を堆積しゲート絶縁膜403を形成する。
次に、P型MOSFET領域のゲート絶縁膜上に図2に示す処理装置を用いて、アルゴンガス流量と窒素ガス流量の混合比を調節することによりTiとNのモル比率が1.1以上であり、かつ結晶配向性X1が1.1<X1<1.8の範囲を有する窒化チタン膜(第1金属窒化物層)404を2nm堆積した。次に、同一の成膜処理室内において、アルゴンガス流量と窒素ガス流量の混合比を調節することにより、TiとNのモル比率が1.1以上であり、かつ結晶配向性X2が1.8≦X2となるように窒化チタン膜(第2金属窒化物層)405を8nm堆積した。スパッタパワーは、750W、基板温度は、30℃と同一である。
次に、N型MOSFET領域のゲート絶縁膜上に図2に示す処理装置を用いて、アルゴンガス流量と窒素ガス流量の混合比を調節することによりTiとNのモル比率が1.1以下であり、かつ結晶配向性X3が1.1以下の範囲を有する窒化チタン膜(第3金属窒化物層)406を10nm堆積した。
次に、図15(b)に示すように膜厚20nmのシリコン層407を形成した。
次に、リソグラフィー技術およびRIE技術を用いてP型MOSFET領域のゲート電極に加工し、引き続いてイオン注入を行い、エクステンション拡散領域409をゲート電極をマスクとして自己整合的に形成した。さらに、シリコン窒化膜とシリコン酸化膜を順次堆積し、その後、エッチバックすることによってゲート側壁408を形成した。この状態で再度イオン注入を行い、活性化アニールを経てソース・ドレイン拡散層410を形成した。
次に、リソグラフィー技術とRIE技術を用いてN型MOSFET領域のゲート電極を加工し、引き続きイオン注入を行い、エクステンション拡散領域411をゲート電極をマスクとして自己整合的に形成した。さらに、シリコン窒化膜とシリコン酸化膜を順次堆積し、その後、エッチバックすることによってゲート側壁408を形成した。この状態で再度イオン注入を行い、活性化アニールを経てソース・ドレイン拡散層412を形成し、図15(c)に示される半導体装置を作製した。
作製した素子の電気特性を評価した結果、P型MOSFETではEOTやリーク電流の悪化を伴うことなく、P型MOSFETに適した実効仕事関数(4.6eV以上)が得られることを確認した。また、N型MOSFETではEOTやリーク電流の悪化を伴うことなく、N型MOSFETに適した実効仕事関数(4.4eV以下)が得られることを確認した。
また、ゲート絶縁膜としてCVD法により堆積したHfSiO膜においても同様の効果を得ることを確認した。
また、HfSiOを堆積した後、ラジカルN化処理により形成したHfSiON膜をゲート絶縁膜として用いた場合においても同様の効果を得ることを確認した。
また、ゲート絶縁膜としてZrを含む、ZrSiO、ZrSiON、HfZrSiO、HfZrSiONからなる群から選択される一つの材料を用いても、同様の効果が得られることを確認した。
このように、本実施の形態におけるMIPS型ゲート電極からなるCMOSFET素子においても本発明の効果を得られることを確認した。
1 シリコン基板
2 ゲート絶縁膜
3 窒化チタン膜
4 シリコン膜
5 シリコン基板
6 ゲート絶縁膜
7 第1金属窒化物層
8 第2金属窒化物層
9 シリコン膜
100 成膜処理室
101 ヒータ
102 被処理基板
103 基板支持台
104 サセプタ
105 ヒータ
106 金属ターゲット
107 バックプレート
108 ターゲットホルダー
109 絶縁体
110 直流電源
111 マグネット
112 マグネットホルダー
116 遮蔽板
117 コンダクタンスバルブ
118 排気ポンプ
201 不活性ガス源
202 バルブ
203 マスフローコントローラ
204 バルブ
205 反応性ガス源
206 バルブ
207 マスフーコントローラ
208 バルブ
301 シリコン基板
302 素子分離領域
303 ゲート絶縁膜
304 第1金属窒化物層
305 第2金属窒化物層
306 シリコン層
307 エクステンション領域
308 ゲート側壁
309 ソース・ドレイン領域
401 シリコン基板
402 素子分離領域
403 ゲート絶縁膜
404 第1金属窒化物層
405 第2金属窒化物層
406 第3金属窒化物層
407 シリコン層
408 ゲート側壁
409 エクステンション領域
410 ソース・ドレイン領域
411 エクステンション領域
412 ソース・ドレイン領域
413 層間絶縁膜

Claims (13)

  1. シリコン基板上に、ゲート絶縁膜と該ゲート絶縁膜上に設けられたゲート電極とを有するP型MOSFETを備えた半導体装置において、
    前記ゲート絶縁膜が、金属酸化物、金属シリケート、又は窒素が導入された金属酸化物もしくは金属シリケートからなる高誘電率絶縁膜を有し、
    前記ゲート電極が、前記ゲート絶縁膜上に配置されたTiとNを含有する第1金属窒化物層、該第1金属窒化物層上に配置されたTiとNを含有する第2金属窒化物層および該第2金属窒化物層上に配置された多結晶シリコン層を有し、
    前記第1金属窒化物層のTiとNのモル比率(N/Ti)が1.1以上であり、かつ結晶配向性X1が1.1<X1<1.8であり、
    前記第2金属窒化物層のTiとNのモル比率(N/Ti)が1.1以上であり、かつ結晶配向性X2が1.8≦X2であることを特徴とする半導体装置。
  2. 前記シリコン基板上に、更にN型MOSFETを備え、
    該N型MOSFETは、ゲート絶縁膜と該ゲート絶縁膜上に設けられたゲート電極を有し、
    前記ゲート絶縁膜が、金属酸化物、金属シリケート、又は窒素が導入された金属酸化物もしくは金属シリケートからなる高誘電率絶縁膜を有し、
    前記ゲート電極が、前記ゲート絶縁膜上に設けられたTiとNを含有する第3金属窒化物層と該第3金属窒化物層上に配置された多結晶シリコン層を有し、
    前記第3金属窒化物層のTiとNのモル比率(N/Ti)が1.1以下であり、かつ結晶配向性X3が1.1以下であることを特徴とする請求項に記載の半導体装置。
  3. 前記第1金属窒化物層と前記第2金属窒化物層のTiとNのモル比率が1.2以上であることを特徴とする請求項1または2に記載の半導体装置。
  4. 前記第1金属窒化物層と前記第2金属窒化物層の膜密度が4.8g/cc以上であることを特徴とする請求項1〜のいずれか1項に記載の半導体装置。
  5. 前記第1金属窒化物層の膜厚と前記第2金属窒化物層の膜厚の合計が20nm以下であることを特徴とする請求項1〜のいずれか1項に記載の半導体装置。
  6. 前記高誘電率絶縁膜として、HfもしくはZrを含む絶縁膜を有することを特徴とする請求項1〜のいずれか1項に記載の半導体装置。
  7. 前記ゲート絶縁膜が、シリコン酸化膜もしくはシリコン酸窒化膜と、HfもしくはZrを含む層の積層構造であることを特徴とする請求項1〜のいずれか1項に記載の半導体装置。
  8. シリコン基板上に、金属酸化物、金属シリケート、又は窒素が導入された金属酸化物もしくは金属シリケートからなる高誘電率絶縁膜を有するゲート絶縁膜と、該ゲート絶縁膜上に設けられたTiとNを含有する第1金属窒化物層、該第1金属窒化物層上に配置されたTiとNを含有する第2金属窒化物層及び該第2金属窒化物層上に配置された多結晶シリコン層を有するゲート電極とを有するP型MOSFETを備えた半導体装置の製造方法であって、
    TiとNのモル比率(N/Ti)が1.1以上であり、かつ結晶配向性X1が1.1<X1<1.8の範囲である第1金属窒化物層を形成する工程と、
    TiとNのモル比率(N/Ti)が1.1以上であり、かつ結晶配向性X2が1.8≦X2である第2金属窒化物層を形成する工程と、
    を備えたことを特徴とする半導体装置の製造方法。
  9. 前記第1金属窒化物層を形成する工程と前記第2金属窒化物層を形成する工程が、
    窒素からなる反応性ガスと不活性ガスの混合雰囲気下においてTiターゲットをマグネトロンスパッタする工程であり、
    前記第1金属窒化物層を形成する工程では、金属窒化物層のTiとNのモル比率(N/Ti)が1.1以上であり、かつ結晶配向性X1が1.1<X1<1.8の範囲を満たすように反応性ガスと不活性ガスの混合比率を設定し、
    前記第2金属窒化物層を形成する工程では、金属窒化物層のTiとNのモル比率(N/Ti)が1.1以上であり、かつ結晶配向性X2が1.8≦X2を満たすように反応性ガスと不活性ガスの混合比率を設定することを特徴とする請求項に記載の半導体装置の製造方法。
  10. 前記第1金属窒化物層を形成する工程と前記第2金属窒化物層を形成する工程を同一の成膜処理室内で実施することを特徴とする請求項に記載の半導体装置の製造方法。
  11. シリコン基板上に、金属酸化物、金属シリケート、又は窒素が導入された金属酸化物もしくは金属シリケートからなる高誘電率絶縁膜を有するゲート絶縁膜と、該ゲート絶縁膜上に設けられたTiとNを含有する第1金属窒化物層、該第1金属窒化物層上に配置されたTiとNを含有する第2金属窒化物層及び該第2金属窒化物層上に配置された多結晶シリコン層を有するゲート電極とを有するP型MOSFETを備えた半導体装置の製造プログラムであって、
    TiとNのモル比率(N/Ti)が1.1以上であり、かつ結晶配向性X1が1.1<X1<1.8の範囲である第1金属窒化物層を形成する手順と、
    TiとNのモル比率(N/Ti)が1.1以上であり、かつ結晶配向性X2が1.8≦X2である第2金属窒化物層を形成する手順と、
    をコンピューターに実行させることを特徴とする半導体装置の製造プログラム。
  12. 前記第1金属窒化物層を形成する手順では、窒素からなる反応性ガスと不活性ガスの混合雰囲気下においてTiターゲットをマグネトロンスパッタする際に、金属窒化物層のTiとNのモル比率(N/Ti)が1.1以上であり、かつ結晶配向性X1が1.1<X1<1.8の範囲を満たすように反応性ガスと不活性ガスの混合比率を制御し、
    前記第2金属窒化物層を形成する手順では、窒素からなる反応性ガスと不活性ガスの混合雰囲気下においてTiターゲットをマグネトロンスパッタする際に、金属窒化物層のTiとNのモル比率(N/Ti)が1.1以上であり、かつ結晶配向性X2が1.8≦X2を満たすように反応性ガスと不活性ガスの混合比率を制御することを特徴とする請求項11に記載の半導体装置の製造プログラム。
  13. 請求項11または12に記載の製造プログラムを記録したことを特徴とするコンピューター読み取り可能な記録媒体。
JP2011511314A 2009-04-28 2010-04-28 半導体装置およびその製造方法 Active JP4871433B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2011511314A JP4871433B2 (ja) 2009-04-28 2010-04-28 半導体装置およびその製造方法

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2009108996 2009-04-28
JP2009108996 2009-04-28
JP2011511314A JP4871433B2 (ja) 2009-04-28 2010-04-28 半導体装置およびその製造方法
PCT/JP2010/003038 WO2010125810A1 (ja) 2009-04-28 2010-04-28 半導体装置およびその製造方法

Publications (2)

Publication Number Publication Date
JP4871433B2 true JP4871433B2 (ja) 2012-02-08
JPWO2010125810A1 JPWO2010125810A1 (ja) 2012-10-25

Family

ID=43031968

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011511314A Active JP4871433B2 (ja) 2009-04-28 2010-04-28 半導体装置およびその製造方法

Country Status (4)

Country Link
US (1) US8415753B2 (ja)
JP (1) JP4871433B2 (ja)
KR (1) KR101178166B1 (ja)
WO (1) WO2010125810A1 (ja)

Families Citing this family (251)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011052354A1 (ja) 2009-10-27 2011-05-05 キヤノンアネルバ株式会社 不揮発性記憶素子およびその製造方法
WO2012073471A1 (ja) * 2010-12-01 2012-06-07 キヤノンアネルバ株式会社 不揮発性記憶素子およびその製造方法
US8513773B2 (en) * 2011-02-02 2013-08-20 Semiconductor Energy Laboratory Co., Ltd. Capacitor and semiconductor device including dielectric and N-type semiconductor
JP2012231123A (ja) * 2011-04-15 2012-11-22 Hitachi Kokusai Electric Inc 半導体装置、半導体装置の製造方法、基板処理システムおよびプログラム
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
CN105448918B (zh) * 2014-09-30 2020-05-12 联华电子股份有限公司 互补金属氧化物半导体与其制作方法
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6474078B2 (ja) * 2015-03-24 2019-02-27 国立研究開発法人物質・材料研究機構 窒化チタン薄膜熱電半導体の製造方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
CN106571299B (zh) * 2015-10-10 2020-03-10 中芯国际集成电路制造(上海)有限公司 Pmos晶体管及其形成方法
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) * 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US9966425B1 (en) * 2017-02-28 2018-05-08 United Microelectronics Corp. Method for fabricating a MIM capacitor
WO2018181025A1 (ja) * 2017-03-29 2018-10-04 京セラ株式会社 サーマルヘッドおよびサーマルプリンタ
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10134629B1 (en) * 2017-09-06 2018-11-20 United Microelectronics Corp. Method for manufacturing a semiconductor structure
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
JP7170433B2 (ja) * 2018-06-19 2022-11-14 株式会社東芝 半導体装置及びその製造方法
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11031236B2 (en) * 2019-10-01 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving surface of semiconductor device
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US20220139706A1 (en) * 2020-11-02 2022-05-05 Applied Materials, Inc. Methods and apparatus for processing a substrate
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US20230020099A1 (en) * 2021-07-15 2023-01-19 Taiwan Semiconductor Manufacturing Co., Ltd. NFET with Aluminum-Free Work-Function Layer and Method Forming Same
JP7345524B2 (ja) * 2021-07-30 2023-09-15 日機装株式会社 半導体発光素子および半導体発光素子の製造方法
JP7344936B2 (ja) * 2021-07-30 2023-09-14 日機装株式会社 半導体発光素子および半導体発光素子の製造方法
JP7344937B2 (ja) * 2021-07-30 2023-09-14 日機装株式会社 半導体発光素子および半導体発光素子の製造方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08250452A (ja) * 1995-03-09 1996-09-27 Fujitsu Ltd 半導体装置及びその製造方法
JPH10125627A (ja) * 1996-10-24 1998-05-15 Fujitsu Ltd 半導体装置の製造方法および高融点金属ナイトライド膜の形成方法
JPH11162873A (ja) * 1997-11-21 1999-06-18 Nec Corp 半導体装置の製造方法
JPH11224947A (ja) * 1997-11-28 1999-08-17 Toshiba Corp 半導体装置およびその製造方法
JP2000040824A (ja) * 1998-07-24 2000-02-08 Toshiba Corp 半導体装置
JP2001203276A (ja) * 2000-01-21 2001-07-27 Nec Corp 半導体装置およびその製造方法
JP2008016538A (ja) * 2006-07-04 2008-01-24 Renesas Technology Corp Mos構造を有する半導体装置及びその製造方法
JP2009059882A (ja) * 2007-08-31 2009-03-19 Nec Electronics Corp 半導体装置

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4992038B2 (ja) * 2007-03-28 2012-08-08 株式会社昭和真空 スパッタ装置及びスパッタ方法
JP5037242B2 (ja) 2007-07-06 2012-09-26 キヤノンアネルバ株式会社 半導体素子の製造方法

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08250452A (ja) * 1995-03-09 1996-09-27 Fujitsu Ltd 半導体装置及びその製造方法
JPH10125627A (ja) * 1996-10-24 1998-05-15 Fujitsu Ltd 半導体装置の製造方法および高融点金属ナイトライド膜の形成方法
JPH11162873A (ja) * 1997-11-21 1999-06-18 Nec Corp 半導体装置の製造方法
JPH11224947A (ja) * 1997-11-28 1999-08-17 Toshiba Corp 半導体装置およびその製造方法
JP2000040824A (ja) * 1998-07-24 2000-02-08 Toshiba Corp 半導体装置
JP2001203276A (ja) * 2000-01-21 2001-07-27 Nec Corp 半導体装置およびその製造方法
JP2008016538A (ja) * 2006-07-04 2008-01-24 Renesas Technology Corp Mos構造を有する半導体装置及びその製造方法
JP2009059882A (ja) * 2007-08-31 2009-03-19 Nec Electronics Corp 半導体装置

Also Published As

Publication number Publication date
US20120043617A1 (en) 2012-02-23
KR101178166B1 (ko) 2012-08-30
KR20110082186A (ko) 2011-07-18
JPWO2010125810A1 (ja) 2012-10-25
US8415753B2 (en) 2013-04-09
WO2010125810A1 (ja) 2010-11-04

Similar Documents

Publication Publication Date Title
JP4871433B2 (ja) 半導体装置およびその製造方法
JP4792132B2 (ja) 誘電体ならびに半導体装置の製造方法、プログラム、および、記録媒体
JP5937297B2 (ja) 金属窒化膜、該金属窒化膜を用いた半導体装置、および半導体装置の製造方法
US20040262642A1 (en) Semiconductor device and manufacturing method thereof
JP4494525B1 (ja) 誘電体膜の製造方法、半導体装置の製造方法、誘電体膜、およびコンピュータ読み取り可能な記録媒体
JP2006524438A (ja) シリコンを導入することによって、仕事関数を微調整したメタルゲート構造を形成する方法
JP5209791B2 (ja) 半導体装置およびその製造方法
JP5458177B2 (ja) 半導体装置の製造方法および装置
WO2007142010A1 (ja) 半導体装置およびその製造方法
JP2011151366A (ja) 誘電体膜の製造方法
JP5960491B2 (ja) 半導体装置およびその製造方法
JP4087998B2 (ja) 半導体装置及びその製造方法
JP2009038229A (ja) 半導体装置
JP5463423B2 (ja) チャージトラップ型記憶装置及びその製造方法

Legal Events

Date Code Title Description
TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111115

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111118

R150 Certificate of patent or registration of utility model

Ref document number: 4871433

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141125

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250