JP2005537639A - アルコールを用いて金属酸化物を形成するシステムおよび方法 - Google Patents

アルコールを用いて金属酸化物を形成するシステムおよび方法 Download PDF

Info

Publication number
JP2005537639A
JP2005537639A JP2004531531A JP2004531531A JP2005537639A JP 2005537639 A JP2005537639 A JP 2005537639A JP 2004531531 A JP2004531531 A JP 2004531531A JP 2004531531 A JP2004531531 A JP 2004531531A JP 2005537639 A JP2005537639 A JP 2005537639A
Authority
JP
Japan
Prior art keywords
formula
metal
substrate
metal oxide
precursor compounds
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2004531531A
Other languages
English (en)
Inventor
エー. ヴァールトシュトラ,ブライアン
Original Assignee
マイクロン テクノロジー,インコーポレイティド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マイクロン テクノロジー,インコーポレイティド filed Critical マイクロン テクノロジー,インコーポレイティド
Publication of JP2005537639A publication Critical patent/JP2005537639A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17CVESSELS FOR CONTAINING OR STORING COMPRESSED, LIQUEFIED OR SOLIDIFIED GASES; FIXED-CAPACITY GAS-HOLDERS; FILLING VESSELS WITH, OR DISCHARGING FROM VESSELS, COMPRESSED, LIQUEFIED, OR SOLIDIFIED GASES
    • F17C11/00Use of gas-solvents or gas-sorbents in vessels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31683Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of metallic layers, e.g. Al deposited on the body, e.g. formation of multi-layer insulating structures

Abstract

蒸着法と、1または2以上のアルコールと、1または2以上の金属含有前駆体化合物とを用いて、基板、特に半導体基板または基板アセンブリの上に金属酸化物層を形成する方法(および形成する装置)。

Description

[発明の分野]
本発明は、蒸着工程中に1または2以上のアルコールと、1または2以上の金属含有前駆体化合物を用いて基板上に金属酸化物層を形成する方法に関する。このような前駆体化合物および方法は、半導体基板または基板アセンブリ上への金属酸化物層の形成に特に好適である。
[発明の背景]
キャパシタやゲート等のマイクロ電子デバイスが何年にもわたって絶えず小型化してきたために、集積回路技術において従来より用いられてきた材料がその性能限界に近づいている状況に至っている。一般に、シリコン(すなわち、ドープしたポリシリコン)は好まれる基板であり、二酸化ケイ素(SiO2)はしばしばシリコンとともに誘電材料として用いられてマイクロ電子デバイスを構成してきた。しかし、最新のマイクロデバイスにおいて所望されるように、SiO2層が1nmまで薄くなると(すなわち、厚さがわずか4または5分子)、層を通り抜けて流れるトンネル電流のために、もはや、層が絶縁体として有効に機能することはない。
したがって、デバイス性能を高める新規な高誘電率材料が必要とされている。そのような材料は、高誘電率、トンネル現象を防ぐ障壁の高さ、シリコンとの直接接触における安定性、ならびに良好なインターフェース特性および膜構造を示すことが必要である。さらにそのような材料は、ゲート材料、電極、半導体処理温度、および動作条件に適合しなければならない。
最近、半導体ウエハー上に堆積した、ZrO2、HfO2、Al23、およびYSZ等の金属の高品質酸化物薄膜を、メモリ(例えば、ダイナミックランダムアクセスメモリ(DRAM)デバイス、スタティックランダムアクセスメモリ(SRAM)デバイス、および強誘電体メモリ(FERAM)デバイス)において用いることに関心が高まっている。このような材料は誘電率が高く、したがって、非常に薄い層が必要な、メモリにおけるSiO2の代用品として魅力的である。このような金属酸化物層は、シリコンの存在下で熱力学的に安定であり、熱アニール中のシリコンの酸化を最少にし、金属ゲート電極に適合するように思われる。特にゲート誘電体には、誘電率およびバンドギャップで比較的高い値を有するため、La23、HfO2、およびZrO2もまた有望である。
この発見が、金属酸化物を基材にして層、特に誘電体層を形成する、さまざまな堆積法の研究への努力につながった。そのような堆積法には、蒸着、金属熱酸化、および高真空スパッタリングが含まれている。化学蒸着(CVD)および原子層堆積(ALD)を含む蒸着法は、基板上での誘電体の均一性および厚さの制御に優れているため非常に魅力がある。しかし蒸着法では通常、反応性金属前駆体化合物の、酸素または水等の酸素源との共反応が伴う。そのどちらであっても、望ましくないSiO2の界面層の形成を引き起こす可能性がある。したがって、水および酸素がない蒸着法を開発する努力が行われている。
Ritala et al.,"Atomic Layer Deposition of Oxide Thin Films with Metal Alkoxides as Oxygen Sources," SCIENCE, 288:319-321(2000)は、酸化物薄膜のALDへの化学的アプローチを説明している。このアプローチでは、金属源と酸素源の両方の役割を果たす金属アルコキシドが、金属塩化物または金属アルキル等の別の金属化合物と反応して、シリコン酸化物の界面層を作成することなくシリコン上に金属酸化物を堆積する。しかし
、望ましくない残留塩素もまた、形成されてしまう可能性がある。さらに、ジルコニウムおよびハフニウムのアルキルは一般的に不安定であり、市販されていない。これらは、また、結果として生じる膜に炭素を残す可能性がある。
このように半導体の誘電体層は絶えず改良されているのにもかかわらず、特に蒸着法を用いて半導体基板上に高品質の酸化物層を薄く形成することができる、十分に揮発性の金属前駆体化合物を利用した蒸着法が、依然として必要とされている。
[発明の概要]
本発明は、基板上に金属酸化物層を蒸着する方法を提供する。このような蒸着方法は、1または2以上のアルコールを1または2以上のの金属有機アミン前駆体化合物(例えば、アルキルアミンまたはアルキルイミン−アルキルアミン)および/または金属アルキル前駆体化合物と化合させることによってその層を形成することを含む。重要なことには、本発明の方法は水または強力な酸化剤を用いることが必要ではなく、したがって、所望の金属酸化物層と基板との間に望ましくない酸化物の界面層が生じたり一番上の層よりも下にある他の層を酸化したりするという諸問題を緩和(通常は回避)する。通常、そして好ましくは、この層は誘電体層である。
本発明の方法は、半導体構造の製造において半導体基板または基板アセンブリ等の基板上に金属酸化物層を形成することを含む。そのような方法は、基板(好ましくは半導体基板または基板アセンブリ)を設けること、式R(OH)rで表される少なくとも1のアルコールを供給すること、式M1(NR1w(NR23z(式I)、M24 q(式II)、または式IIのルイス塩基付加物で表される少なくとも1の金属含有前駆体化合物を供給すること、および蒸着法を用いて、両前駆体化合物を、基板の1または2以上の表面上に接触させることにより、金属酸化物層を形成することを含む。Rは有機基であり、rは1から3であり、式IおよびIIにおいて、M1およびM2はそれぞれ互いに独立して金属であり(本明細書で使用する場合にはメタロイドすなわち半金属を含む)、R1、R2、R3、およびR4はそれぞれ互いに独立して水素または有機基であり、wは0から4であり、zは1から8であり、qは1から5であり、w、z、およびqは、金属の酸化状態に依存する。
本発明の好ましい実施形態において、堆積チャンバ内に基板(好ましくは半導体基板または基板アセンブリ)を設けること、式R(OH)rで表される少なくとも1のアルコールを供給すること、式M1(NR1w(NR23z(式I)、M24 q(式II)、または式IIのルイス塩基付加物で表される少なくとも1の金属含有前駆体化合物を供給すること、および両前駆体化合物を気化することにより、気化した両前駆体化合物を基板に供給することにより、基板の1または2以上の表面上に金属酸化物の誘電体層を形成することを含む方法が提供される。Rは有機基であり、rは1から3であり、式IおよびIIにおいて、M1およびM2はそれぞれ互いに独立して金属であり、R1、R2、R3、およびR4はそれぞれ互いに独立して水素または有機基であり、wは0から4であり、zは1から8であり、qは1から5であり、w、z、およびqは、金属の酸化状態に依存する。
本発明の別の好ましい実施形態において、メモリデバイス構造を製造する方法が提供される。本方法は、その上に第1の電極を有する基板を設けること、式R(OH)rで表される少なくとも1のアルコールを供給すること、式M1(NR1w(NR23z(式I)、M24 q(式II)、または式IIのルイス塩基付加物で表される少なくとも1の金属含有前駆体化合物を供給すること、両前駆体化合物を気化することにより、気化した両前駆体化合物を形成すること、気化した両前駆体化合物を基板に供給することにより、基板の第1の電極上に金属酸化物の誘電体層を形成すること、および、誘電体層上に第2の電極を形成することを含む。Rは有機基であり、rは1から3であり、式IおよびIIにお
いて、M1およびM2はそれぞれ互いに独立して金属であり、R1、R2、R3、およびR4はそれぞれ互いに独立して水素または有機基であり、wは0から4であり、zは1から8であり、qは1から5であり、w、z、およびqは、金属の酸化状態に依存する。
内部に基板を配置した蒸着チャンバと、式R(OH)rで表される1または2以上のアルコールを有する1または2以上の容器と、式M1(NR1w(NR23z(式I)、M24 q(式II)、または式IIのルイス塩基付加物で表される1または2以上の前駆体化合物を備える1または2以上の容器を備える蒸着装置もまた提供される。Rは有機基であり、rは1から3であり、式IおよびIIにおいて、M1およびM2はそれぞれ互いに独立して金属であり、R1、R2、R3、およびR4はそれぞれ互いに独立して水素または有機基であり、wは0から4であり、zは1から8であり、qは1から5であり、w、z、およびqは、金属の酸化状態に依存する。
本発明の方法は、パルスCVDであってもよい化学蒸着(CVD)法を利用してもよく、原子層堆積(ALD)法(複数の堆積サイクルを含み、通常サイクル同士の間にパージを行う、自己制御方式の蒸着法)を利用してもよい。好ましくは、本発明の方法はALDを用いる。特定のALD法については、両前駆体化合物は、それぞれの堆積サイクル中に交互に堆積チャンバ内に導入されてもよい。
「半導体基板」または「基板アセンブリ」とは、本明細書で使用する場合には、下地半導体層等の半導体基板、またはその上に1または2以上の層、構造、または領域を形成した半導体基板のことを指す。下地半導体層は、通常ウエハー上のシリコン材料の一番下の層、または、サファイア上のシリコン層等、別の材料上に堆積させたシリコン層である。基板アセンブリという場合は、それよりも前にさまざまな工程段階を用いて、キャパシタの極板やキャパシタの障壁等の領域、接合、さまざまな構造もしくは特徴部、および開口部が形成または画定され得る。
「層」とは、本明細書で使用する場合には、蒸着法を用いて本発明の前駆体化合物から基板上に形成することができる何らかの金属酸化物層のことを指す。「層」という用語は、「障壁層」、「誘電体層」、および「導電層」等、半導体産業に特有の層を含む層を意味する。(「層」という用語は、半導体産業においてしばしば用いられる「膜」という用語と同義である。)「層」という用語はまた、ガラス上のコーティング等、半導体技術以外の技術に見られる層を含む層をも意味する。
「前駆体化合物」とは、本明細書で使用する場合には、単独でまたは他の前駆体化合物とともに、蒸着法で基板上に金属酸化物層を形成することができる、アルコールまたは金属含有化合物のことを指す。
「堆積法」および「蒸着法」とは、本明細書で使用する場合には、基板(例えば、ドープしたポリシリコンウエハー)の1または2以上の表面上に気化した前駆体化合物(複数可)から金属酸化物層が形成される方法のことを指す。すなわち、1または2以上の金属前駆体(すなわち、金属含有前駆体)化合物は気化し、堆積チャンバ内に配置した加熱した基板(例えば、半導体基板または基板アセンブリ)の1または2以上の表面に供給される。このような前駆体化合物は、基板の表面(複数可)上に不揮発性の薄く均一な金属酸化物層を形成する(例えば、反応または分解によって)。本発明の目的のために、「蒸着法」という用語は化学蒸着法(パルス化学蒸着法を含む)と原子層堆積法の両方を含むよう意図される。
「化学蒸着」(CVD)とは、本明細書で使用する場合には、反応成分を分離する努力をすることなく、堆積チャンバ内で気化した金属前駆体化合物(および用いる何らかの反
応ガス)から基板上に所望の層を堆積させる、蒸着法のことを指す。前駆体化合物と何らかの反応ガスとをほぼ同時に用いることを伴う「単純な」CVD法とは異なり、「パルス」CVDはこのような材料を堆積チャンバ内へと交互にパルス供給するが、原子層堆積すなわちALD(以下でより詳細に説明する)において通常なされる前駆体と反応ガス流との混合の厳密な回避は行わない。
「原子層堆積」(ALD)とは、本明細書で使用する場合には、堆積チャンバ内で多数の連続した堆積サイクルが行われる蒸着法のことを指す。通常、それぞれのサイクル中に金属前駆体が基板表面に化学吸着され、過剰な前駆体がパージされ、次の前駆体および/または反応ガスが導入されて化学吸着された層と反応し、過剰な反応ガス(用いる場合)および副生成物が除去される。単一サイクルの化学蒸着(CVD)法と比較して、継続時間がより長いマルチサイクルのALD法では、自己制御方式の層成長と、反応成分を分離することで好ましくない気相反応をできるだけ少なくすることとによって、層厚の制御を改善することができる。「原子層堆積」という用語はまた、本明細書で使用する場合には、前駆体化合物(複数可)、反応ガス(複数可)、およびパージ(すなわち、不活性のキャリア)ガスを交互にパルス供給する状態で行う場合の、関連用語である「原子層エピタキシー」(ALE)、分子線エピタキシー(MBE)、ガスソースMBE、有機金属(organometallic)MBE、およびケミカルビームエピタキシーを含むよう意図される。
「化学吸着」とは、本明細書で使用する場合には、気化した反応性前駆体化合物の基板表面上への化学的な吸着のことを指す。吸着された化学種は、通常の化学結合に匹敵する強さである大きな吸着エネルギー(例えば、>30kcal/mol)を特徴とする比較的強い結合力の結果として、不可逆的に基板表面に結合する。化学吸着された化学種は、通常基板表面上に単分子層を形成する。("The Condensed Chemical Dictionary", 10th edition, revised by G. G. Hawley, published by Van Nostrand Reinhold Co., New York, 225 (1981) を参照されたい。)ALDの技法は、化学吸着によって反応性前駆体分子の飽和単分子層を形成するという原理に基づく。ALDでは、1または2以上の適切な前駆体化合物または反応ガスが交互に堆積チャンバ内に導入され(例えばパルス供給され)、基板表面上に化学吸着される。反応性化合物(例えば、1または2以上の前駆体化合物および1または2以上の反応ガス)の連続導入はそれぞれ、通常不活性のキャリアガスのパージによって互いから分離されている。それぞれの前駆体化合物の共反応によって、前に堆積させた層に新しい原子層が加わり、累積した固体(solid)層を形成する。このサイクルは通常数百回繰り返され、所望の層厚を徐々に形成する。ALDは、化学吸着される1の前駆体化合物と、その化学吸着された化学種と反応する1の反応ガスとを交互に利用してもよい、ということが理解されるべきである。
[発明の好ましい実施形態の詳細な説明]
本発明は、式R(OH)r、ただしrは1から3(好ましくは1)、で表される1または2以上のアルコールと、式M1(NR1w(NR23z(式I)、M24 q(式II)、または式IIのルイス塩基付加物で表される1または2以上の金属含有前駆体化合物を用いて、基板(好ましくは半導体基板または基板アセンブリ)上に金属酸化物層を形成する方法を提供する。式IおよびIIにおいて、M1およびM2はそれぞれ互いに独立してあらゆる金属(主族、遷移金属、ランタノイド)であり、R1、R2、およびR3のそれぞれは互いに独立して水素または有機基であり、wは0から4(好ましくは0から2)であり、zは1から8(好ましくは2から6)であり、qは1から5(好ましくは2から3)であり、w、z、およびqは、金属の酸化状態に依存する。
金属酸化物層は、1または2以上の異なる金属を含んでもよく、通常式Mnm(式III)で表される。ただしMは上で規定したM1およびM2のうちの1または2以上であり得
る(すなわち、酸化物は単一の金属酸化物または混合金属酸化物でありうる)。任意で、金属酸化物層は混合金属酸化物である(すなわち、2つ以上の金属を含む)。より好ましくは、金属酸化物層は1の金属のみを含む。
金属酸化物層は(特に誘電体層である場合には)、好ましくはZrO2、HfO2、Ta23、Al23、TiO2、およびランタノイド酸化物のうちの1または2以上を含む。特に好ましい金属酸化物層はTiO2を含み、これは好ましくはアナターゼ相である。
金属酸化物層が2つ以上の異なる金属を含む場合には、金属酸化物層は、合金、固溶体、またはナノラミネートの形態であり得る。好ましくは、これらは誘電特性を有する。
金属酸化物層がその上に形成される基板は、好ましくは半導体基板または基板アセンブリである。例えば、導電性を有するようドープしたポリシリコン(本発明においては単に「シリコン」と呼ぶ)等、あらゆる好適な半導体材料が意図される。基板アセンブリはまた、プラチナ、イリジウム、ロジウム、ルテニウム、酸化ルテニウム、窒化チタン、窒化タンタル、タンタルシリコンナイトライド、二酸化ケイ素、アルミニウム、ガリウムヒ素、ガラス等、および例えばダイナミックランダムアクセスメモリ(DRAM)デバイスおよびスタティックランダムアクセスメモリ(SRAM)デバイス等の半導体構成において用いられるその他の現存するまたは今後開発される材料を含む層も含んでもよい。
本発明の方法において、半導体基板または基板アセンブリ以外の基板を用いてもよい。このようなものには、例えば繊維、ワイヤー等が含まれる。基板が半導体基板または基板アセンブリである場合には、層は基板の一番下の半導体表面上に直接形成してもよく、あるいは、例えばパターニングしたウエハーにおけるようなさまざまな層(すなわち、表面)のうちのいずれの上に形成してもよい。
本明細書において説明する前駆体化合物は、広くさまざまな金属を含んでもよい。本明細書で使用する場合には、「金属」は周期表のすべての金属(主族金属、遷移金属、ランタノイド、アクチノイドを含む)ならびにメタロイドすなわち半金属を含む。本発明のいくつかの方法については、好ましくは、それぞれの金属Mは、周期表の3−7、13、14族、およびランタノイドとも呼ばれるIIIB族(Sc、Y)、IVB族(Ti、Zr、Hf)、VB族(V、Nb、Ta)、VIB族(Cr、Mo、W)、VIIB族(Mn、Tc、Re)、IIIA族(Al、Ga、In、Tl)、IVA族(Si、Ge、Sn、Pb)、およびランタノイド(La、Ce、Pr、等)の金属の群から選択される。より好ましくは、それぞれの金属Mは、周期表の3−7、14族、およびランタノイドとも呼ばれるIIIB族(Sc、Y)、IVB族(Ti、Zr、Hf)、VB族(V、Nb、Ta)、VIB族(Cr、Mo、W)、VIIB族(Mn、Tc、Re)、IVA族(Si、Ge、Sn、Pb)、およびランタノイド(La、Ce、Pr、等)の金属の群から選択される。さらにより好ましくは、それぞれの金属Mは、周期表の3−7族およびランタノイドとも呼ばれるIIIB族(Sc、Y)、IVB族(Ti、Zr、Hf)、VB族(V、Nb、Ta)、VIB族(Cr、Mo、W)、VIIB族(Mn、Tc、Re)、およびランタノイド(La、Ce、Pr、等)の金属の群から選択される。
いくつかの実施形態については、M1またはM2の金属の好ましい群は、Y、La、Pr、Nd、Gd、Ti、Zr、Hf、Nb、Ta、Si、およびAlの群から選択される。いくつかの他の実施形態については、M2の金属の好ましい群は、Y、La、Pr、Nd、Gd、Ti、Zr、Hf、Nb、Ta、およびSiであり、M2の金属のより好ましい群は、Y、La、Pr、Nd、Gd、Ti、Zr、Hf、Nb、およびTaである。
式M1(NR1w(NR23z(式I)およびM24 q(式II)で表される前駆体化
合物(すなわち、アルコールおよび金属含有前駆体化合物)中のそれぞれのRはそれぞれ互いに独立して水素または有機基であり、好ましくは有機基である。本明細書で使用する場合には、「有機基」という用語は、本発明の目的のために、脂肪基、環式基、または脂肪基と環式基との組合せ(例えば、アルカリル基およびアラルキル基)として分類される炭化水素基を意味するよう用いられる。本発明の状況において、本発明の前駆体化合物に好適な有機基は、蒸着技法を用いる金属酸化物層の形成を妨げないものである。本発明の状況において、「脂肪基」という用語は、飽和または不飽和の直鎖状または分岐状の炭化水素基を意味する。この用語は、例えばアルキル基、アルケニル基、およびアルキニル基を包含するよう用いられる。「アルキル基」という用語は、例えばメチル、エチル、n−プロピル、イソプロピル、t−ブチル、アミル、ヘプチル、等を含む、飽和の直鎖状または分岐状の一価の炭化水素基を意味する。「アルケニル基」という用語は、ビニル基等の1または2以上のオレフィン系の不飽和基(すなわち、炭素−炭素二重結合)を有する不飽和の直鎖状または分岐状の一価の炭化水素基を意味する。「アルキニル基」という用語は、1または2以上の炭素−炭素三重結合を有する不飽和の直鎖状または分岐状の一価の炭化水素基を意味する。「環式基」という用語は、脂環式基、芳香族、またはヘテロ環式基として分類される閉環状の炭化水素基を意味する。「脂環式基」という用語は、脂肪基と類似した特性を有する環式炭化水素基を意味する。「芳香族」すなわち(or)「アリール基」という用語は、単環式または多環式の芳香族炭化水素基を意味する。「ヘテロ環式基」という用語は、環の原子のうちの1または2以上が炭素以外の元素(例えば、窒素、酸素、硫黄、等)である、閉環状の炭化水素を意味する。
本出願全体を通して用いるいくつかの専門用語の説明および再引用(recitation)を簡素化する手段として、「基(group)」および「部分(moiety)」という用語を用いて、置換を許容するすなわち置換することができる化学種と、そのように置換を許容しないすなわちそのように置換することができない化学種とを区別する。したがって、「基」という用語を用いて化学的な(chemical)置換基を説明する場合には、説明する化学材料は、置換されていない基(unsubstituted group)と、その基が、例えば過酸化物でないO、N、Si、F、またはSの原子を、カルボニル基またはその他の従来の置換基と同様に鎖に有するものとを含む。「部分」という用語を用いて化学化合物または置換基を説明する場合には、無置換の化学材料のみを含むことが意図される。例えば「アルキル基」という表現は、メチル、エチル、プロピル、t−ブチル、等の純粋な開鎖状の飽和炭化水素アルキル置換基だけでなく、ヒドロキシ、アルコキシ、アルキルスルホニル、ハロゲン原子、シアノ、ニトロ、アミノ、カルボキシ、等の当該技術分野において既知のさらなる置換基を有するアルキル置換基もまた含むよう意図される。したがって「アルキル基」は、エーテル基、ハロアルキル、ニトロアルキル、カルボキシアルキル、ヒドロキシアルキル、スルホアルキル、等を含む。他方「アルキル部分」という表現は、メチル、エチル、プロピル、t−ブチル、等、純粋な開鎖状の飽和炭化水素アルキル置換基のみを含むものに限定される。
本発明のすべての前駆体化合物(金属含有およびアルコールの両方)について、それぞれのRは互いに独立しておよび好ましくは水素または有機基であり、より好ましくは(C1〜C10)有機基であり、さらにより好ましくは(C1〜C8)有機基であり、さらにより好ましくは(C1〜C6)有機基であり、さらにより好ましくは「より小さい」(すなわち、C1〜C4)有機基である。さらにより好ましくは、このような有機基のそれぞれはアルキル基である。最も好ましくは、それぞれの有機基は有機部分であり、好ましくはアルキル部分である。
いくつかの実施形態において、アルコール前駆体化合物のR基の炭素原子はフッ素原子で置換されてもよい。好ましいアルコールは、エタノール、イソプロピルアルコール、n−プロピルアルコール、n−ブタノール、およびエチレングリコールモノメチルエーテル
を含む。
いくつかの実施形態において、金属含有前駆体化合物のR基の炭素原子は任意でケイ素、フッ素、酸素、および/もしくは窒素の原子またはそのような原子を含む基と交換すなわち置換される。したがって、シリル化アミン(silylated amines)およびシリル化イミンアミン(silylated imine-amines)は式Iの範囲内である。式I、M1(NR1w(NR23zで表される化合物について、R1、R2、およびR3はそれぞれ好ましくは(C1〜C6)有機基である。好適な前駆体化合物の例は、テトラキス(ジメチルアミノ)チタン、テトラキス(ジメチルアミノ)ハフニウム、テトラキス(エチルメチルアミノ)ハフニウム、およびAl(NMe22(N(Me)CH2CH2NMe2)(ただしMe=メチル)を含む。そのような化合物は、ストレム・ケミカル社等の製造業者から市販されているか、通常の技法を用いて(例えば金属塩化物を対応するリチウムジアルキルアミドと反応させることによって)調製することができるかのどちらかである。
式II、M24 qおよびそのルイス塩基付加物で表される化合物について、それぞれのR4は好ましくは水素または(C1〜C4)有機基である。好ましくは、式IIで表される化合物は、すべてのR4基がメチルである化合物は含まない(特にM2がアルミニウムである場合)。好適な前駆体化合物の例は、AlH3、AlMe3、AlHMe2、ZnEt2、およびAlH3NMe3を含む。そのような化合物は、シグマ・アルドリッチ等の製造業者から市販されているか、通常の技法を用いて(例えば、グリニャール試薬をハロゲン化金属と反応させることによって)調製することができるかのどちらかである。
さまざまな前駆体化合物を、任意で1または2以上の有機溶剤とともに(特にCVD法の場合に)さまざまな組合せで用いて、前駆体組成を形成することができる。前駆体化合物は、室温で液体であっても固体であってもよい(好ましくは、気化温度において液体である)。通常これらは、既知の蒸着技法を用いて使用するのに十分揮発性の液体である。しかしまた、固体である場合には、十分揮発性であって既知の蒸着技法を用いて固体状態から気化、すなわち昇華することができればよい。揮発性の度合いが低い固体である場合には、好ましくは、有機溶剤に十分可溶であるか、または、融点が分解温度よりも下であってフラッシュ蒸発、バブリング、微小液滴形成技法、等に用いることができる。本明細書において、気化した前駆体化合物は単独で用いても、任意で他の前駆体化合物の気化した分子とともに用いても、溶剤を用いる場合には任意で気化した溶剤分子とともに用いても、いずれであってもよい。本明細書で使用する場合には、「液体」とは、溶液または純粋な(neat)液体(室温で液体であるか、または、室温で固体であり温度が上昇すると溶融する)のことを指す。本明細書で使用する場合には、「溶液」は、有機溶剤によって十分な量の固体が化学蒸着法のために気相にされるならば、固体が完全に溶ける必要はなく、いくらか溶けていない固体が許容され得る。また、堆積において溶剤を希釈したものを用いる場合には、発生する溶剤蒸気の全体的なモル濃度をまた不活性のキャリアガスとして考えてもよい。
金属含有前駆体の場合、所望であれば溶剤を用いることができる。本出願に(特にCVD法に)好適な溶剤は、以下のもののうちの1または2以上であってもよい。脂肪族炭化水素または不飽和炭化水素(C3〜C20、好ましくはC5〜C10、環式、分岐状、または直鎖状)、芳香族炭化水素(C5〜C20、好ましくはC5〜C10)、ハロゲン化炭化水素、アルキルシラン等のシリル化炭化水素、アルキルケイ酸、エーテル、ポリエーテル、チオエーテル、エステル、ラクトン、アンモニア、アミド、アミン(脂肪族または芳香族、第1級、第2級、または第3級)、ポリアミン、ニトリル、シアナート、イソシアナート、チオシアナート、シリコーンオイル、アルコール、または上記のいずれかの組合せを含む化合物もしくは上記のうちの1または2以上の混合物。化合物はまた、通常互いに両立し、さまざまな量の前駆体化合物の混合物同士が相互に作用して物理特性が著し
く変わることがないようになっている。
本発明には、好ましくは、基板(通常シリコン)が酸化して酸化物(通常二酸化ケイ素)になるのをできるだけ少なくするために反応ガスは用いられない。その酸化工程はまた、金属電極または窒化物の障壁等の他の基板に好ましくない酸化をもたらしてしまう可能性がある。また、当該技術分野において既知のように、いくつかの層は酸化ガスを通して、一番上の基板層よりも下にある層に好ましくない酸化をもたらしてしまう可能性がある。
所望であれば、前駆体化合物は不活性のキャリアガスの存在下で気化してもよい。さらに、ALD法においては、パージ段階において不活性のキャリアガスを用いてもよい。不活性のキャリアガスは、通常窒素、ヘリウム、アルゴン、およびそれらの組合せからなる群から選択される。本発明の状況において、不活性のキャリアガスは金属酸化物層形成を妨げないものである。不活性のキャリアガスの存在下で行われるかどうかに関わらず、気化は好ましくは酸素非存在下で行われ、酸素による層の汚染(例えば、シリコンが酸化して二酸化ケイ素を形成)を回避する。
本発明の堆積法は蒸着法である。蒸着法は、深い接点およびその他の開口部内であっても等角性の度合いが高い(highly conformal)層を迅速に提供する工程能力のために、半導体産業において一般に好まれる。化学蒸着(CVD)と原子層堆積(ALD)とは、薄く連続的で均一な金属酸化物(好ましくは誘電体)層を半導体基板上に形成するのに用いられることが多い2つの蒸着法である。どちらの蒸着法を用いても、通常1または2以上の前駆体化合物が堆積チャンバ内で気化し任意で1または2以上の反応ガスと化合して、基板上に金属酸化物層を形成する。当業者には、プラズマ支援、光支援(photo assistance)、レーザ支援等のさまざまな関連技法ならびにその他の技法を用いることによって蒸着法を強化してもよい、ということが容易に明白となろう。
形成される最後の層(好ましくは誘電体層)は、好ましくは厚さが約10Åから約500Åの範囲である。より好ましくは、金属酸化物層の厚さは約30Åから約80Åの範囲である。
大部分の蒸着法において、前駆体化合物(複数可)は通常、高温で酸化または還元を行う反応ガスと反応して金属酸化物層を形成する。しかし本発明の実施においては、アルコールが、形成される膜に酸素を供給するので、そのような反応ガスは不要である。しかし所望であれば、O2、O3、H2O、H22、およびN2O等の酸化ガスを用いてもよい。
化学蒸着(CVD)は、半導体加工において誘電体層等の金属酸化物層の作成に幅広く用いられている。等角性の度合いが高く高品質の誘電体層を比較的速い処理時間で提供することができるからである。所望の前駆体化合物が気化され、次に、任意で反応ガスおよび/または不活性のキャリアガスがある状態で、加熱した基板を収容している堆積チャンバ内に導入される。通常のCVD法において、気化した前駆体は基板表面で反応ガス(複数可)に接触して層(例えば誘電体層)を形成する。所望の厚さの層が得られるまで単一の堆積サイクルを継続させる。
通常のCVD法は一般に、堆積表面または(or)ウエハーが配置されたプロセスチャンバと隔てられた、気化チャンバ内にある前駆体化合物を用いる。例えば、液体の前駆体化合物が通常バブラー内に配置されて気化温度まで加熱され、気化した液体の前駆体化合物は次に、バブラーをまたは液体の前駆体化合物を通過する不活性のキャリアガスによって搬送される。次に蒸気をガスラインを通じて堆積チャンバへと通過させ、堆積チャンバ内の基板表面(複数可)上に層を堆積させる。この工程を精密に制御する多くの技法が開発
されている。例えば、前駆体化合物を収容している槽の温度および気泡になるかまたはその槽を通過する不活性のキャリアガスの流れによって、堆積チャンバに搬送される前駆体材料の量を精密に制御することができる。
本明細書において説明する前駆体化合物の好ましい実施形態は、特に化学蒸着(CVD)に好適である。基板表面における堆積温度は、好ましくは約100℃から約600℃の範囲、より好ましくは約200℃から約500℃の範囲の温度に保たれる。堆積チャンバの圧力は、好ましくは約0.1トールから約10トールの堆積圧力に維持される。不活性のキャリアガスにおける前駆体化合物の分圧は、好ましくは約0.001トールから約10トールである。
例えば、常圧化学蒸着、減圧化学蒸着(LPCVD)、プラズマ化学蒸着(PECVD)、ホットウォール型またはコールドウォール型反応炉、または何らかのその他の化学蒸着技法を用いた、CVD法およびチャンバのいくつかの変更が可能である。さらに、ALD(以下でより詳細に説明する)と同様であるが前駆体と反応ガス流との混合の厳密な回避は行わないパルスCVDを用いてもよい。また、自己制御方式であるALDに対して、パルスCVDにおいては、堆積厚さは暴露時間に依存する(以下でより詳細に説明する)。
通常のCVD法は、ジーナス社(Genus, Inc.)(カリフォルニア州サニーベール市)から7000という商品名で入手可能な堆積チャンバ、アプライドマテリアルズ社(カリフォルニア州サンタクララ市)から5000という商品名で入手可能な堆積チャンバ、またはノヴェラス社(Novelus, Inc.)(カリフォルニア州サンノゼ市)からプリズム(Prism)という商品名で入手可能な堆積チャンバ等の化学蒸着反応炉内で行ってもよい。しかし、CVDを行うのに好適ないかなる堆積チャンバを用いてもよい。
または、そして好ましくは、本発明の方法に用いる蒸着法はマルチサイクルのALD法である。そのような方法は、堆積層(例えば、誘電体層)に対して原子レベルの厚さおよび均一性の最適な制御を行い、金属前駆体化合物を暴露する揮発温度および反応温度をより低くして劣化をできるだけ少なくする、という点で有利である(特にCVD法よりも)。通常ALD法においては、それぞれの反応物は通常約25℃から約400℃(好ましくは約150℃から約300℃)の堆積温度で好適な基板上に連続してパルス供給される。これは一般に、CVD法において現在用いられている温度よりも低い。そのような条件下では、膜成長は通常自己制御方式であり(すなわち、ALD法においては表面上の反応位置を使い切ると、通常堆積は停止する)、優れた等角性だけでなく良好な広範囲にわたる均一性、その上簡単かつ正確な厚さ制御も保証する。前駆体および/または反応ガスの連続共反応によって行われるCVD法とは異なり、前駆体化合物および/または反応ガスを交互にドーズするために、好ましくない気相反応は本質的になくなる(Vehkamaki et al,
"Growth of SrTiO3 and BaTiO3 Thin Films by Atomic Layer Deposition," Electrochemical and Solid-State Letters, 2(10):504-506 (1999)を参照されたい)。
通常のALD法は、基板を最初に第1の化学種(例えば、式Iで表される前駆体化合物)に暴露して、基板上への化学種の化学吸着を行うことを含む。理論的には、この化学吸着によって、暴露が行われた最初の基板全体上に、厚さが均一に1原子または分子である単分子層が形成される。言い換えれば飽和単分子層である。実際には、化学吸着は基板上のすべての部分では行われないかもしれない。しかしそのような不完全な単分子層も、本発明の状況においてはそれでもなお単分子層である。多くの用途においては、実質的に飽和した単分子層だけで好適であり得る。実質的に飽和した単分子層とは、それでもなお、そのような層に所望される品質および/または特性を呈する堆積層をもたらすものである。
基板の上から第1の化学種がパージされ、第2の化学種(例えば、式Iで表されるものとは異なる前駆体化合物すなわち式IIで表される前駆体化合物)が供給されて、第1の化学種の第1の単分子層と反応する。次に第2の化学種がパージされ、第2の化学種の単分子層を第1の化学種に暴露して各工程が繰り返される。場合によっては、この2つの単分子層は同じ化学種であってもよい。状況により、第2の化学種は第1の化学種と反応し得るが、さらなる材料を化学吸着することはない。すなわち、第2の化学種は、化学吸着された第1の化学種の或る部分を切断して、その単分子層を、その上に別の単分子層を形成することなく改変し得る。また、第1および第2の化学種について説明したのと同様に、第3の化学種またはそれよりも多くが連続して化学吸着され(すなわち反応し)パージされてもよい。任意で、所望であれば、第2の化学種(または第3もしくはその次の)は、少なくとも1の反応ガスを含んでもよい。
パージは、基板および/または単分子層をキャリアガスに接触させること、および/または圧力を堆積圧力未満まで下げて基板に接触している化学種および/または化学吸着された化学種の濃度を下げることを含むがこれに限定されないさまざまな技法を含んでもよい。キャリアガスの例は、N2、Ar、He、等を含む。パージはその代わりに、別の化学種の導入に先立って、基板および/または単分子層を、化学吸着の副生成物の脱着をし、接触している化学種の濃度を下げ得る何らかの物質に接触させることを含んでもよい。接触している化学種は、個々の堆積法の製品(product)についての仕様書に基づいて当業者に既知の或る好適な濃度または分圧まで下げてもよい。
ALDは、基板上に存在する、第1の化学種の化学結合形成可能な位置の数が有限であるという点において、自己制御方式の方法として説明されることが多い。第2の化学種は、第1の化学種のみに結合する可能性があり、したがってこれもまた自己制御方式であり得る。基板上の有限数の位置のすべてがいったん第1の化学種に結合されると、第1の化学種は、既に基板に結合した他の第1の化学種には結合しないことが多い。しかしALDにおいて、そのような結合を促進しALDを非自己制御方式にするよう、工程条件を変更してもよい。したがって、ALDはまた、化学種を積み重ねて1原子または分子よりも厚い層を形成することによって、一度に1の単分子層とは別のものを形成する化学種も包含してもよい。
説明する方法は、第1の前駆体の化学吸着中に第2の前駆体(すなわち、第2の化学種)が「実質的にない」ことを示す。存在する第2の前駆体がわずかである可能性があるからである。当業者の知識および好みに従って、第2の前駆体が実質的にないことを達成するために選択された第2の前駆体の許容量および工程条件に関して決定することができる。
したがって、ALD法の間、堆積チャンバ内で多数の連続した堆積サイクルが行われ、それぞれのサイクルでは非常に薄い金属酸化物層(通常1の単分子層よりも薄く、成長速度が平均してサイクル当たり約0.2から約3.0オングストロームになるようになっている)が堆積し、ついには対象基板上に所望の厚さの層が形成される。層の堆積は、半導体基板を収容している堆積チャンバ内に前駆体化合物を交互に導入し(すなわち、パルス供給によって)、前駆体化合物(複数可)を単分子層として基板表面上に化学吸着し、次に化学吸着された前駆体化合物(複数可)を他の共反応性(co-reactive)前駆体化合物(複数可)と反応させることによって行われる。前駆体化合物(複数可)および不活性のキャリアガス(複数可)のパルス幅は、基板表面を飽和させるのに十分である。通常パルス幅は約0.1から約5秒であり、好ましくは約0.2から約1秒である。
主として熱によって駆動されるCVDと比較して、ALDは主として化学作用によって
駆動される。したがって、ALDはCVDよりもはるかに低温で行われることが多い。ALD法の間、基板温度は、化学吸着された前駆体化合物(複数可)とその下にある基板表面との間の完全な結合を維持し前駆体化合物(複数可)の分解を防止するのに十分低い温度に維持される。この温度はまた、前駆体化合物(複数可)の凝縮を回避するのに十分高い。通常基板温度は、約25℃から約400℃(好ましくは約150℃から約300℃)の範囲内に保たれ、これは一般に、CVD法において現在用いられている温度よりも低い。したがって、この温度で第1の化学種すなわち前駆体化合物が化学吸着される。第2の化学種すなわち前駆体化合物の表面反応は、第1の前駆体の化学吸着と実質的に同じ温度で起こり得るが、より好ましくないが実質的に異なる温度でも起こりうる。明らかに、当業者が判断していくらかの小さな温度変化は生じる可能性があるが、それでもこれは、第1の前駆体の化学吸着温度で生じるのと統計学的に同じ反応速度を供給することによって、実質的に同じ温度であり得る。そうではなく、化学吸着と次の反応とは厳密に同じ温度で行われてもよい。
通常のALD法については、堆積チャンバ内の圧力は約10-4トールから約1トール、好ましくは約10-4トールから約0.1トールに保たれる。通常堆積チャンバは、それぞれのサイクルで気化した前駆体化合物(複数可)がチャンバに導入された後および/または反応した後に、不活性のキャリアガスでパージされる。不活性のキャリアガス(複数可)はまた、それぞれのサイクル中に気化した前駆体化合物(複数可)とともに導入されてもよい。
前駆体化合物の反応性は、ALDにおいて工程パラメータに著しく影響を及ぼし得る。通常のCVD法の条件の下では、高反応性化合物は気相で反応して、微粒子を発生し、不所望の表面上に早過ぎる堆積が行われ、劣悪な膜を生成し、および/または劣悪な段差被覆性をもたらすか、もしくは他の方法で不均一な堆積をもたらす場合がある。少なくともそのような理由から、高反応性化合物はCVDには好適でないと考えられるかもしれない。しかし、CVDには好適でない化合物には、ALDの優れた前駆体であるものもある。例えば、第1の前駆体が気相で第2の前駆体と反応する場合には、そのような化合物の組合せはCVDには好適でないかもしれないが、ALDにおいては用いることができる。当業者には既知のように、CVDの状況においては、気相での高反応性前駆体を用いる場合に固着係数および表面移動度に関しても問題があるかもしれない。しかしALDの状況においては、そのような問題はほとんどまたは全くない。
基板上に層を形成した後に任意で、堆積チャンバにおいて窒素雰囲気中でまたは酸化雰囲気中で、アニール工程を原位置で行ってもよい。好ましくは、アニール温度は約400℃から約1000℃の範囲内である。特にALD後、アニール温度はより好ましくは約400℃から約750℃、最も好ましくは約600℃から約700℃である。アニール操作は、好ましくは約0.5分から約60分の期間、より好ましくは約1分から約10分の期間行われる。当業者であれば、そのような温度および期間はさまざまであってもよいということを理解しよう。例えば、炉アニールおよび高速熱アニールを用いてもよく、さらに、そのようなアニールは1または2以上のアニール工程で行ってもよい。
上述のように、本発明の膜を形成する配位化合物(錯体、complexes)および方法を用いることは、半導体構造における広くさまざまな薄膜の用途、特に高誘電材料を用いるもの、に有利である。例えば、そのような用途は、平板セル、トレンチセル(例えば二重側壁トレンチキャパシタ(double sidewall trench capacitors))、スタックセル(例えば、クラウン(crown)、Vセル、デルタセル、マルチフィンガ、または円筒状の容器のスタックキャパシタ)、ならびに電界効果トランジスタデバイス等のキャパシタを含む。
キャパシタ構成は、本発明に従って誘電体層が形成される一具体例である。例示的キャ
パシタ構成を図1ないし図3を参照して説明する。図1を参照して、半導体ウエハー個片(fragment)10は、本発明の方法によって形成されたキャパシタ構成25を含む。ウエハー個片10は、内部に導電性の拡散領域14を形成した基板12を含む。基板12は例えば単結晶シリコンを含んでもよい。基板12の上に、通常ボロフォスフォシリケート(ホウ素リンケイ酸、borophosphosilicate)ガラス(BPSG)である絶縁層16が、拡散領域14へのコンタクト開口18を内部に設けた状態で設けられる。導電性材料20および酸化物層18を図示のように平坦化した状態で、コンタクト開口18を導電性材料20で充填する。材料20は、例えばタングステンまたは導電性を有するようドープしたポリシリコン等、いかなる好適な導電性材料であってもよい。キャパシタ構成25が層16およびプラグ20の上に設けられ、プラグ20を介してノード14に電気接続される。
キャパシタ構成25は、ノード20の上に設けられパターニングされている第1のキャパシタ電極26を含む。例示的材料は、導電性を有するようドープしたポリシリコン、Pt、Ir、Rh、Ru、RuO2、IrO2、RhO2を含む。第1のキャパシタ電極26の上にキャパシタ誘電体層28が設けられる。本発明の材料を用いてキャパシタ誘電体層28を形成してもよい。好ましくは、第1のキャパシタ電極26がポリシリコンを含む場合には、誘電材料の堆積前にポリシリコン表面が原位置HF浸漬によってクリーニングされる。256Mbの集積による層28の例示的厚さは、100オングストロームである。
誘電体層28の上に拡散障壁層30が設けられる。拡散障壁層30は、TiN、TaN、金属シリサイド、または金属シリサイドニトリド等の導電性材料を含み、例えば当業者に既知の条件を用いて、CVDによって設けられてもよい。障壁層30の形成後、障壁層30の上に第2のキャパシタ電極32が形成されて、キャパシタ25の構成を完成する。第2のキャパシタ電極32は、第1のキャパシタ電極26に関して上述したものと同様の構成を含んでもよく、したがって例えば導電性を有するようドープしたポリシリコンを含んでもよい。拡散障壁層30は、好ましくは、成分(例えば酸素)が誘電材料28から電極32内へと拡散しないようにする。例えば酸素は、シリコン含有電極32内へと拡散すると、SiO2を不所望に形成してしまう可能性があり、それによってキャパシタ25の静電容量が著しく減ってしまう。拡散障壁層30はまた、シリコンが金属電極32から誘電体層28へと拡散しないようにすることができる。
図2は、キャパシタ構成の他の実施形態を示す。適当な場所には図1と同様の数字が利用されており、後ろにつける「a」によって相違を示す。ウエハー個片10aは、障壁層30aを誘電体層28と第2のキャパシタ電極32との間ではなく第1の電極26と誘電体層28との間に設けているという点で図2の構成25とは異なる、キャパシタ構成25aを含む。障壁層30aは、図1に関して上述したものと同一の構成を含んでもよい。
図3は、キャパシタ構成のさらに別の他の実施形態を示す。適当な場所には図1と同様の数字が利用されており、後ろにつける「b」によってまたは異なる数字によって相違を示す。ウエハー個片10bは、第1の説明した実施形態の第1のキャパシタ極板26および第2のキャパシタ極板32をそれぞれ有するキャパシタ構成25bを含む。しかしウエハー個片10bは、障壁層30に加えて第2の障壁層40を含むという点で図2のウエハー個片10とは異なる。障壁層40は第1のキャパシタ電極26と誘電体層28との間に設けられるのに対し、障壁層30は第2のキャパシタ電極32と誘電体層28との間である。障壁層40は、障壁層30の形成について図1を参照して上述したものと同一の方法によって形成してもよい。
図1ないし図3の実施形態において、障壁層は、キャパシタ電極とは分離された別個の層として示し説明している。しかし、障壁層は導電性材料を含んでもよく、したがってそのような実施形態においてはキャパシタ電極の少なくとも一部を含むと理解することがで
きる。個々の実施形態において、キャパシタ電極全体が導電性障壁層材料を含んでもよい。
本発明の蒸着法(化学蒸着または原子層堆積)を行うのに用いることができるシステムを図4に示す。システムは密閉した蒸着チャンバ110を含み、この中でターボポンプ112およびバッキングポンプ114を用いて真空を作り出すことができる。チャンバ110内に1または2以上の基板116(例えば半導体基板または基板アセンブリ)が配置される。基板116について一定の公称温度が確立される。この温度は、用いる工程次第でさまざまであり得る。基板116は、例えばその上に基板116が搭載される電気抵抗ヒータ118によって加熱してもよい。基板を加熱する他の既知の方法もまた利用することができる。
この工程において、前駆体化合物160(例えば耐火性の金属前駆体化合物およびエーテル)が容器162内に保管されている。前駆体化合物は気化し、例えば不活性のキャリアガス168を用いて、ライン164、166に沿って別個に堆積チャンバ110へと供給される。必要に応じて、ライン172に沿って反応ガス170を供給してもよい。また、必要に応じて、不活性のキャリアガス168と同じであることが多いパージガス174をライン176に沿って供給してもよい。図示のように、一連の弁180〜185が必要に応じて開閉される。
さまざまな具体的かつ好ましい実施形態および技法をさらに説明するために、以下に実施例を示す。しかし、本発明の範囲内のままでありながら多くの変形および変更を行ってもよく、したがって本発明の範囲は実施例によって限定されることを意図するものではない、ということが理解されるべきである。特に明記のない限り、実施例において示す割合はすべて重量パーセントである。
[実施例1.TiO2のパルス化学蒸着]
連続的な方法でパルス状に弁を開くコンピュータ制御下の空圧弁を有する図4に示す構成のチャンバを、作動した。チャンバには、Ti(NMe24(マサチューセッツ州ニューベリーポート市のストレム・ケミカル)とイソプロピルアルコール(ニュージャージー州パーシパニー市のジェネラル・ケミカル)とを収容している2つの槽がつながっていた。基板は一番上の層としてドープしたポリシリコンを有するシリコンウエハーであり、堆積に備えて220℃に維持された。
それぞれのサイクルは、5秒間のTi(NMe24のパルス供給および5秒間のイソプロピルアルコールのパルス供給を含み、それぞれは5秒間のアルゴンでのパージと5秒間の動的真空下でのポンプダウンとによって分離された。前駆体は、イソプロピルアルコール槽の下流の50sccmに設定したマスフローコントローラのみを用いて、ヘリウムキャリアガスなしで導入された。400サイクル後、厚さ1750ÅのTiO2膜が得られた。膜はX線光電子分光法(XPS)による分析に基づいてチタンおよび酸素のみを含有し、検出可能な窒素または炭素は有しなかった。膜のX線回折分析によって、成膜時に(熱処理なしで、as-deposited)アナターゼ結晶相が形成されたということが明らかになった。
[実施例2.HfO2の原子層堆積]
連続的な方法でパルス状に弁を開くコンピュータ制御下の空圧弁を有する図4に示す構成のチャンバを、作動可能な状態にした。チャンバには、Hf(NMe24(マサチューセッツ州ニューベリーポート市のストレム・ケミカル)とイソプロピルアルコール(ニュージャージー州パーシパニー市のジェネラル・ケミカル)とを収容している2つの槽がつ
ながっていた。Hf(NMe24前駆体を40℃まで加熱する一方、イソプロピルアルコールは周囲温度のままであった。基板は一番上の層としてドープしたポリシリコンを有するシリコンウエハーであり、堆積に備えて215℃に維持された。
それぞれのサイクルは、2秒間のHf(NMe24のパルス供給および1秒間のイソプロピルアルコールのパルス供給を含み、それぞれは5秒間のアルゴンでのパージと5秒間の動的真空下でのポンプダウンとによって分離された。前駆体は、イソプロピルアルコール槽の下流の25sccmに設定したマスフローコントローラのみを用いて、ヘリウムキャリアガスなしで導入された。400サイクル後、厚さ250ÅのHfO2膜が得られた。膜はX線光電子分光法(XPS)による分析に基づいてハフニウムおよび酸素のみを含有し、HfO2層内に検出可能な窒素または炭素は有しなかった。X線回折分析によって、成膜時にアモルファス膜が形成されたということが明らかになったが、窒素の存在下で1分間600℃での急速加熱処理(RTP)の後、膜は結晶質HfO2であった。
本明細書において引用される特許、特許文献、および刊行物の完全な開示は、参照により、あたかもそれぞれが個々に援用されるかのようにその全体が援用される。当業者には、本発明の範囲および精神から逸脱することのない、本発明へのさまざまな修正および変更が明らかであろう。本発明は本明細書において示す説明的な実施形態および実施例によって過度に限定されるよう意図するものではなく、そのような実施例および実施形態は例としてのみ提示されるものであって、本発明の範囲は本明細書において以下に示す特許請求の範囲によってのみ限定されるよう意図するものである、ということが理解されるべきである。
例示的キャパシタ構成である。 例示的キャパシタ構成である。 例示的キャパシタ構成である。 本発明の方法において用いるのに好適な蒸着コーティングシステムの透視図である。

Claims (49)

  1. 半導体基板または基板アセンブリを設けること、
    式R(OH)rで表される少なくとも1のアルコールを供給すること、
    式M1(NR1w(NR23z(式I)、M24 q(式II)、または式IIのルイス塩基付加物で表される少なくとも1の金属含有前駆体化合物を供給すること、
    および蒸着法を用いて、前記両前駆体化合物を、前記半導体基板または基板アセンブリの1または2以上の表面上に接触させることにより、金属酸化物層を形成することを含む、半導体構造の製造方法;
    前記式において、
    Rは有機基であり、rは1から3であり、
    1およびM2はそれぞれ互いに独立して金属であり、
    1、R2、R3、およびR4はそれぞれ互いに独立して水素または有機基であり、
    wは0から4であり、
    zは1から8であり、
    qは1から5であり、
    w、z、およびqは、金属の酸化状態に依存する。
  2. 前記半導体基板または基板アセンブリはシリコンウエハーである、請求項1に記載の方法。
  3. 前記金属酸化物層は誘電体層である、請求項1に記載の方法。
  4. 前記金属酸化物の誘電体層は2以上の異なる金属を含む、請求項3に記載の方法。
  5. 前記2以上の異なる金属は合金、固溶体、またはナノラミネートの形態である、請求項4に記載の方法。
  6. 前記式において、M1およびM2はそれぞれ互いに独立して3、4、5、6、7、13、14族、およびランタノイドからなる金属の群から選択される、請求項1に記載の方法。
  7. 前記式において、M1およびM2はそれぞれ互いに独立してY、La、Pr、Nd、Gd、Ti、Zr、Hf、Nb、Ta、Al、およびSiからなる金属の群から選択される、請求項6に記載の方法。
  8. 前記金属酸化物層の厚さは約30Åから約80Åである、請求項1に記載の方法。
  9. 前記式において、それぞれのRは互いに独立して(C1〜C10)有機基である、請求項1に記載の方法。
  10. 前記式において、R1、R2、R3、およびR4はそれぞれ互いに独立して水素または(C1〜C6)有機基である、請求項1に記載の方法。
  11. 前記式において、wは0から2であり、zは2から6である、請求項1に記載の方法。
  12. 前記式において、qは2から3である、請求項1に記載の方法。
  13. 前記金属酸化物層は1の金属を含む、請求項1に記載の方法。
  14. 前記金属酸化物層はアナターゼ型TiO2を含む、請求項1に記載の方法。
  15. 堆積チャンバ内に半導体基板または基板アセンブリを設けること、
    式R(OH)rで表される少なくとも1のアルコールを供給すること、
    式M1(NR1w(NR23z(式I)、M24 q(式II)、または式IIのルイス塩基付加物で表される少なくとも1の金属含有前駆体化合物を供給すること、
    前記両前駆体化合物を気化することにより、気化した両前駆体化合物を形成すること、
    および該気化した両前駆体化合物を、前記半導体基板または基板アセンブリに供給することにより、該半導体基板または基板アセンブリの1または2以上の表面上に金属酸化物の誘電体層を形成することを含む、半導体構造の製造方法;
    前記式において、
    Rは有機基であり、rは1から3であり、
    1およびM2はそれぞれ互いに独立して金属であり、
    1、R2、R3、およびR4はそれぞれ互いに独立して水素または有機基であり、
    wは0から4であり、
    zは1から8であり、
    qは1から5であり、
    w、z、およびqは、金属の酸化状態に依存する。
  16. 前記両前駆体化合物は不活性のキャリアガスの存在下で気化される、請求項15に記載の方法。
  17. 前記式において、M1およびM2はそれぞれ互いに独立して3、4、5、6、7、13、14族、およびランタノイドからなる金属の群から選択される、請求項15に記載の方法。
  18. 前記両前駆体化合物の気化および供給は化学蒸着法を用いて達成される、請求項15に記載の方法。
  19. 前記半導体基板または基板アセンブリの温度は約100℃から約600℃である、請求項18に記載の方法。
  20. 前記半導体基板または基板アセンブリは、圧力が約0.1トールから約10トールの堆積チャンバ内にある、請求項18に記載の方法。
  21. 前記両前駆体化合物の気化および供給は、複数の堆積サイクルを含む原子層堆積法を用いて達成される、請求項18に記載の方法。
  22. 前記金属含有層は、原子層堆積法中のそれぞれの堆積サイクル中に、前記両前駆体化合物を交互に導入することにより形成される、請求項21に記載の方法。
  23. 前記半導体基板または基板アセンブリの温度は、約25℃から約400℃である、請求項21に記載の方法。
  24. 前記半導体基板または基板アセンブリは、圧力が約10-4トールから約1トールの堆積チャンバ内にある、請求項21に記載の方法。
  25. 前記金属酸化物層は1の金属を含む、請求項15に記載の方法。
  26. 基板を設けること、
    式R(OH)rで表される少なくとも1のアルコールを供給すること、
    式M1(NR1w(NR23z(式I)、M24 q(式II)、または式IIのルイス塩基付加物で表される少なくとも1の金属含有前駆体化合物を供給すること、
    および蒸着法を用いて、前記両前駆体化合物を、前記基板上に接触させることにより、金属酸化物層を形成することを含む、基板上に金属酸化物層を形成する方法;
    前記式において、
    Rは有機基であり、rは1から3であり、
    1およびM2はそれぞれ互いに独立して金属であり、
    1、R2、R3、およびR4はそれぞれ互いに独立して水素または有機基であり、
    wは0から4であり、
    zは1から8であり、
    qは1から5であり、
    w、z、およびqは、金属の酸化状態に依存する。
  27. 前記基板はシリコンウエハーである、請求項26に記載の方法。
  28. 前記式において、M1およびM2は、それぞれ互いに独立して3、4、5、6、7、13、14族、およびランタノイドからなる金属の群から選択される、請求項26に記載の方法。
  29. 前記式において、M1およびM2はそれぞれ互いに独立してY、La、Pr、Nd、Gd、Ti、Zr、Hf、Nb、Ta、Al、およびSiからなる金属の群から選択される、請求項28に記載の方法。
  30. 前記金属酸化物層の厚さは約30Åから約80Åである、請求項26に記載の方法。
  31. 前記式において、それぞれのRは互いに独立して(C1〜C10)有機基である、請求項26に記載の方法。
  32. 前記式において、R1、R2、R3、およびR4はそれぞれ互いに独立して水素または(C1〜C6)有機基である、請求項26に記載の方法。
  33. 前記式において、wは0から2であり、zは2から6である、請求項26に記載の方法。
  34. 前記式において、qは2から3である、請求項26に記載の方法。
  35. 前記金属酸化物は1の金属を含む、請求項26に記載の方法。
  36. 前記金属酸化物層はアナターゼ型TiO2を含む、請求項26に記載の方法。
  37. 基板を設けること、
    式R(OH)rで表される少なくとも1のアルコールを供給すること、
    式M1(NR1w(NR23z(式I)、M24 q(式II)、または式IIのルイス塩基付加物で表される少なくとも1の金属含有前駆体化合物を供給すること、
    前記両前駆体化合物を気化することにより、気化した両前駆体化合物を形成すること、
    および該気化した両前駆体化合物を、前記基板に供給することにより、該基板上に金属酸化物層を形成することを含む、基板上に金属酸化物層を形成する方法;
    前記式において、
    Rは有機基であり、rは1から3であり、
    1およびM2はそれぞれ互いに独立して金属であり、
    1、R2、R3、およびR4はそれぞれ互いに独立して水素または有機基であり、
    wは0から4であり、
    zは1から8であり、
    qは1から5であり、
    w、z、およびqは、金属の酸化状態に依存する。
  38. 前記両前駆体化合物の気化および供給は化学蒸着法を用いて達成される、請求項37に記載の方法。
  39. 前記両前駆体化合物の気化および供給は、複数の堆積サイクルを含む原子層堆積法を用いて達成される、請求項37に記載の方法。
  40. 前記金属酸化物層は1の金属を含む、請求項37に記載の方法。
  41. その上側に第1の電極を有する基板を設けること、
    式R(OH)rで表される少なくとも1のアルコールを供給すること、
    式M1(NR1w(NR23z(式I)、M24 q(式II)、または式IIのルイス塩基付加物で表される少なくとも1の金属含有前駆体化合物を供給すること、
    前記両前駆体化合物を気化することにより、気化した両前駆体化合物を形成すること、
    該気化した両前駆体化合物を、前記基板に供給することにより、該基板の前記第1の電極上に金属酸化物の誘電体層を形成すること、
    および該誘電体層上に第2の電極を形成することを含む、メモリデバイス構造の製造方法;
    前記式において、
    Rは有機基であり、rは1から3であり、
    1およびM2はそれぞれ互いに独立して金属であり、
    1、R2、R3、およびR4はそれぞれ互いに独立して水素または有機基であり、
    wは0から4であり、
    zは1から8であり、
    qは1から5であり、
    w、z、およびqは、金属の酸化状態に依存する。
  42. 前記両前駆体化合物の気化および供給は化学蒸着法を用いて達成される、請求項41に記載の方法。
  43. 前記両前駆体化合物の気化および供給は、複数の堆積サイクルを含む原子層堆積法を用いて達成される、請求項41に記載の方法。
  44. 前記金属酸化物の誘電体層は2以上の異なる金属を含む、請求項41に記載の方法。
  45. 前記2以上の異なる金属は合金、固溶体、またはナノラミネートの形態である、請求項44に記載の方法。
  46. 前記金属酸化物の誘電体層は、ZrO2、HfO2、Ta23、Al23、TiO2、およびランタノイド酸化物のうちの1または2以上を含む、請求項41に記載の方法。
  47. 内部に基板を配置した蒸着チャンバと、
    式R(OH)rで表される1または2以上のアルコールを含む1または2以上の容器と、
    式M1(NR1w(NR23z(式I)、M24 q(式II)、または式IIのルイス
    塩基付加物で表される1または2以上の前駆体化合物を含む1または2以上の容器を備える蒸着装置;
    前記式において、
    Rは有機基であり、rは1から3であり、
    1およびM2はそれぞれ互いに独立して金属であり、
    1、R2、R3、およびR4はそれぞれ互いに独立して水素または有機基であり、
    wは0から4であり、
    zは1から8であり、
    qは1から5であり、
    w、z、およびqは、金属の酸化状態に依存する。
  48. 前記基板はシリコンウエハーである、請求項47に記載の装置。
  49. 前記両前駆体を前記蒸着チャンバへと移動させる不活性のキャリアガスの1または2以上の発生源をさらに備える、請求項47に記載の装置。
JP2004531531A 2002-08-28 2003-08-27 アルコールを用いて金属酸化物を形成するシステムおよび方法 Pending JP2005537639A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/229,473 US7041609B2 (en) 2002-08-28 2002-08-28 Systems and methods for forming metal oxides using alcohols
PCT/US2003/026794 WO2004020690A1 (en) 2002-08-28 2003-08-27 Systems and methods for forming metal oxides using alcohols

Publications (1)

Publication Number Publication Date
JP2005537639A true JP2005537639A (ja) 2005-12-08

Family

ID=31976227

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004531531A Pending JP2005537639A (ja) 2002-08-28 2003-08-27 アルコールを用いて金属酸化物を形成するシステムおよび方法

Country Status (8)

Country Link
US (2) US7041609B2 (ja)
EP (1) EP1534875A1 (ja)
JP (1) JP2005537639A (ja)
KR (1) KR101003214B1 (ja)
CN (1) CN100422383C (ja)
AU (1) AU2003262902A1 (ja)
TW (1) TWI320061B (ja)
WO (1) WO2004020690A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006210882A (ja) * 2005-01-31 2006-08-10 Samsung Electronics Co Ltd 抵抗体を利用した不揮発性メモリ素子及びその製造方法
WO2013065806A1 (ja) * 2011-11-02 2013-05-10 宇部興産株式会社 トリス(ジアルキルアミド)アルミニウム化合物及び当該アルミニウム化合物を用いるアルミニウム含有薄膜の製造方法
JP2018018896A (ja) * 2016-07-26 2018-02-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、およびプログラム
JP2021503547A (ja) * 2017-11-19 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 金属表面上の金属酸化物のaldのための方法

Families Citing this family (366)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100468847B1 (ko) * 2002-04-02 2005-01-29 삼성전자주식회사 알콜을 이용한 금속산화물 박막의 화학기상증착법
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7253122B2 (en) * 2002-08-28 2007-08-07 Micron Technology, Inc. Systems and methods for forming metal oxides using metal diketonates and/or ketoimines
US7112485B2 (en) 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US7041609B2 (en) * 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
US6958300B2 (en) 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US7087481B2 (en) * 2002-08-28 2006-08-08 Micron Technology, Inc. Systems and methods for forming metal oxides using metal compounds containing aminosilane ligands
US6984592B2 (en) * 2002-08-28 2006-01-10 Micron Technology, Inc. Systems and methods for forming metal-doped alumina
US7101813B2 (en) 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US6958302B2 (en) * 2002-12-04 2005-10-25 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
US7141500B2 (en) * 2003-06-05 2006-11-28 American Air Liquide, Inc. Methods for forming aluminum containing films utilizing amino aluminum precursors
US7390535B2 (en) 2003-07-03 2008-06-24 Aeromet Technologies, Inc. Simple chemical vapor deposition system and methods for depositing multiple-metal aluminide coatings
US7094712B2 (en) * 2003-09-30 2006-08-22 Samsung Electronics Co., Ltd. High performance MIS capacitor with HfO2 dielectric
KR20050056408A (ko) * 2003-12-10 2005-06-16 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
US7601649B2 (en) * 2004-08-02 2009-10-13 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7494939B2 (en) 2004-08-31 2009-02-24 Micron Technology, Inc. Methods for forming a lanthanum-metal oxide dielectric layer
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7390756B2 (en) 2005-04-28 2008-06-24 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
US7572695B2 (en) 2005-05-27 2009-08-11 Micron Technology, Inc. Hafnium titanium oxide films
US7510983B2 (en) * 2005-06-14 2009-03-31 Micron Technology, Inc. Iridium/zirconium oxide structure
US7393736B2 (en) * 2005-08-29 2008-07-01 Micron Technology, Inc. Atomic layer deposition of Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics
US20070049023A1 (en) * 2005-08-29 2007-03-01 Micron Technology, Inc. Zirconium-doped gadolinium oxide films
US7410910B2 (en) * 2005-08-31 2008-08-12 Micron Technology, Inc. Lanthanum aluminum oxynitride dielectric films
KR100707602B1 (ko) 2005-10-20 2007-04-13 삼성에스디아이 주식회사 유기 전계 발광 표시장치 및 그의 제조방법
US7615438B2 (en) * 2005-12-08 2009-11-10 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US7972974B2 (en) 2006-01-10 2011-07-05 Micron Technology, Inc. Gallium lanthanide oxide films
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7582161B2 (en) 2006-04-07 2009-09-01 Micron Technology, Inc. Atomic layer deposited titanium-doped indium oxide films
US7727908B2 (en) * 2006-08-03 2010-06-01 Micron Technology, Inc. Deposition of ZrA1ON films
US7582549B2 (en) 2006-08-25 2009-09-01 Micron Technology, Inc. Atomic layer deposited barium strontium titanium oxide films
US7605030B2 (en) * 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
US7759747B2 (en) 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
US7563730B2 (en) 2006-08-31 2009-07-21 Micron Technology, Inc. Hafnium lanthanide oxynitride films
US7544604B2 (en) 2006-08-31 2009-06-09 Micron Technology, Inc. Tantalum lanthanide oxynitride films
US7776765B2 (en) 2006-08-31 2010-08-17 Micron Technology, Inc. Tantalum silicon oxynitride high-k dielectrics and metal gates
US20080057659A1 (en) * 2006-08-31 2008-03-06 Micron Technology, Inc. Hafnium aluminium oxynitride high-K dielectric and metal gates
KR100875034B1 (ko) * 2007-01-02 2008-12-19 주식회사 하이닉스반도체 플래시 메모리 소자의 유전체막 형성방법
GB0702759D0 (en) * 2007-02-13 2007-03-21 Unversity Of Aveiro Non aqueous thin film formation
EP2011898B1 (en) * 2007-07-03 2021-04-07 Beneq Oy Method in depositing metal oxide materials
KR101227446B1 (ko) * 2007-07-31 2013-01-29 삼성전자주식회사 강유전체막의 형성 방법 및 이를 이용한 강유전체커패시터의 제조 방법
US8016945B2 (en) * 2007-12-21 2011-09-13 Applied Materials, Inc. Hafnium oxide ALD process
US8907059B2 (en) * 2008-11-14 2014-12-09 Bio-Rad Laboratories, Inc. Phosphopeptide enrichment of compositions by fractionation on ceramic hydroxyapatite
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
FR2968677A1 (fr) * 2010-12-09 2012-06-15 Commissariat Energie Atomique Procédé de fabrication de couches a base de lithium par cvd
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
TWI736631B (zh) 2016-06-06 2021-08-21 韋恩州立大學 二氮雜二烯錯合物與胺類的反應
US9805974B1 (en) * 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) * 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9793397B1 (en) 2016-09-23 2017-10-17 International Business Machines Corporation Ferroelectric gate dielectric with scaled interfacial layer for steep sub-threshold slope field-effect transistor
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
JP7183187B2 (ja) 2017-05-16 2022-12-05 エーエスエム アイピー ホールディング ビー.ブイ. 誘電体上の酸化物の選択的peald
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US20190309412A1 (en) * 2018-04-05 2019-10-10 Applied Materials, Inc. Methods For Low Temperature ALD Of Metal Oxides
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11915926B2 (en) 2021-09-27 2024-02-27 International Business Machines Corporation Percolation doping of inorganic-organic frameworks for multiple device applications

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001200363A (ja) * 1999-12-03 2001-07-24 Asm Microchemistry Oy テクスチャ加工されたキャパシタ電極上のコンフォーマル薄膜
WO2002027063A2 (en) * 2000-09-28 2002-04-04 President And Fellows Of Harward College Vapor deposition of oxides, silicates and phosphates
JP2004529495A (ja) * 2001-03-30 2004-09-24 アドバンスド.テクノロジー.マテリアルス.インコーポレイテッド 誘電体薄膜をcvd形成するための金属アミド前駆体およびアミノシラン前駆体

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2001A (en) * 1841-03-12 Sawmill
US5221712A (en) * 1988-08-23 1993-06-22 Sumitomo Chemical Company, Limited Process for producing impact-resistant polyamide resin compositions
US5020243A (en) * 1989-12-01 1991-06-04 Macmillan Bloedel Limited Dryer syphon
KR930012120B1 (ko) * 1991-07-03 1993-12-24 삼성전자 주식회사 반도체장치 및 그의 제조방법
JPH05239650A (ja) 1992-02-27 1993-09-17 Kojundo Chem Lab Co Ltd シリコン酸化膜の製造法
AU1955395A (en) 1994-03-26 1995-10-17 Drake, Simone Robert Tantalum compounds
JP3407409B2 (ja) * 1994-07-27 2003-05-19 富士通株式会社 高誘電率薄膜の製造方法
US6313035B1 (en) 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
DE69716218T2 (de) 1996-11-20 2003-04-17 Jsr Corp Härtbare Harzzusammensetzung und gehärtete Produkte
US6303391B1 (en) 1997-06-26 2001-10-16 Advanced Technology Materials, Inc. Low temperature chemical vapor deposition process for forming bismuth-containing ceramic films useful in ferroelectric memory devices
US6013553A (en) 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
US7157385B2 (en) 2003-09-05 2007-01-02 Micron Technology, Inc. Method of depositing a silicon dioxide-comprising layer in the fabrication of integrated circuitry
US6093944A (en) * 1998-06-04 2000-07-25 Lucent Technologies Inc. Dielectric materials of amorphous compositions of TI-O2 doped with rare earth elements and devices employing same
JP4152028B2 (ja) 1999-01-25 2008-09-17 株式会社Adeka ルテニウム系薄膜の製造方法
US6312831B1 (en) 1999-04-30 2001-11-06 Visteon Global Technologies, Inc. Highly reflective, durable titanium/tin oxide films
US6273951B1 (en) 1999-06-16 2001-08-14 Micron Technology, Inc. Precursor mixtures for use in preparing layers on substrates
US6060755A (en) 1999-07-19 2000-05-09 Sharp Laboratories Of America, Inc. Aluminum-doped zirconium dielectric film transistor structure and deposition method for same
US6221712B1 (en) 1999-08-30 2001-04-24 United Microelectronics Corp. Method for fabricating gate oxide layer
JP2001108199A (ja) 1999-10-12 2001-04-20 Tori Chemical Kenkyusho:Kk 残留物のパージが簡易な流体移送用配管装置及び流体供給装置、並びに配管装置中の残留物をパージする方法及び流体供給方法。
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6335049B1 (en) 2000-01-03 2002-01-01 Micron Technology, Inc. Chemical vapor deposition methods of forming a high K dielectric layer and methods of forming a capacitor
AU2001245388A1 (en) * 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
JP2001257344A (ja) 2000-03-10 2001-09-21 Toshiba Corp 半導体装置及び半導体装置の製造方法
US6984591B1 (en) 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
KR20010114050A (ko) 2000-06-20 2001-12-29 박종섭 반도체 소자의 알루미늄 산화막 형성 방법
US6837251B1 (en) 2000-06-21 2005-01-04 Air Products And Chemicals, Inc. Multiple contents container assembly for ultrapure solvent purging
TW486392B (en) 2000-09-29 2002-05-11 Air Prod & Chem Solvent blend for use in high purity precursor removal
US6300203B1 (en) 2000-10-05 2001-10-09 Advanced Micro Devices, Inc. Electrolytic deposition of dielectric precursor materials for use in in-laid gate MOS transistors
KR100385952B1 (ko) 2001-01-19 2003-06-02 삼성전자주식회사 탄탈륨 산화막을 가진 반도체 커패시터 및 그의 제조방법
US6586792B2 (en) * 2001-03-15 2003-07-01 Micron Technology, Inc. Structures, methods, and systems for ferroelectric memory transistors
DE10296448T5 (de) 2001-03-20 2004-04-15 Mattson Technology Inc., Fremont Verfahren zum Abscheiden einer Schicht mit einer verhältnismässig hohen Dielektrizitätskonstante auf ein Substrat
US6541280B2 (en) * 2001-03-20 2003-04-01 Motorola, Inc. High K dielectric film
KR100418569B1 (ko) 2001-12-10 2004-02-14 주식회사 하이닉스반도체 단원자층증착을 이용한 고유전체 박막 형성방법
US7164165B2 (en) * 2002-05-16 2007-01-16 Micron Technology, Inc. MIS capacitor
JP3627106B2 (ja) 2002-05-27 2005-03-09 株式会社高純度化学研究所 原子層吸着堆積法によるハフニウムシリケート薄膜の製造方法
TW200408015A (en) 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high K metal silicates
US7112485B2 (en) 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US7041609B2 (en) 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
US6958300B2 (en) * 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US20050070126A1 (en) 2003-04-21 2005-03-31 Yoshihide Senzaki System and method for forming multi-component dielectric films

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001200363A (ja) * 1999-12-03 2001-07-24 Asm Microchemistry Oy テクスチャ加工されたキャパシタ電極上のコンフォーマル薄膜
WO2002027063A2 (en) * 2000-09-28 2002-04-04 President And Fellows Of Harward College Vapor deposition of oxides, silicates and phosphates
JP2004529495A (ja) * 2001-03-30 2004-09-24 アドバンスド.テクノロジー.マテリアルス.インコーポレイテッド 誘電体薄膜をcvd形成するための金属アミド前駆体およびアミノシラン前駆体

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006210882A (ja) * 2005-01-31 2006-08-10 Samsung Electronics Co Ltd 抵抗体を利用した不揮発性メモリ素子及びその製造方法
WO2013065806A1 (ja) * 2011-11-02 2013-05-10 宇部興産株式会社 トリス(ジアルキルアミド)アルミニウム化合物及び当該アルミニウム化合物を用いるアルミニウム含有薄膜の製造方法
JPWO2013065806A1 (ja) * 2011-11-02 2015-04-02 宇部興産株式会社 トリス(ジアルキルアミド)アルミニウム化合物及び当該アルミニウム化合物を用いるアルミニウム含有薄膜の製造方法
US9194041B2 (en) 2011-11-02 2015-11-24 Ube Industries, Ltd. Tris(dialkylamide)aluminum compound, and method for producing aluminum-containing thin film using same
JP2018018896A (ja) * 2016-07-26 2018-02-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、およびプログラム
JP2021503547A (ja) * 2017-11-19 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 金属表面上の金属酸化物のaldのための方法
JP7413258B2 (ja) 2017-11-19 2024-01-15 アプライド マテリアルズ インコーポレイテッド 金属表面上の金属酸化物のaldのための方法

Also Published As

Publication number Publication date
CN1688742A (zh) 2005-10-26
KR20050057087A (ko) 2005-06-16
US20060172485A1 (en) 2006-08-03
TW200422426A (en) 2004-11-01
US7410918B2 (en) 2008-08-12
AU2003262902A1 (en) 2004-03-19
CN100422383C (zh) 2008-10-01
EP1534875A1 (en) 2005-06-01
US20050136689A9 (en) 2005-06-23
WO2004020690A1 (en) 2004-03-11
TWI320061B (en) 2010-02-01
KR101003214B1 (ko) 2010-12-21
US20040043632A1 (en) 2004-03-04
US7041609B2 (en) 2006-05-09

Similar Documents

Publication Publication Date Title
JP4355656B2 (ja) 金属有機アミンと金属有機酸化物を用いて金属酸化物を形成するシステムおよび方法
US7410918B2 (en) Systems and methods for forming metal oxides using alcohols
US7253122B2 (en) Systems and methods for forming metal oxides using metal diketonates and/or ketoimines
US6984592B2 (en) Systems and methods for forming metal-doped alumina
US7115528B2 (en) Systems and method for forming silicon oxide layers
US6784049B2 (en) Method for forming refractory metal oxide layers with tetramethyldisiloxane

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060310

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090331

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090414

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090714

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090722

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090813

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090820

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20091110