TWI320061B - Systems and methods for forming metal oxides using alcohols - Google Patents

Systems and methods for forming metal oxides using alcohols Download PDF

Info

Publication number
TWI320061B
TWI320061B TW092123653A TW92123653A TWI320061B TW I320061 B TWI320061 B TW I320061B TW 092123653 A TW092123653 A TW 092123653A TW 92123653 A TW92123653 A TW 92123653A TW I320061 B TWI320061 B TW I320061B
Authority
TW
Taiwan
Prior art keywords
precursor compound
substrate
metal
metal oxide
providing
Prior art date
Application number
TW092123653A
Other languages
English (en)
Other versions
TW200422426A (en
Inventor
Brian A Vaartstra
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed filed Critical
Publication of TW200422426A publication Critical patent/TW200422426A/zh
Application granted granted Critical
Publication of TWI320061B publication Critical patent/TWI320061B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17CVESSELS FOR CONTAINING OR STORING COMPRESSED, LIQUEFIED OR SOLIDIFIED GASES; FIXED-CAPACITY GAS-HOLDERS; FILLING VESSELS WITH, OR DISCHARGING FROM VESSELS, COMPRESSED, LIQUEFIED, OR SOLIDIFIED GASES
    • F17C11/00Use of gas-solvents or gas-sorbents in vessels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31683Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of metallic layers, e.g. Al deposited on the body, e.g. formation of multi-layer insulating structures

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • General Engineering & Computer Science (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Semiconductor Memories (AREA)

Description

1320061 ⑴ 玖、發明說明 【發明所屬之技術領域】 本發明有關一種於氣相沉積方法期間,使用一或多種 醇及一或多種含金屬之前驅化合物,於基材上形成金屬氧 化物層的方法。該前驅化合物及方法特別適於在半導體基 材或基材組合體上形成金屬氧化物層。 【先前技術】 微電子裝置,諸如電容器及閘極,逐年不斷地縮小, 產生了習用於積體電路技術中之材料接近其性能極限的情 況。矽(即’摻雜之多晶矽)通常係爲所選擇之基材,而二 氧化矽(S i 02)通常作爲與矽一起構成微電子裝置的介電材 料。然而’當該S i Ο2層應最新微裝置所需地變薄達奈 米(即’僅有4或5分子之厚度)時,該層因爲通過之隧穿 電流而不再能夠有效地作爲絕緣體。 因此’需要一種新穎之高介電常數材料,以擴大裝置 性能。該等材料需具有高電容率、防止隧穿之障壁高度、 與矽直接接觸之安定性、及良好界面品質與薄膜形態。此 外’該等材料需與閘極材料、電極 '半導體加工處理、及 操作條件相容。 沉積於半導體晶圓上之高品質金屬氧化物薄膜,諸如 Zr〇2、Hf〇2、A】2〇3及YSZ’近來被使用於記億體中(例如 動態隨機存取記憶體(DRAM)裝置、靜態隨機存取記億體 (SRAM)裝置、及鐵電記憶體(FERAM)裝置)。此等材料具 (2) 1320061 有高介電常數,因此具有在需要極薄層之記憶體中取代 Si 02的潛力》此等金屬氧化物層在矽存在下係熱力穩定 性,在熱退火時之矽氧化現象極小,顯然可與金屬閘極相 容。詳言之,就閘極介電質而言,La203、Hf02、及Zr02 亦因具有極高之電容率及能帶隙極而具有優勢。 此項發現引發了硏究各種用以形成以金屬氧化物爲主 之薄層(尤其是介電層)的沉積方法之努力。該等沉積方法 係包括氣相沉積、金屬熱氧化、及高度真空濺鍍。氣相沉 積方法一包括化學氣相沉積(CVD)及原子層沉積(ALD) —因 爲對於基材上之介電質均勻性及厚度提供優越之控制,而 極具吸引力。但氣相沉積方法一般包括反應性金屬前驅化 合物與氧來源(諸如氧或水)之共同反應,而與其中任一者 皆會導致形成不需要之Si02界面層。因此,仍努力發展 一種無水且無氧之氣相沉積方法。
Ritala 等之「Atomic Layer Deposition of Oxide Thin Films with Metal A】koxides as Oxygen Sources j . SCIENCE, 2 88:3 1 9-32 1 (2 0 0 0)描述一種氧化物薄膜之 A L D 的化學方法。此方法中,同時作爲金屬來源及氧來源之金 屬醇鹽與另一種金屬化合物(諸如金屬氯化物或烷基金屬) 進行反應,於矽上沉積金屬氧化物,而不產生界面二氧化 矽層。然而,亦會形成不需要之氯化物殘留物。此外烷基 錯及烷基鈴通常不安定,且無法購得。亦可能於形成之薄 膜中留下碳。 儘管半導體介電層有了這些改良,但仍需要一種採用 -5- (3) 1320061 充分揮發性金屬前驅化合物的氣相沉積方法,所使用之前 驅化合物可使用氣相沉積法形成高品質氧化物薄層,尤其 是形成於半導體基材上。 【發明內容】 本發明提出一種於一基材上氣相沉積一金屬氧化物層 的方法。此等氣相沉積方法包括藉著結合一或多種醇與一 或多種金屬有機胺前驅化合.物(例如烷基胺或烷基亞胺-烷 基胺)及/或烷基金屬前驅化合物,以形成薄層。重要的是 本發明方法不需使用水或強氧化劑,因此減少(且一般避 免)於所需之金屬氧化物層與基材之間產生不需要之界面 氧化物層及使位於頂層下之其他層氧化的問題。該層一般 且較佳係爲介電層。 本發明方法包括在製造半導體結構時,於一基材(諸 如半導體基材或基材組體)上形成金屬氧化物層。該等方 法係包括:提供一基材(以半導體基材或基材組合體爲 佳);提供至少—種具有通式R(〇H)r之醇,其中R係爲有 機基團,且I·係爲1至3 ;提供至少一種具有通式 MUNRMdNWh(通式I)、M2R4q(通式II)或式II之路易 士鹼加合物的含金屬前驅化合物;及使該前驅化合物接 觸,以使用氣相沉積法於基材之一或多個表面上形成金屬 氧化物層。通式I及11中’ 及M2個別係爲金屬(本發 明包括準金屬或半金屬);R1' R2'…及R4個別係爲氫或 有機基團;w係爲0至4; z係爲1至8 ; q係爲】至5 ; -6- (4) 1320061 且w、z及q係視金屬之氧化態而定。 本發明較佳實施例中,提出一種包括下列步驟之方 法:於一沉積室內配置一基材(以半導體基材或基材組合 體爲佳);提供至少一種具有通式R(0H)r之醇’其中R係 爲有機基團,且r係爲1至3;提供至少一種具有通式 M^NRb^NR^R3)“通式I)、M2R4q(通式II)或通式II之路 易士鹼加合物的含金屬前驅化合物;蒸發該前驅化合物以 形成蒸發之前驅化合物;將該蒸發之前驅化合物導至該基 材,以於該基材之一或多個表面上形成金屬氧化物介電 層。通式I及II中’ M1及M2個別係爲金屬;R1、R2、R3 及R4個別係爲氫或有機基團;w係爲0至4 ; z係爲1至 8 ; q係爲1至5 ;且W、Z及q係視金屬之氧化態而定。 • 本發明另一較佳實施例中,提供一種製造記憶體裝置 結搆的方法。該方法係包括:提供一上層具有第一電極之 基材;提供至少一種具有通式R(〇H)r之醇,其中R係爲 有機基團,且r係爲1至3;提供至少一種具有通式 (通式I)、M2R4q(通式II)或通式Π之路 易士鹼加合物的含金屬前驅化合物;蒸發該前驅化合物以 形成蒸發之前驅化合物;將該蒸發之前驅化合物導至該基 材,以於該基材之第一電極上形成金屬氧化物介電層。通 式I及II中,M1及M2個別係爲金屬;R1、R2、R3及R4 個別係爲氫或有機基團;w係爲0至4; z係爲1至8; q 係爲1至5 ;且w ' z及q係視金屬之氧化態而定。 亦提出一種氣相沉積裝置,其包括:一氣相沉積室, (5) 1320061 其中放置有基材;一或多個容器’其中裝有—或多種具有 通式R(OH)r之醇,其中R係爲有機基團’且r係爲1至 3 ; —或多種具有通式 (通式I)、 m2r\(通式II)或通式II之路易士鹼加合物的含金屬前驅 化合物。通式I及II中,M1及M2個別係爲金屬;R1、 R2、R3及R4個別係爲氫或有機基團;w係爲0至4 ; z係 爲1至8 ; q係爲1至5 ;且w、z及q係視金屬之氧化態 而定。 本發明方法可採用化學氣相沉積(CVD)方法,其可爲 脈衝、或原子層沉積(ALD)方法(一種自限式氣相沉積方 法,其包括多個沉積週期,一般在週期之間進行淸洗)。 本發明方法以使用ALD爲佳。就特定ALD方法而言,或 可在各沉積週期間將該前驅化合物導入一沉積室內。 本發明所使用之「半導體基材」或「基材組合體」係 表示諸如半導體基層之半導體基材或上層形成有一或多薄 層 '結構 '或區域之半導體基材。半導體基層一般係爲晶 圓上之最底層矽材料,或沉積於另一材料(諸如矽或藍寶 石)上之矽層。當意指基材組合體時,可預先使用各種程 序步驟’以形成或界定區域、接頭、各種結構或特徵、及 開口諸如電容器板或電容器用之障壁。 本發明所使用之「薄層」係表示任何可使用氣相沉積 法自本發明前驅化合物形成於基材上之金屬氧化物層。 「薄層」一辭係包括半導體工業有特有之薄層,諸如「障 壁層」' 「介電層」及「導電層」。(「薄層」一辭在半 -8- (6) 1320061 導體工業中經常與「薄膜」一辭同義。)「薄層」一辭亦 包括在半導體技術以外之技術中所發現之薄層,諸如玻璃 上之塗層。 本發明所使用之「前驅化合物」係表示可於各種氣相 沉積法中在基材上(單獨或與其他前驅化合物一起)形成金 屬氧化物層的醇或含金屬之化合物。 本發明所使用之「沉積法」及「氣相沉積法」意指金 屬氧化物層係自蒸發之前驅化合物形成於基材(例如經摻 雜之多晶矽晶圓)之一或多個表面的方法。詳言之,一或 多種金屬前驅物(即含有金屬之前驅物)化合物被蒸發,導 向放置於沉積室中之被加熱基材(例如半導體基材或基材 組合體)的一或多個表面。此等前驅化合物於基材表面上 形成(例如藉或反應或分解)非揮發性而均勻之金屬氧化物 薄層。本發明中,「氣相沉積方法」係同時包括化學氣相 沉積(包括脈衝式化學氣相沉積法)及原子層沉積法.。 本發明所使用之「化學氣相沉積(CVD)」係意指在沉 積室內’自蒸發之金屬前驅化合物(及任何所使用之選擇 性反應氣體),於基材上沉積所需層,而不分離反應成份 的化學氣相沉積方法。與包括實質同時使用前驅化合物及 任何反應氣體之「單純」CVD方法相反地,「脈衝式」 CVD則是使此等材料脈衝式送入該沉積室內,但未如同 原子層沉積法或ALD(詳細討論於下文)般地嚴格避免該前 驅物與反應氣流相互混合。 本發明所使用之「原子層沉積」(ALD)係意指在沉積 (7) 1320061 室中進行數個連續沉積週期的氣相沉積方法。一般,在各 週期間’金屬前驅物係化學吸附於基材表面上;排除過量 之前驅物;隨後導入前驅物及/或反應氣體以與該化學吸 附層反應;且移除過量之反應氣體(若使用)及副產物。與 單週期化學氣相沉積(CVD)方法比較之下,歷時較長之多 週期ALD方法可藉著自身限制薄層生長且藉著分離反應 成份而使有害之氣相反應減至最少,來改善薄層厚度的控 制。本發明所使用之「原子層沉積」一辭亦包括使用前驅 化合物 '反應氣體、及淸洗(即惰性載體)氣體之交替脈衝 時的相關用辭「原子層外延j (ALE)、分子束外延 (MBE)、氣體來源MBE、有機金屬MBE、及化學束外 延。 本發明所使用之「化學吸附」係表示蒸發之反應性化 合物於基材表面上之化學吸附。所吸附之物質因爲具有高 吸附能(例如>30仟卡/莫耳)特性之相對強鍵結特性,而不 可逆地鍵結於基材表面。該化學吸附之物質一般於基材表 面上形成一卓層α (寥照"The Condensed Chemical Dictionary”,第]〇 版,G.G. Hawley 修訂,Van Nostrand Reinhold Co.,New York 出版,225 (】981)。)ALD 之技術 係基於藉化學吸附形成反應性前驅物分子的飽和單層之原 理。於ALD中,一或多種適當之前驅化合物或反應氣體 係交替導入(例如脈衝)沉積室內,且化學吸附於該基材表 面上。依序導入反應性化合物(例如一或多種前驅化合物 及一或多種反應氣體)時一般係藉著惰性載體氣體淸洗來 -10- (8) 1320061 分隔。各個前驅化合物共反應皆添加新的原子層於先前沉 積層上,以形成累積固體層。重複該週期(一般係數百次) 以逐漸形成所需之薄層厚度。應瞭解ALD可交替採用一 種前驅化合物(被化學吸附)及一種反應氣體(與被化學吸 附之物質反應)。 【實施方式】 本發明提出一種使用一或多種通式R(〇H)r之醇--其 中r係爲1至 3(以 1爲佳)--及一或多種具有通式 (通式I)、M2R4q(通式II)或式II之路易 士鹼加合物的含金屬前驅化合物於基材(以半導體基材或 基材組合體爲佳)上形成金屬氧化物層的方法。通式I及 II中:M1及M2個別係爲任何金屬(主族、過渡金屬、鑭 系金屬);R1、R2、R3及R4個別係爲氫或有機基團;w係 爲〇至4(以0至2爲佳);z係爲1至8(以2至6爲佳); q係爲1至5 (以2至3爲佳);且w、z及q係視金屬之氧 化態而定。 該金屬氧化物層可包括一或多種不同金屬,且一般具 有通式MnOm(通式III),其中Μ可爲一或多種前文定義之 Μ1及Μ2 (即該氧化物可爲單一金屬氧化物或爲混合金屬 氧化物)。該金屬氧化物層可視情況爲混合金屬氧化物(即 其包括兩種或多種金屬)。該金屬氧化物層以僅包括一種 金屬爲更佳。 該金屬氧化物層(尤其是係爲介電層時)以包括Zr02、 -11 - (10) 1320061
Ta)、VIB 族(Cr、Mo、W)、VIIB 族(Μη、Tc、Re)、ΙΙΙΑ 族(A 卜 Ga' In、Tl)' IVA 族(Si、Ge' Sn、Pb)、及鑭系 (La'Ce'Pr等)金屬,亦稱爲週期表之第3至7族、第 13族、第14族及鑭系金屬。更佳之各金屬μ係選自IIIB 族(Sc、Y)、IVB 族(Ti、Zr、Hf)、VB 族(V、Nb、Ta)、 VIB 族(Cr' Mo、W)、VIIB 族(Μη、Tc、Re)、IVA 族 (Si、Ge、Sn、Pb)、及鑭系(La、Ce、Pr等)金屬,亦稱爲 週期表之第3至7族、第14族及鑭系金屬。更佳之各金 屬 Μ 係選自 ΙΠΒ 族(Sc、Y)、IVB 族(Ti、Zr、Hf)、VB 族 (V、Nb、Ta)、VIB 族(Cr、Mo、W)、VIIB 族(Μη、Tc、 Re)、及鑭系(La、Ce、Pr等)金屬,亦稱爲週期表之第3 至7族、及鑭系金屬。 就特定實施例而言,Μ 1或Μ2之較佳金屬係選自Y、 La、Pr' Nd、Gd、Ti、Zr、Hf、Nb、Ta、Si 及 A]。就特 定其他實施例而言,M2之較佳金屬係爲Y、La、Pr、 Nd、Gd、Ti' Zr、Hf、Nb、Ta 及 Si,而 M2 之更佳金屬 係爲 Y、La ' Pr、Nd、Gd、Ti ' Zr、Hf、Nb 及 Ta。 前驅化合物(即該醇及具有通式(通 式I)及M2R\(通式II)之含金屬前驅化合物)中之各r個別 係爲氫或有機基團,以有機基團爲佳。本發明所使用之 「有機基團」係意指烴基,分類爲脂族基團、環狀基團、 或脂族與環狀基團之組合(例如烷芳基及芳烷基)。在本發 明中,適用於本發明前驅化合物之有機基團係爲不干擾使 用氣相沉積技術形成金屬氧化物層的基團。在本發明中, -13- (11) l32〇〇61 < 「脂族基團」一辭係表示飽和或不飽和直鏈或分支鏈烴 基。此辭係用以涵蓋例如烷基 '烯基、及炔基。「院基」 一辭係表示飽和直鏈或分支鏈單價烴基,包括例如甲基' 乙基、正丙基、異丙基、第三丁基、戊基、庚基、及其類 者。「烯基」一辭係表示具有一或多個烯鍵式不飽和基團 (即碳-碳雙鍵)的不飽和直鏈或分支鏈單價烴基,諸如乙 烯基。「炔基」一辭係表示具有一或多個碳-碳參鍵之不 飽和直鏈或分支鏈單價烴基。「環狀基團」一辭係表示閉 環烴基’分爲脂環族基團、芳族基團、或雜環基團。「脂 環族基團」一辭係表示具有類似脂族基團之性質的環狀烴 基。「芳族基團」或「芳基」一辭係表示單環或多環芳族 烴基。「雜環基團」一辭係表示一閉環烴,其中環中之一 或多個原子係爲除碳以外之元素(例如氮、氧、硫等)。 爲了簡化本發明所使用之特定術語的討論及描述, 「基團」及「部分J用辭係用以區分可容許取代或可經取 代之化學物質及不可容許取代或不可經取代者。因此, F基屢i」一辭係用以描述一化學取代基,所描述之化學物 質係包括未經取代之基團及鏈中具有例如未過氧化〇、 N' Si' F或S原子之基團及羰基或其他習用取代基。而 「部分」一辭係用以描述一化合物或取代基,僅包括未經 取代之化學物質。例如,「烷基」一辭不僅包括純開鏈飽 和烴烷基取代基,諸如甲基、乙基、丙基、第三丁基及其 _者’亦包括具有其他技術已知之取代基(諸如羥基、烷 氧基、烷基磺醯基、鹵原子、氰基、硝基、胺基、羧基等) -14 - (12) 1320061 的烷基取代基。因此,「烷基」係包括醚基、鹵烷基、硝 烷基 '羧烷基、羥烷基、磺烷基等。另一方面,「烷基部 分j則僅限於包括純開鏈飽和烴烷基取代基,諸如甲基、 乙基、丙基、第三丁基及其類者。 就本發明所有前驅化合物(含金屬及醇者皆然)而言, R個別且較佳係爲氫或有機基團,較佳係爲(Cl-C10)有機 基團,更佳係爲(C1-C8)有機基團,(C1-C6)有機基團又更 佳,而「低級」(即C1-C4)有機基團又更佳。此等有機基 團各爲烷基又更佳。各有機基團係爲有機部分最佳,以烷 基部分較佳。 特定實施例中,醇前驅化合物之R基團的碳原子可經 氟原子取代。較佳醇類係包括乙醇、異丙醇' 正丙醇、正 丁醇、及乙二醇單甲基醚。 特定實施例中,含金屬之前驅化合物的R基團之碳原 子係視情況經矽、氟、氧、及/或氮原子或含有此等原子 之基團所置換或取代。因此,經甲矽烷基化之胺及經甲矽 烷基化之亞胺、胺係包括於通式丨之範圍內。 就通式 I化合物 Mi(MR])w(NR2R3)z而言,R1 ' R2、 R3及R4各以(C1-C6)有機基團爲佳。適當之前驅化合物的 實例係包括四(二甲胺基)鈦 '四(二甲胺基)飴、四(乙基甲 基胺基)鈴、及 Al(NMe2)2(N(Me)CH2CH2NMe2)(其中 Me = 甲基)°該化合物或購自Strem Chemical Co.,或可使用標 準技術製備(例如’金屬氯化物與對應之二烷基醯胺鋰進 行反應)。 -15- (13) !32〇〇6ΐ 就通式II之化合物M2R4q及其路易士鹼加合物而 言,各R4係以氫或(C1-C4)有機基團爲佳。通式II化合物 以不包括其中所有R4基團皆係爲甲基(尤其是M2係爲鋁) 的化合物。適當之前驅化合物的實例係包括 A1H3、 AlMe3、AlHMe2、ZnEt2 及 AlH3NMe3。該化合物或購自 S i g m a - AI d r i c h,或可使用標準技術製備(例如,藉 G r i g n a r d試劑與金屬鹵化物反應)。 各種前驅化合物可於各種組合下使用,視情況使用一 或多種有機溶劑(尤其是供CVD方法使用)’以形成前驅 物組成物。該前驅化合物在室溫下可爲液體或固體(以在 蒸發溫度係爲液體爲佳)。一般,其係爲可充分揮發以使 用已知之氣相沉積技術的液體爲佳。然而,亦可爲可充分 揮發,以使用已知氣相沉積技術自固態蒸發或昇華之固體 爲佳。若其係爲較不易揮發之固體,則以充分可溶於有機 溶劑爲佳,或具有低於其分解溫度之熔點,以便使用於快 速蒸發、發泡 '微滴形成技術等。此情況下,蒸發之前驅 化合物可單獨或視情況與蒸發之其他前驅化合物分子一起 或視情況與蒸發之溶劑分子(若有使用)一起使用。本發明 所使用之「液體」係表示溶液或純液體(於室溫下爲液 體,或於室溫下爲固體且於高溫下熔化)。本發明所使用 之「溶液」並非必要使固體完全溶解’而可容許部分未溶 解之固體,其先決條件爲有機溶劑可將充分量之固體輸送 至供化學氣相沉積處理使用之氣相中。若沉積中使用溶劑 稀釋,則所生成之溶劑蒸汽的總莫耳濃度亦可視爲惰性載 -16- (14) 1320061 體氣體。 含有金屬之前驅物可視需要使用溶劑。適於此應用 (尤其是CVD方法)的溶劑可爲下列中之一或多種:脂族 烴或不飽和烴(C3-C20 ’以C5-C10爲佳,環狀 '分支鏈或 直鏈)、芳族烴(C5-C20. ’以C5-CI0爲佳)、鹵代烴 '經甲 矽烷基化之烴(諸如烷基矽烷)、烷基矽酸酯、醚、聚醚、 硫醚、酯、內酯、氨、醯胺、胺(脂族或芳族、一級、二 級或三級)、多胺、腈、氰酸酯、異氰酸酯、硫代氰酸 酯、聚砂酮油、醇或含有任何前述者之組合物的複合物或 前述中一或多者之混合物。該化合物通常亦可彼此相容, 因此’不同量之前驅化合物的混合物不會相互作用,以致 改變其物性。 本發明中’以不採用反應氣體爲佳,以使基材(一般 爲矽)氧化成其氧化物(一般爲二氧化矽)的情況減至最 少。該氧化過程亦會導致其他基材(諸如金屬電極或氮化 障壁)發生有害之氧化。而且,如技術界所知,氧化性氣 體可滲透某些薄層,導致位於基材頂層下方之薄層產生有 害之氧化。 該前驅化合物可視需要於惰性載體氣體存在下蒸發》 此外’惰性載體氣體可使用於ALD方法中之淸洗步驟 中。該惰性載體氣體一般係選自氮、氦、氬及其組合物。 本發明中’惰性載體氣體係爲不干擾金屬氧化物層形成 者。不論是在惰性載體氣體存在下或不存在下進行,該蒸 發以於氧不存在下完成爲佳,以避免該薄層被氧污染(例 -17- (15) 1320061 如矽氧化而形成二氧化矽)。 本發明沉積方法係爲氣相沉積方法。氣相沉積方法因 爲可迅速提供高度服貼之薄層(即使在深接點及其他開口 中亦然)的能力,通常對半導體工業較爲有利。化學氣相 沉積(CVD)及原子層沉積(ALD)係爲兩種經常用以在半導 體基材上形成連續均勻之金屬氧化物(以介電性爲佳)薄層 的氣相沉積方法。使用任一種氣相沉積方法時,一般於沉 積室中蒸發一或多種前驅化合物,且視情況結合一或多種 反應氣體,以於基材上形成金屬氧化物層。熟習此項技術 者明瞭氣相沉積方法可利用各種相關技術(諸如電漿輔 助、光輔助、雷射輔助、及其他技術)來促進。 所形成之最終層(以介電層爲佳)以具有約1 〇 A至約 500 A範圍內之厚度爲佳。金屬氧化物層厚度在約 30 A 至約8 0 A範圍內更佳。 大部分氣相沉積方法中,前驅化合物一般係於高溫下 與氧化性或還原性反應氣體反應,以形成金屬氧化物層。 然而,在進行本發明時,因爲醇提供用以形成薄膜之氧, 故不需要該種反應氣體。然而,可視需要使用氧化性氣 體,諸如 〇2、〇3、h2o、h2o2 及 N20。 化學氣相沉積(CVD)係廣泛地於半導體加工中用以製 備金屬氧化物層,諸如介電層,因爲其可於相對快速之加 工時間下提供高度服貼且高品質之介電層。所需之前驅化 合物被蒸發,之後與選擇性反應氣體及/或惰性載體氣體 一起導入裝有被加熱基材的沉積室內。在一般CVD方法 -18- (16) 1320061 中’所蒸發之前驅物係於基材表面上與反應氣體接觸,以 形成薄層(例如介電層)。持續單一沉積週期,直至達到所 需之薄層厚度。 一般CVD方法通常於與加工艙分隔之蒸發艙中採用 前驅化合物’該加工艙中放置沉積表面或晶圓。例如,液 體前驅化合物一般係放置於起泡器中,加熱至其蒸發溫 度’所蒸發之液體前驅化合物隨之藉著通過發泡器上方或 通經該液體前驅化合物之惰性載體氣體輸送。將該蒸汽被 吹經氣體管線到達沉積室,以於其中之基材表面上沉積薄 層。已發展許多技術以精確控制該程序。例如,輸送至該 沉積室之前驅材料的量可藉由裝有該前驅化合物之容器的 溫度且藉由冒泡通經或通過該容器之惰性載體氣體流動來 精確的控制。 本發明所述之前驅化合物的較佳實施例係特別適用於 化學氣相沉積(CVD) »基材表面之沉積溫度係準確地保持 於約1 G 0 °C至約6 0 0 °C範圍內之溫度,約2 0 Ot:至約5 0 0 t 範圍內更佳。沉積室壓力以保持於約0 · 1托耳至約1 0托 耳較佳。惰性載體氣體中前驅化合物的分壓以約0 · 0 0 1托 耳至約1 〇托耳爲佳。 CVD方法及艟室可有數項修飾,例如,使用大氣壓 化學氣相沉積、低壓化學氣相沉積(LPCVD)、電漿增強化 學氣相沉積(PECVD)、熱牆或冷牆反應器或任何其他化學 氣相沉積技術。此外,可使用脈衝式CVD,其係與 ALD(詳述於下文)相同,但未嚴格避免前驅物與反應物氣 (17) 1320061 流互混。而且,就脈衝式CVD而言,沉積厚度係與曝露 時間有關,此與自限式之ALD (詳述於下文)不同。 典型CVD方法可在化學氣相沉積反應器中進行,諸 如購自Genus,Inc. (Sunnyvale, CA)商品編號7000之沉積 室、購自 Applied Materials, Inc. (Santa Clara, CA)商品 編號 5000 之沉積室' 或購自 Novelus, Inc. (San Jose, CA) 商品名稱Prism之沉積室。然而,可使用任何適於進行 C V D之沉積室。 使用於本發明方法中之另一種且較佳之氣相沉積方法 係爲多週期ALD方法。該方法之優點(尤其是優於CVD 方法)係爲對於沉積層(例如介電層)之原子等級厚度及均 勻性提供最佳之控制,且金屬前驅化合物係曝露於較低蒸 發及反應溫度,故使降解減至最少。在ALD方法中’,各 反應物一般係依序脈衝式地送於適當之基材上,一般沉積 溫度約25°C至4〇〇°C (以約]5〇°C至約3 00 °C爲佳),此溫 度通常低於目前CVD方法所使用之溫度。此條件下,薄 膜生長一般係自限式(即’當位於表面上之反應部位在 ALD方法中用完時,沉積通常即停止),不僅確定有優越 之服貼性,亦確認了良好之大型面積均勻性加上簡易且精 確之厚度控制。與該前驅物及/或反應氣體係連續地進行 共同反應之CVD方法不同的’因爲前驅化合物及/或反應 氣體係交替供料,故原本就可避免有害之氣相反應。(參 照 VehkamSki et al, "Growth of SrTi〇3 and BaTi〇3 Thin
Electrochemical and
Films by Alomic Layer Deposition, (18) 1320061
Solid-Stale Lellers, 2( 1 0): 5 0 4 - 5 0 6 (1 9 9 9)) 〇 典型ALD方法係包括使起始基材曝露於第一化學物 質(例如通式I之前驅化合物)下’以使該物質化學吸附於 該基材上。理論上,化學吸附係於整體曝露之起始基材上 形成均勻之單一原子或分子厚度的單層。換言之’係一飽 和單層。實際上,並非基材之所有部分上皆發生化學吸 附。當然,該種不完美之單層在本發明中仍視爲單層。在 許多應用中,僅有實質飽和單層可適用。實質飽和單層係 爲仍產生具有該層所需品質及/或性質的沉積層者。 自該基材上淸除第一種物質,提供第二種化學物質 (例如不同之通式I前驅化合物或通式11之前驅化合物)以 與該第一種物質之第一單層反應。隨之淸除該第二種物 質,使該第二種物質單層曝露於第一種物質下重複該等步 驟。在某些情況下,該兩單層可爲相同物質。該第二種物 質可選擇與該第一種物質反應,但不化學吸附其他材料。 即,該第二種物質會切除部分被化學吸附之第一種物質, 改變該單層,而非在其上層形成另一單層。而且,後續可 能有第三種物質或其他物質化學吸附(或反應),並如第一 及第二種物質所述般地淸除。該第二種物質(或第三種或 後續者)可視情況包括至少一種反應氣體。 淸洗可包括各種技術,包括(但不限於)使該基材及/或 單層與載體氣體接觸及/或降低壓力至沉積壓力以下,以 降低與該基材及/或被化學吸附之物質接觸的物質濃度。 載體氣體實例係包括N2、Ar' He等。淸除或可包括使該 -21 - (19) 1320061 基材及/或單層與任何可使化學吸附副產物解吸且降低用 以導入其他物質之接觸物質濃度的物質。該接觸物質可根 據特定沉積方法的產物減少至某一熟習此項技術者已知之 適當濃度或分壓。 ALD經常被描述爲自限式方法,其中基材上存在有 限個可與第一種物質形成化學鍵結的部位。該第二種物質 僅可鍵結於第一種物質,因此亦可爲自限型。一旦基材上 有限個部位與第一種物質鍵結,則該第一種物質通常不會 鍵結於已與基材鍵結之其他第一種物質。然而,可改變 ALD中之程序條件,以促進該鍵結,且使ALD成爲非自 限式。是故,ALD亦可涵蓋藉著物質之堆疊一次形成非 —單層,而是形成一原子或分子厚度以上之薄層的物質。. 所述方法顯示在第一種前驅物化學吸附期間「實質上 不存在」第二種前驅物(即第二種物質),因爲可能存在無 意義之量的第二種前驅物。根據一般熟習此項技術者之知 識及偏好,可決定用以達到實質不存在第二種前驅物之第 二種前驅物的容許量及所選擇的程序條件。 因此,在ALD過程中,於沉積室中進行數個連續之 沉積週期,各週期皆沉積極薄之金屬氧化物層(通常小於 —單層,使得平均生長速率係每週期約0.2至約3.0埃), 直至於所用基材上累積具有所需厚度之薄層。該薄層沉積 係藉著將前驅化合物交替導入(即脈衝送入)裝有半導體基 材之沉積室內,使該前驅化合物化學吸附於基材表面上成 爲單層,之後使被化學吸附之前驅化合物與其他共反應前 -22- (20) 1320061 驅化合物進行反應。該前驅化合物與惰性載體氣體之脈衝 寬度係足以飽和該基材表面。該脈衝寬度一般係約0 . 1至 約5秒,以約0_2至約]秒爲佳。 與主要以熱驅動之CVD比較之下,ALD主要係以化 學驅動。是故,ALD經常於遠低於CVD之溫度下進行。 在ALD過程中,基材溫度係保持充分低,以使化學吸附 前驅化合物與底層基材表面之間的鍵結保持不變,且防止 該前驅化合物分解。該溫度亦高至足以避免該前驅化合物 冷凝。該基材溫度一般係保持介於約25 °C至約400°C範圍 內(以約150°C至約300°C爲佳),通常低於目前CVD方法 所使用者。因此,該第一種物質或前驅化合物係於此溫度 下被化學吸附。該第二種物質或前驅化合物的表面反應可 在實質上與該第一種前驅物之化學吸附相同的溫度下發 生’或較不佳地於實質不同溫度下發生。顯然,會發生些 微溫度變化(一般熟習此項技術者所判斷),但因爲提供統 計上與該第一種前驅物化學吸附之溫度相同的反應速率, 而仍爲一實質相伺溫度。化學吸附及後續反應可替代地於 實際相同溫度下進行。 就典型ALD方法而言,該沉積室內之壓力係保持約 W4托耳至約〗托耳,以約10_4托耳至約0.1托耳爲佳。 一般’該沉積室係在將各週期用之蒸發的前驅化合物導入 該臆且/或反應之後,以惰性載體氣體淸洗。該惰性載體 氣體亦可於各週期間與蒸發之前驅化合物一起導入。 前驅化合物之反應性會對ALD中之程序參數有重要 (21) 1320061 影響。在一般CVD程序條件下,高度反應性之化 能於氣相生成粒子中反應,過早沉積於非所需之表 產生較差之薄膜,且/或產生較差之階度覆蓋性或 均勻之沉積。至少在該因素下,高度反應性化合物 視爲不適用於CVD。然而,部分不適用於CVD之 係爲優越之ALD前驅物。例如,若第一種前驅物 二種前驅物係爲氣相反應性,則該種化合物組合物 用於 CVD,唯其可使用於 ALD。如熟習此項技 知,當使用高度氣相反應性前驅物時,黏著係數及 動性在CVD中可能亦具有重要性,但對於ALD則 完全不具重要性。 在基材上形成薄層之後,視情況於該沉積室中 圍或氧化氛圍中,於原位進行退化程序。該退火溫 於約4 0 0 °c至約1 0 0 0 °c範圍內爲佳。尤其是A L D 退火溫度以約4 0 0 °C至約7 5 0 °C更佳’約6 0 0 °C至約 最佳。該退火操作以進行約〇 · 5分鐘至約6 0分鐘 約1分鐘至約]〇分鐘時間更佳。熟習此項技術者 溫度及時間週期可變化。例如,可使用爐退火及迅 火,此外,該退火可於一或多個退火步驟中進行。 如前文所述,使用本發明複合物及形成薄膜之 益於半導體結構中之各種薄膜應用’尤其是使用高 料。例如,該應用包括電容器諸如平面單元、渠丨 (例如又側牆渠溝電容器)、疊層單元(例如冕形 元、(5單元' 多指形、或圓筒形外殼疊層單元)、; 合物可 面上, 產生不 可能被 化合物 對於第 能不適 術者已 表面移 幾乎或 於氮氛 度以介 之後, 70 0 cC 爲佳, 已知該 速熱退 方法有 介電材 雄 BD — _單兀 、V-單 反場效 -24- (22) 1320061 電晶體裝置。 根據本發明形成介電層之特例係爲電容器結構。例示 電容器結構係參照圖1至3描述。參照圖1,半導體晶圓 片段1 〇係包括由本發明方法形成之電容器結構25。晶圓 片段10係包括基材12,其中形成有導電性擴散區〗4。基 材12可包括例如單晶矽。絕緣層16(—般爲硼磷矽酸鹽 坡璃(BPS G))係配置於於基材12上,其中配置有通達擴散 區1 4之接點開口 I 8。導電性材料2 0充塡接點開口 I 8, 材料20及氧化物層1 8係如所示般地平面化。材料2〇可 爲任何適當之導電性材料,諸如例如鎢或經導電性摻雜之 多晶矽。電容器結構25係配置於薄層1 6及柱塞20頂 上,經由柱塞2 0電聯於結點14。 電容器結構25包括第一電容器電極26,其係配置且 圖案化於結點2 0上。例示材料包括經導電性摻雜之多晶 矽、Pt、Ir、Rh、Ru、Ru02、Ir〇2、Rh02。電容器介電層 28係配置於第一電容器電極26上。本發明材料可用以形 成電容器介電層28。若第一電容器電極26包括多晶矽, 則多晶矽表面以在沉積介電材料之前藉原位HF淸洗爲 佳。根據256 Mb積合之例示薄層28厚度係爲100埃。 擴散障壁層30係配置於介電層28上。擴散障壁層 30包括導電性材料諸如TiN、TaN、金屬矽化物、或金屬 矽化物-氮化物’且可藉CVD配置,例如使用熟習此項技 術者熟知之條件。形成障壁層3 0之後,第二電容器電極 32係形成於障壁層30上’以完成電容器25之結構。第 -25- (23) 1320061 二電容器電極32可包括與前文針對第一電容器電極26所 揭示之結構,且可對應地包括例如經導電性接雜之多θ 矽。擴散障壁層30較佳係防止成份(例如氧)自介電材料 28擴散至電極32內。若(例如)氧擴散至含有砂之電極^ 內’則會令人不期望地形成S i Ο2,大幅降低電容器2 5之 電容。擴散障壁層30亦可防止砂自金屬電極32擴散至介 電層28。 圖2說明電容器結構之另~實施例。適當地使用iSigj 1相同之編號,使用字尾「a」表示差異。晶圓片段1〇a 包括異於圖2結構2 5之電谷器結構2 5 a,提供介於第_ __ 電極26與介電層28之間的障壁層3〇a,而非介於介電層 28與第二電容器電極32之間。障壁層30可包甘與參照 圖]所討論者相同的結構。 圖3說明電容器結構之另一實施例。適當地使用與圖 1相同之編號,使用字尾「b」或不同編號表示差異。晶 圓片段】〇b包括個別具有所述第一實施例之第一及第二電 容器板26及32的電容器結構25b。然而,晶圓片段i〇b 異於圖2晶圓片段1 0之處在於晶圓片段1 〇 b在障壁層3 0 之外亦包括第二障壁層4〇。障壁層4〇係配置於第一電容 器電極26與介電層28之間,而障壁層30係配置於第二 電容器電極32與介電層28之間。障壁層40可藉著與前 文參照圖1討論用以形成障壁層30者相同的方法形成。 圖】至3之實施例中,出示障壁層,且將其描述成與 電容器電極分隔之不同層。然而,已知該障壁層可包括導 -26- (24) 1320061 電性材料,因而已知在該實施例中係包括至少一部分電容 器電極。特定實施例中,整體電容器電極可包括導電性障 壁層材料。 本發明可用以進行氣相沉積方法(化學氣相沉積或原 子層沉積)之系統係出示於圖4中。該系統係包括密閉之 氣相沉積室110,其中可使用渦輪泵112及初步抽氣泵 Π4產生真空。於艙11〇中放置一或多個基材116(例如半 導體基材或基材組合體)。針對基材116建立固定通稱溫 度,此溫度可視所使用之方法而定。基材1 1 6可例如藉著 電阻加熱器118(上方裝置有基材116)而加熱。亦採用其 他已知之加熱基材的方法。 此方法中,前驅化合物1 60 (例如耐火性金屬前驅化 合物及醚)係儲存於容器1 62中。該前驅化合物被蒸發, 使用例如惰性載體氣體1 6 8個別沿著管線1 6 4及1 6 6送至 沉積室1 1 0。反應氣體1 7 0可視需要沿著管線1 7 2供料。 而且,淸洗氣體1 7 4 (經常與惰性載體氣體1 6 8相同)可視 需要沿著管線1 76供料。如前文所示,視需要開啓及關閉 —系列閥I 8 0至1 8 5 · 提供下列實施例,以進一步說明特定較佳實施例及技 術。然而,應明瞭可在本發明範圍內進行許多改變及修 飾,故本發明範圍不受限於實施例。除非另有陳述,否則 實施例所示之所有百分比皆係爲重量百分比。 實施例 -27- (25) 1320061 實施例】.Ti 02之脈衝式化學氣相沉積 具有圖4所示結構之艙室於電腦控制下配置阻氣閥, 以依序脈衝開啓該閥。連接於該艙之兩容器裝有 Ti(NMe2)4(Strem Chemical, Newburyport,Μ A)及異丙醇 (General Chemical·, Parsippany,NJ)» 該基材係爲以經摻 雜之多晶矽作爲頂層的矽晶圓,且係保持於220 °C以進行 沉積。 各個週期皆包括5秒之Ti(NMe2)4脈衝及5秒之異丙 醇脈衝,各脈衝係以5秒之氬淸洗及5秒鐘處於動態真空 下之泵抽。在無氦載體氣體之下導入該前驅物,僅使用位 於異丙醇容器下游而設定於50 seem之質流控制器。在 400週期之後,得到1 750A厚度之Ti02薄膜。所得之薄 膜以X-射線光電子譜(XPS)分析測量僅含有鈦及氧,且未 測得氮或碳。薄膜之X-射線分析顯示初沉積時形成銳鈦 礦結晶相。 實施例2 . Hf02之原子層沉積 圖4所示結構之艟室係配置以電腦控制之阻氣閥,以 依序脈衝開啓該閥。連接於該艙之兩容器裝有 Hf(NMe2)4(Strem Chemical,Newbury port,MA)及異丙醇 (General Chemical,Parsippany,NJ)。該基材係爲以經摻 雜之多晶矽作爲頂層的矽晶圓,且係保持於2 I 5 °C以進行 沉積。 各個週期皆包括2秒之Hf(NMe2)4脈衝及1秒之異丙 -28- (26) 1320061 醇脈衝’各脈衝係以5秒之氬淸洗及5秒鐘處於動態真空 下之泵抽。在無氮載體氣體之下導入該前驅物,僅使用位 於異丙醇谷器下游而設定於25 seem之質流控制器。在 4〇〇週期之後’得到250A厚度之Hf〇2薄膜。所得之薄膜 以X-射線光電子譜(XPS)分析測量僅含有飴及氧,且未測 得氮或碳。薄膜之X-射線分析顯示初沉積時形成非晶相 薄膜’但在氮下使用600 °C迅速加熱程序(RTP)l分鐘之 後,該薄膜係爲結晶Hf02。 本發明所列示之專利、專利文件、及刊物的全部揭示 皆以引用方式完全倂入本文,如同個別倂入—般。熟習此 項技術者可明白本發明之各種修飾及改變,而不偏離本發 明範圍及精神。應明瞭本發明不受限於例示實施例及其中 所列之實例’而該實例及實施例皆僅供例示,本發明範圍 僅受限於以下申請專利範圍。 【圖式簡單說明〕 圖1至3係爲例示電容器結構。 圖4係爲適用於本發明方法之氣相沉積塗覆系統的透 視圖。 [主要元件對照表] 10-半導體晶圓片段 1 2-基材 14-導電性擴散區 -29- (27)1320061 1 6 -薄層 1 8 -接點開口 20-導電性材料柱塞 25- 電容器結構薄層 26- 電容器電極 28-電容器介電層 3 0-擴散障壁層
32-第二電容器電極 10a-晶圓片段 25a -電容器結構 3 0 a -障壁層 10b -晶圓片段 25b-電容器結構 4 0 -第二障壁層
1 10-密閉之氣相沉積室 1 1 2 -渦輪泵 1 1 4 -初步抽氣栗 Π 6-基材 1 18-電阻加熱器 ]6 0 -前驅化合物 162-容器 1 64,1 66-管線 168-惰性載體氣體 1 70·反應氣體 -30- (28) (28)1320061 1 7 2 -管線 174-淸洗氣體 176-管線 1 8 0 至 1 8 5 -閥
-31 -

Claims (1)

1320061 拾、申請專利範圍 附件3 第92 1 23653號專利申請案 中文申請專利範圍替換本 民國98年9月14曰修正 1· ~種製造半導體結構之方法,該方法係包括: 提供半導體基材或基材組合體; 提供至少一種包含異丙醇之醇; 提供至少一種具有通式丁丨⑺…^…尺^^之含金屬前 驅化合物和至少一種具有通式HfiNRbWNR2!^;^之含金 屬前驅化合物,其中: R1、R2及R3每一者係獨立地爲氫或有機基團; w係爲〇至4 ; z係爲1至8 ;且 w和Z係視金屬之氧化態而定;及 接觸該前驅化合物,以利用氣相沉積方法於該半導體 基材或基材組合體之一或多個表面上形成金屬氧化物層, 其中該金屬氧化物層包含兩種或更多種不同的金屬,且其 中該方法不包括提供水或強氧化劑。 2. 如申請專利範圍第1項之方法,其中該半導體基 材或基材組合體係爲矽晶圓。 3. 如申請專利範圍第1項之方法,其中該金屬氧化 物層係爲介電層。 4. 如申請專利範圍第1項之方法,其中該兩種或更 多種不同的金屬係爲合金、固態溶液、或奈米層疊物形 1320061 式。 5·如申請專利範圍第1項之方法,其中該金屬氧化 物層係具有約30Α至約80Α之厚度。 6.如申請專利範圍第1項之方法,其中I^'R2及 R3每一者係獨立地爲氫或(C1_C6)有機基團。 7·如申請專利範圍第1項之方法,其中w係爲〇至 2,且z係爲2至6。 8· —種製造半導體結構之方法,該方法係包括: 於沉積室中提供半導體基材或基材組合體; 提供至少一種包含異丙醇之醇; 提供至少一種具有通式TUNR^WNR2!^3)^之含金屬前 驅化合物和至少一種具有通式HfXNRMWNR^R3;^之含金 屬前驅化合物,其中: R1、R2及R3每一者係獨立地爲氫或有機基團: w係爲0至4 ; z係爲1至8 ;且 W和Z係視金屬之氧化態而定; 蒸發該前驅化合物,以形成經蒸發之前驅化合物;及 將該經蒸發之前驅化合物導引至該半導體基材或基材 組合體,以於該半導體基材或基材組合體之一或多個表面 上形成金屬氧化物介電層,其中該金屬氧化物介電層包含 兩種或更多種不同的金屬,且其中該方法不包括提供水或 強氧化劑。 9.如申請專利範圍第8項之方法,其中係於惰性載 -2- 1320061 體氣體存在下蒸發該前驅化合物》 1 〇.如申請專利範圍第8項之方法,其中係使用化學 氣相沉積方法完成該前驅化合物之蒸發及導引。 1】.如申請專利範圍第10項之方法,其中該半導體 基材或基材組合體之溫度係約100°C至約6001。 12.如申請專利範圍第10項之方法,其中該半導體 基材或基材組合體係置於壓力約0.1托耳至約10托耳之 沉積室中。 1 3 .如申請專利範圍第1 〇項之方法,其中係利用包 括多個沉積週期之原子層沉積方法完成該前驅化合物之蒸 發及導引。 14. 如申請專利範圍第13項之方法,其中在該原子 層沉積方法中’係藉著在各個沉積週期中交替地導入前驅 化合物而形成該含有金屬之層。 15. 如申請專利範圍第13項之方法,其中該半導體 基材或基材組合體之溫度係約25 °C至約40 〇°C。 16. 如申請專利範圍第13項之方法,其中該半導體 基材或基材組合體係置於壓力約1(Γ4托耳至約1托耳之 沉積室中。 17. —種於基材上形成金屬氧化物層之方法,該方法 係包括: 提供基材: 提供至少一種包含異丙醇之醇: 提供至少一種具有通式TUNR^v^NWr^z之含金屬前 1320061 驅化合物和至少一種具有通式HfXNRbWNWh之含金 屬前驅化合物,其中: R1、R2及R3每一者係獨立地爲氫或有機基團; w係爲0至4 ; z係爲1至8 :且 w和z係視金屬之氧化態而定;及 接觸該前驅化合物,以利用氣相沉積方法於該基材上 形成金屬氧化物層,其中該金屬氧化物層包含兩種或更多 種不同的金屬,且其中該方法不包括提供水或強氧化劑。 18. 如申請專利範圍第17項之方法,其中該基材係 爲砂晶圓。 19. 如申請專利範圍第17項之方法,其中該金屬氧 化物層係具有約3〇A至約80A之厚度。 20 .如申請專利範圍第17項之方法,其中R1、R2及 R3每一者係獨立地爲氫或(C1-C6)有機基團。 21.如申請專利範圍第17項之方法,其中w係爲〇 至2’且z係爲2至6。 22· —種於基材上形成金屬氧化物層之方法,該方法 係包括: 提供基材; 提供至少一種包含異丙醇之醇; 提供至少一種具有通式TUNR^^NI^R3),之含金屬前 驅化合物和至少一種具有通式HHNRiwNI^R3),之含金 屬前驅化合物,其中: -4- ⑧ 1320061 R1、R2及R3每一者係獨立地爲氫或有機基團; w係爲0至4 ; z係爲1至8 ;且 w及z係視金屬之氧化態而定; 蒸發該前驅化合物,以形成經蒸發之前驅化合物;及 將該經蒸發之前驅化合物導引至該基材,以於該基材 上形成金屬氧化物層,其中該金屬氧化物層包含兩種或更 多種不同的金屬,且其中該方法不包括提供水或強氧化 劑。 23 .如申請專利範圍第22項之方法,其中係利用化 學氣相沉積方法完成該前驅化合物之蒸發及導引。 24 ·如申請專利範圍第22項之方法,其中係利用包 括多個沉積週期之原子層沉積方法完成該前驅化合物之蒸 發及導引。 25.—種製造記憶體裝置結構之方法,該方法係包 括: 提供其上具有第一電極之基材; 提供至少一種包含異丙醇之醇; 提供至少一種具有通式Ti(NR”w(NR2R3)z之含金屬前 驅化合物和至少一種具有通式之含金 屬前驅化合物,其中: Rl' R2及R3每一者係獨立地爲氫或有機基團: w係爲〇至4 ; z係爲1至8 ;且 -5- 1320061 W和Z係視金屬之氧化態而定; 蒸發該前驅化合物,以形成經蒸發之前驅化合物; 將該經蒸發之前驅化合物導引至該基材,以於該基材 之第一電極上形成金屬氧化物介電層,其中該金屬氧化物 介電層包含兩種或更多種不同的金屬,且其中該方法不包 括提供水或強氧化劑;及 於該介電層上形成第二電極。 26 .如申請專利範圍第25項之方法,其中係利用化 學氣相沉積方法完成該前驅化合物之蒸發及導引。 27 .如申請專利範圍第25項之方法,其中係利用包 括多個沉積週期之原子層沉積方法完成該前驅化合物之蒸 發及導引。 28. 如申請專利範圍第25項之方法,其中該兩種或 更多種不同的金屬係爲合金、固態溶液、或奈米層疊物形 式。 29. 如申請專利範圍第25項之方法,其中該金屬氧 化物介電層係包含Zr02及Hf02之一或多種。
TW092123653A 2002-08-28 2003-08-27 Systems and methods for forming metal oxides using alcohols TWI320061B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/229,473 US7041609B2 (en) 2002-08-28 2002-08-28 Systems and methods for forming metal oxides using alcohols

Publications (2)

Publication Number Publication Date
TW200422426A TW200422426A (en) 2004-11-01
TWI320061B true TWI320061B (en) 2010-02-01

Family

ID=31976227

Family Applications (1)

Application Number Title Priority Date Filing Date
TW092123653A TWI320061B (en) 2002-08-28 2003-08-27 Systems and methods for forming metal oxides using alcohols

Country Status (8)

Country Link
US (2) US7041609B2 (zh)
EP (1) EP1534875A1 (zh)
JP (1) JP2005537639A (zh)
KR (1) KR101003214B1 (zh)
CN (1) CN100422383C (zh)
AU (1) AU2003262902A1 (zh)
TW (1) TWI320061B (zh)
WO (1) WO2004020690A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI750193B (zh) * 2016-07-08 2021-12-21 荷蘭商Asm智慧財產控股公司 用於原子層沉積之有機反應物

Families Citing this family (377)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100468847B1 (ko) * 2002-04-02 2005-01-29 삼성전자주식회사 알콜을 이용한 금속산화물 박막의 화학기상증착법
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7253122B2 (en) * 2002-08-28 2007-08-07 Micron Technology, Inc. Systems and methods for forming metal oxides using metal diketonates and/or ketoimines
US7087481B2 (en) * 2002-08-28 2006-08-08 Micron Technology, Inc. Systems and methods for forming metal oxides using metal compounds containing aminosilane ligands
US7041609B2 (en) * 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
US6984592B2 (en) * 2002-08-28 2006-01-10 Micron Technology, Inc. Systems and methods for forming metal-doped alumina
US7112485B2 (en) 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US6958300B2 (en) 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US7101813B2 (en) 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US6958302B2 (en) * 2002-12-04 2005-10-25 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
US7141500B2 (en) * 2003-06-05 2006-11-28 American Air Liquide, Inc. Methods for forming aluminum containing films utilizing amino aluminum precursors
US7390535B2 (en) 2003-07-03 2008-06-24 Aeromet Technologies, Inc. Simple chemical vapor deposition system and methods for depositing multiple-metal aluminide coatings
US7094712B2 (en) * 2003-09-30 2006-08-22 Samsung Electronics Co., Ltd. High performance MIS capacitor with HfO2 dielectric
KR20050056408A (ko) * 2003-12-10 2005-06-16 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
US7601649B2 (en) 2004-08-02 2009-10-13 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US7494939B2 (en) * 2004-08-31 2009-02-24 Micron Technology, Inc. Methods for forming a lanthanum-metal oxide dielectric layer
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
KR100682926B1 (ko) * 2005-01-31 2007-02-15 삼성전자주식회사 저항체를 이용한 비휘발성 메모리 소자 및 그 제조방법
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7390756B2 (en) * 2005-04-28 2008-06-24 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
US7572695B2 (en) 2005-05-27 2009-08-11 Micron Technology, Inc. Hafnium titanium oxide films
US7510983B2 (en) * 2005-06-14 2009-03-31 Micron Technology, Inc. Iridium/zirconium oxide structure
US20070049023A1 (en) * 2005-08-29 2007-03-01 Micron Technology, Inc. Zirconium-doped gadolinium oxide films
US7393736B2 (en) * 2005-08-29 2008-07-01 Micron Technology, Inc. Atomic layer deposition of Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics
US7410910B2 (en) * 2005-08-31 2008-08-12 Micron Technology, Inc. Lanthanum aluminum oxynitride dielectric films
KR100707602B1 (ko) 2005-10-20 2007-04-13 삼성에스디아이 주식회사 유기 전계 발광 표시장치 및 그의 제조방법
US7615438B2 (en) 2005-12-08 2009-11-10 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US7972974B2 (en) 2006-01-10 2011-07-05 Micron Technology, Inc. Gallium lanthanide oxide films
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7582161B2 (en) 2006-04-07 2009-09-01 Micron Technology, Inc. Atomic layer deposited titanium-doped indium oxide films
US7727908B2 (en) * 2006-08-03 2010-06-01 Micron Technology, Inc. Deposition of ZrA1ON films
US7582549B2 (en) 2006-08-25 2009-09-01 Micron Technology, Inc. Atomic layer deposited barium strontium titanium oxide films
US20080057659A1 (en) * 2006-08-31 2008-03-06 Micron Technology, Inc. Hafnium aluminium oxynitride high-K dielectric and metal gates
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
US7759747B2 (en) 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
US7544604B2 (en) 2006-08-31 2009-06-09 Micron Technology, Inc. Tantalum lanthanide oxynitride films
US7776765B2 (en) 2006-08-31 2010-08-17 Micron Technology, Inc. Tantalum silicon oxynitride high-k dielectrics and metal gates
US7563730B2 (en) 2006-08-31 2009-07-21 Micron Technology, Inc. Hafnium lanthanide oxynitride films
KR100875034B1 (ko) * 2007-01-02 2008-12-19 주식회사 하이닉스반도체 플래시 메모리 소자의 유전체막 형성방법
GB0702759D0 (en) * 2007-02-13 2007-03-21 Unversity Of Aveiro Non aqueous thin film formation
EP2011898B1 (en) * 2007-07-03 2021-04-07 Beneq Oy Method in depositing metal oxide materials
KR101227446B1 (ko) * 2007-07-31 2013-01-29 삼성전자주식회사 강유전체막의 형성 방법 및 이를 이용한 강유전체커패시터의 제조 방법
US8016945B2 (en) * 2007-12-21 2011-09-13 Applied Materials, Inc. Hafnium oxide ALD process
US8907059B2 (en) * 2008-11-14 2014-12-09 Bio-Rad Laboratories, Inc. Phosphopeptide enrichment of compositions by fractionation on ceramic hydroxyapatite
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
FR2968677A1 (fr) * 2010-12-09 2012-06-15 Commissariat Energie Atomique Procédé de fabrication de couches a base de lithium par cvd
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP6065840B2 (ja) * 2011-11-02 2017-01-25 宇部興産株式会社 トリス(ジアルキルアミド)アルミニウム化合物及び当該アルミニウム化合物を用いるアルミニウム含有薄膜の製造方法
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
TWI736631B (zh) 2016-06-06 2021-08-21 韋恩州立大學 二氮雜二烯錯合物與胺類的反應
US9805974B1 (en) * 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
JP6613213B2 (ja) * 2016-07-26 2019-11-27 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9793397B1 (en) 2016-09-23 2017-10-17 International Business Machines Corporation Ferroelectric gate dielectric with scaled interfacial layer for steep sub-threshold slope field-effect transistor
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
CN110651064B (zh) 2017-05-16 2022-08-16 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
KR20200074263A (ko) * 2017-11-19 2020-06-24 어플라이드 머티어리얼스, 인코포레이티드 금속 표면들 상의 금속 산화물들의 ald를 위한 방법들
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102569299B1 (ko) * 2018-04-05 2023-08-22 어플라이드 머티어리얼스, 인코포레이티드 금속 산화물들의 저온 ald를 위한 방법들
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11915926B2 (en) 2021-09-27 2024-02-27 International Business Machines Corporation Percolation doping of inorganic-organic frameworks for multiple device applications

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2001A (en) * 1841-03-12 Sawmill
US5221712A (en) * 1988-08-23 1993-06-22 Sumitomo Chemical Company, Limited Process for producing impact-resistant polyamide resin compositions
US5020243A (en) * 1989-12-01 1991-06-04 Macmillan Bloedel Limited Dryer syphon
KR930012120B1 (ko) * 1991-07-03 1993-12-24 삼성전자 주식회사 반도체장치 및 그의 제조방법
JPH05239650A (ja) 1992-02-27 1993-09-17 Kojundo Chem Lab Co Ltd シリコン酸化膜の製造法
WO1995026355A1 (en) 1994-03-26 1995-10-05 Timothy John Leedham Tantalum compounds
JP3407409B2 (ja) * 1994-07-27 2003-05-19 富士通株式会社 高誘電率薄膜の製造方法
US6313035B1 (en) 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
TW438860B (en) 1996-11-20 2001-06-07 Japan Synthetic Rubber Co Ltd Curable resin composition and cured products
US6303391B1 (en) 1997-06-26 2001-10-16 Advanced Technology Materials, Inc. Low temperature chemical vapor deposition process for forming bismuth-containing ceramic films useful in ferroelectric memory devices
US6013553A (en) 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
US7157385B2 (en) 2003-09-05 2007-01-02 Micron Technology, Inc. Method of depositing a silicon dioxide-comprising layer in the fabrication of integrated circuitry
US6093944A (en) * 1998-06-04 2000-07-25 Lucent Technologies Inc. Dielectric materials of amorphous compositions of TI-O2 doped with rare earth elements and devices employing same
JP4152028B2 (ja) 1999-01-25 2008-09-17 株式会社Adeka ルテニウム系薄膜の製造方法
US6312831B1 (en) 1999-04-30 2001-11-06 Visteon Global Technologies, Inc. Highly reflective, durable titanium/tin oxide films
US6273951B1 (en) 1999-06-16 2001-08-14 Micron Technology, Inc. Precursor mixtures for use in preparing layers on substrates
US6060755A (en) 1999-07-19 2000-05-09 Sharp Laboratories Of America, Inc. Aluminum-doped zirconium dielectric film transistor structure and deposition method for same
US6221712B1 (en) 1999-08-30 2001-04-24 United Microelectronics Corp. Method for fabricating gate oxide layer
JP2001108199A (ja) 1999-10-12 2001-04-20 Tori Chemical Kenkyusho:Kk 残留物のパージが簡易な流体移送用配管装置及び流体供給装置、並びに配管装置中の残留物をパージする方法及び流体供給方法。
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
US6335049B1 (en) 2000-01-03 2002-01-01 Micron Technology, Inc. Chemical vapor deposition methods of forming a high K dielectric layer and methods of forming a capacitor
AU2001245388A1 (en) 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
JP2001257344A (ja) 2000-03-10 2001-09-21 Toshiba Corp 半導体装置及び半導体装置の製造方法
US6984591B1 (en) 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
KR20010114050A (ko) 2000-06-20 2001-12-29 박종섭 반도체 소자의 알루미늄 산화막 형성 방법
US6837251B1 (en) 2000-06-21 2005-01-04 Air Products And Chemicals, Inc. Multiple contents container assembly for ultrapure solvent purging
KR100814980B1 (ko) 2000-09-28 2008-03-18 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 산화물, 규산염 및 인산염의 증기를 이용한 석출
TW486392B (en) 2000-09-29 2002-05-11 Air Prod & Chem Solvent blend for use in high purity precursor removal
US6300203B1 (en) 2000-10-05 2001-10-09 Advanced Micro Devices, Inc. Electrolytic deposition of dielectric precursor materials for use in in-laid gate MOS transistors
KR100385952B1 (ko) 2001-01-19 2003-06-02 삼성전자주식회사 탄탈륨 산화막을 가진 반도체 커패시터 및 그의 제조방법
US6586792B2 (en) * 2001-03-15 2003-07-01 Micron Technology, Inc. Structures, methods, and systems for ferroelectric memory transistors
JP4427254B2 (ja) 2001-03-20 2010-03-03 マットソン テクノロジイ インコーポレイテッド 誘電体皮膜を堆積するための方法
US6541280B2 (en) * 2001-03-20 2003-04-01 Motorola, Inc. High K dielectric film
US7005392B2 (en) 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
KR100418569B1 (ko) 2001-12-10 2004-02-14 주식회사 하이닉스반도체 단원자층증착을 이용한 고유전체 박막 형성방법
US7164165B2 (en) 2002-05-16 2007-01-16 Micron Technology, Inc. MIS capacitor
JP3627106B2 (ja) 2002-05-27 2005-03-09 株式会社高純度化学研究所 原子層吸着堆積法によるハフニウムシリケート薄膜の製造方法
TW200408015A (en) 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high K metal silicates
US6958300B2 (en) 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US7041609B2 (en) 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
US7112485B2 (en) 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US20050070126A1 (en) 2003-04-21 2005-03-31 Yoshihide Senzaki System and method for forming multi-component dielectric films

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI750193B (zh) * 2016-07-08 2021-12-21 荷蘭商Asm智慧財產控股公司 用於原子層沉積之有機反應物

Also Published As

Publication number Publication date
US20050136689A9 (en) 2005-06-23
EP1534875A1 (en) 2005-06-01
CN1688742A (zh) 2005-10-26
KR101003214B1 (ko) 2010-12-21
US20060172485A1 (en) 2006-08-03
US20040043632A1 (en) 2004-03-04
US7041609B2 (en) 2006-05-09
KR20050057087A (ko) 2005-06-16
US7410918B2 (en) 2008-08-12
CN100422383C (zh) 2008-10-01
TW200422426A (en) 2004-11-01
WO2004020690A1 (en) 2004-03-11
JP2005537639A (ja) 2005-12-08
AU2003262902A1 (en) 2004-03-19

Similar Documents

Publication Publication Date Title
TWI320061B (en) Systems and methods for forming metal oxides using alcohols
JP4355656B2 (ja) 金属有機アミンと金属有機酸化物を用いて金属酸化物を形成するシステムおよび方法
US6784049B2 (en) Method for forming refractory metal oxide layers with tetramethyldisiloxane
US6984592B2 (en) Systems and methods for forming metal-doped alumina
JP5003978B2 (ja) アルカリ土類金属β‐ジケチミナート前駆体を用いた原子層堆積
US7837797B2 (en) Systems and methods for forming niobium and/or vanadium containing layers using atomic layer deposition
US20040043635A1 (en) Systems and methods for forming metal oxides using metal diketonates and/or ketoimines
US20060252244A1 (en) Systems and methods for forming metal oxide layers
US20090042406A1 (en) Systems and methods for forming metal oxides using metal compounds containing aminosilane ligands

Legal Events

Date Code Title Description
MK4A Expiration of patent term of an invention patent