KR20050057087A - 알코올을 이용하여 금속 산화물을 형성하는 시스템 및 방법 - Google Patents

알코올을 이용하여 금속 산화물을 형성하는 시스템 및 방법 Download PDF

Info

Publication number
KR20050057087A
KR20050057087A KR1020057003576A KR20057003576A KR20050057087A KR 20050057087 A KR20050057087 A KR 20050057087A KR 1020057003576 A KR1020057003576 A KR 1020057003576A KR 20057003576 A KR20057003576 A KR 20057003576A KR 20050057087 A KR20050057087 A KR 20050057087A
Authority
KR
South Korea
Prior art keywords
substrate
metal oxide
metal
manufacturing
formula
Prior art date
Application number
KR1020057003576A
Other languages
English (en)
Other versions
KR101003214B1 (ko
Inventor
브라이언 에이. 바르트스트라
Original Assignee
마이크론 테크놀로지 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 마이크론 테크놀로지 인코포레이티드 filed Critical 마이크론 테크놀로지 인코포레이티드
Publication of KR20050057087A publication Critical patent/KR20050057087A/ko
Application granted granted Critical
Publication of KR101003214B1 publication Critical patent/KR101003214B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17CVESSELS FOR CONTAINING OR STORING COMPRESSED, LIQUEFIED OR SOLIDIFIED GASES; FIXED-CAPACITY GAS-HOLDERS; FILLING VESSELS WITH, OR DISCHARGING FROM VESSELS, COMPRESSED, LIQUEFIED, OR SOLIDIFIED GASES
    • F17C11/00Use of gas-solvents or gas-sorbents in vessels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31683Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of metallic layers, e.g. Al deposited on the body, e.g. formation of multi-layer insulating structures

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • General Engineering & Computer Science (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Semiconductor Memories (AREA)

Abstract

본 발명은 기상 증착 공정, 1종 이상의 알코올, 1종 이상의 금속 함유 전구체 화합물을 이용하여, 기판, 구체적으로 반도체 기판 또는 기판 어셈블리 상에 금속 산화물 층을 형성하기 위한 방법(및 그 장치)에 관한 것이다.

Description

알코올을 이용하여 금속 산화물을 형성하는 시스템 및 방법{SYSTEMS AND METHODS FOR FORMING METAL OXIDES USING ALCOHOLS}
본 발명은 기상 증착 공정 중에 1종 이상의 알코올 및 1종 이상의 금속 함유 전구체 화합물을 이용하여 기판 상에 금속 산화물층을 형성하는 방법에 관한 것이다. 상기 전구체 화합물 및 방법은 반도체 기판 또는 기판 어셈블리 상에 금속 산화물층을 형성하는 데에 특히 적합하다.
수년간 커패시터(capacitor) 및 게이트(gate)와 같은 마이크로전자 소자의 연속적인 축소로 인해 집적 회로 기술 분야에 통상 사용되는 재료가 그들의 성능상의 한계에 근접하는 상황이 유발되어 왔다. 실리콘(즉, 도핑된 폴리실리콘)은 통상 기판으로서 선택되고 있으며, 이산화실리콘(SiO2)은 실리콘과 함께 유전체 재료로서 사용되어 마이크로전자 소자를 구성하는 경우가 많다. 그러나, 상기 SiO2 층은 최근 마이크로 소자에서 요구되는 바와 같이 두께가 1 nm 정도(즉, 단 4개 또는 5개의 분자에 불과한 두께)로 얇아질 때, 상기 층은 그것을 통과하여 흐르는 터널링(tunneling) 전류에 기인하여 더 이상 절연체로서 효과적으로 성능을 발휘하지 못한다.
따라서, 소자의 성능을 연장시키기 위해서 신규한 고유전율 재료가 요구되고 있는 실정이다. 이와 같은 재료는 높은 유전율, 터널링을 방지하기 위한 방벽 높이, 실리콘과의 직접 접촉시 안정성 및 우수한 계면 특성과 필름 형태를 나타낼 필요가 있다. 더욱이, 이와 같은 재료는 게이트 재료, 전극, 반도체 처리 온도 및 작동 조건과도 양립되어야 한다.
최근에, 반도체 웨이퍼상에 증착된 ZrO2, HfO2, Al2O3 및 YSZ와 같은 금속으로 된 양질의 금속 산화물 박막이 메모리(예: 동적 랜덤 억세스 메모리(DRAM) 소자, 정적 랜덤 억세스 메모리(SRAM) 소자, 및 강유전성 메모리(FERAM) 소자)에 유용한 것으로 관심이 증가하고 있다. 이러한 재료들은 유전율이 높아서 매우 얇은 층이 요구되는 메모리 분야에서 SiO2의 대체물로서 주목할만하다. 이와 같은 금속 산화물층은 실리콘의 존재하에서 열역학적으로 안정하여 열 어닐링(annealing) 처리시에 실리콘 산화 반응을 최소화시키며, 금속 게이트 전극과도 양립되는 것으로 보인다. 구체적으로, 게이트 유전체로서는, La2O3, HfO2 및 ZrO2가 유망한데, 이들이 높은 값의 유전율과 밴드갭(bandgap)을 갖기 때문이다.
이러한 발견에 따라서 층, 구체적으로 금속 산화물을 주성분으로 하는 유전층을 형성하는 다양한 증착 공정을 연구하기 위한 많은 노력이 이루어졌다. 이와 같은 증착 공정으로는, 기상 증착법, 금속 열 산화법, 및 고진공 스퍼터링(sputtering)법을 들 수 있다. 화학 기상 증착(chemical vapor deposition; CVD) 및 원자층 증착(atomic layer deposition; ALD)을 포함하는 기상 증착 공정이 매우 바람직한데, 기판상에서 유전체의 균일성과 두께를 조절하기가 좋기 때문이다. 그러나, 기상 증착 공정은 대개 반응성 금속 전구체 화합물과 물 또는 산소와 같은 산소원의 동시 반응을 수반하는 경우가 많은데, 물이나 산소는 바람직하지 못한 SiO2 계면 층의 형성을 유발할 수가 있다. 따라서, 물과 산소가 없는 기상 증착 공정의 개발이 진행중이다.
문헌 [Ritala 등, "Atomic Layer Deposition of Oxide Thin Films with Metal Alkoxides as Oxygen Sources", SCIENCE, 288:319-321 (2000)]에는, 산화물 박막을 형성하기 위한 ALD의 화학적 접근 방법이 개시되어 있다. 이러한 방법에서, 금속 공급원 및 산소 공급원으로서 둘다 작용하는 금속 알콕사이드는 또 다른 금속 화합물, 예컨대 금속 염화물 또는 금속 알킬화물과 반응하여 계면 실리콘 산화물 층을 형성하는 일 없이 실리콘상에 금속 산화물을 증착시킨다. 그러나, 바람직하지 않은 염소 잔류물이 형성될 수도 있다. 더욱이, 알킬지르코늄과 알킬하프늄은 일반적으로 불안정하며 입수하기가 용이하지 않다. 이들 알킬화물은 형성된 필름에 탄소를 남길 가능성도 있다.
이와 같은 반도체 유전체층에 대한 지속적인 개선에도 불구하고, 기상 증착 공정에 의해 얇은 양질의 산화물 층을, 구체적으로 반도체 기판상에 형성할 수 있는 휘발성이 충분한 금속 전구체 화합물을 사용하는 기상 증착 방법에 대한 필요성이 여전히 존재하고 있는 실정이다.
도 1 내지 도 3은 커패시터의 구조를 예시한 도면이다.
도 4는 본 발명의 방법에 사용하는 데 적합한 증착 코팅 장치의 사시도이다.
본 발명은 기판상에 금속 산화물 층을 기상 증착시키는 방법을 제공한다. 본 발명의 기상 증착 방법은 1종 이상의 알코올을 1종 이상의 금속 유기-아민 전구체 화합물(예컨대, 알킬아민 또는 알킬이민-알킬아민) 및/또는 금속 알킬 전구체 화합물과 결합하여 층을 형성하는 것을 포함한다. 분명히, 본 발명의 방법은 물 또는 강력한 산화제의 사용을 필요로 하지 않으므로, 목적하는 금속 산화물 층과 기판 사이에서 바람직하지 못한 계면 산화물 층을 생성시키는 문제점과 상단층 아래의 다른 층들을 산화시키는 문제점을 줄일 (대개는 방지할) 수 있다. 대개는, 그리고 바람직하게는 상기 층은 유전체층(dielectric layer)이다.
본 발명의 방법은 반도체 구조물의 제조 시에 반도체 기판 또는 기판 어셈블리와 같은 기판 상에 금속 산화물 층을 형성하는 것을 포함한다. 이러한 방법은, 기판(바람직하게는, 반도체 기판 또는 기판 어셈블리)을 제공하는 단계; 화학식 R(OH)r(R: 유기기(organic group), r: 1 내지 3)로 표시되는 적어도 1종의 알코올을 제공하는 단계; 화학식 M1(NR1)w(NR2R3)z(화학식 I), M2R4 q (화학식 II) 또는 화학식 II의 루이스 염기 첨가 생성물로 표시되는 적어도 1종의 금속 함유 전구체 화합물을 제공하는 단계; 및 기상 증착 공정을 이용하여, 기판의 하나 이상의 표면상에 금속 산화물 층을 형성하도록 상기 전구체 화합물들을 접촉시키는 단계를 포함한다. 상기 화학식 I과 화학식 II에서, M1과 M2는 각각 독립적으로 금속(본 명세서에서 메탈로이드(metalloid) 또는 반금속을 포함하는 것으로 사용된다)을 나타내고; R1, R2, R3 및 R4는 각각 독립적으로 수소 원자 또는 유기 기이며; w는 0 내지 4이고; z는 1 내지 8이며; q는 1 내지 5이고; w, z 및 q는 금속의 산화 상태에 좌우되는 수이다.
본 발명의 바람직한 실시 양태에서, 증착 챔버내에 기판(바람직하게는, 반도체 기판 또는 기판 어셈블리)을 제공하는 단계; 화학식 R(OH)r(R: 유기기, r: 1 내지 3)로 표시되는 적어도 1종의 알코올을 제공하는 단계; 화학식 M1(NR1)w(NR2R3)z(화학식 I), M2R4 q (화학식 II) 또는 화학식 II의 루이스 염기 첨가 생성물로 표시되는 적어도 1종의 금속 함유 전구체 화합물을 제공하는 단계; 기화된 전구체 화합물들을 형성하도록 상기 전구체 화합물들을 기화시키는 단계; 및 기판의 하나 이상의 표면상에 금속 산화물 유전체층을 형성하도록 상기 기화된 화합물들을 상기 기판을 향해 배향시키는 단계를 포함하는 방법이 제공된다. 상기 화학식 I과 화학식 II에서, M1과 M2는 각각 독립적으로 금속을 나타내고; R1, R2, R3 및 R4는 각각 독립적으로 수소 원자 또는 유기 기이며; w는 0 내지 4이고; z는 1 내지 8이며; q는 1 내지 5이고; w, z 및 q는 금속의 산화 상태에 좌우되는 수이다.
본 발명의 다른 바람직한 실시 양태에서, 메모리 소자 구조물을 제조하는 방법이 제공된다. 이 방법은 표면상에 제 1 전극을 구비한 기판을 제공하는 단계; 화학식 R(OH)r(R: 유기기, r: 1 내지 3)로 표시되는 적어도 1종의 알코올을 제공하는 단계; 화학식 M1(NR1)w(NR2R3)z(화학식 I), M2R4 q (화학식 II) 또는 화학식 II의 루이스 염기 첨가 생성물로 표시되는 적어도 1종의 금속 함유 전구체 화합물을 제공하는 단계; 기화된 전구체 화합물들을 형성하도록 상기 전구체 화합물들을 기화시키는 단계; 기판의 제 1 전극 상에 금속 산화물 유전체층을 형성하도록 상기 기화된 화합물들을 상기 기판을 향해 배향시키는 단계; 및 상기 유전체층상에 제 2 전극을 형성하는 단계를 포함한다. 상기 화학식 I과 화학식 II에서, M1과 M2는 각각 독립적으로 금속을 나타내고; R1, R2, R3 및 R4는 각각 독립적으로 수소 원자 또는 유기 기이며; w는 0 내지 4이고; z는 1 내지 8이며; q는 1 내지 5이고; w, z 및 q는 금속의 산화 상태에 좌우되는 수이다.
또한, 본 발명은, 내부에 기판이 배치된 기상 증착 챔버; 화학식 R(OH)r(R: 유기기, r: 1 내지 3)로 표시되는 적어도 1종의 알코올을 포함하는 하나 이상의 용기; 화학식 M1(NR1)w(NR2R3)z(화학식 I), M2R4 q (화학식 II) 또는 화학식 II의 루이스 염기 첨가 생성물로 표시되는 적어도 1종의 전구체 화합물을 포함하는 하나 이상의 용기를 포함하는 기상 증착 장치를 제공한다. 상기 화학식 I과 화학식 II에서, M1과 M2는 각각 독립적으로 금속을 나타내고; R1, R2, R3 및 R4는 각각 독립적으로 수소 원자 또는 유기 기이며; w는 0 내지 4이고; z는 1 내지 8이며; q는 1 내지 5이고; w, z 및 q는 금속의 산화 상태에 좌우되는 수이다.
본 발명의 방법은, 펄스화될 수 있는 화학 기상 증착(CVD) 공정 또는 원자층 증착(ALD) 공정(다수의 증착 사이클을 포함하고, 사이클 사이 사이에 세정 과정이 포함되는 자체 제한식 기상 증착 공정)을 이용할 수 있다. 본 발명의 방법은 ALD 공정을 사용하는 것이 바람직하다. 특정한 ALD 공정에 있어서, 상기 전구체 화합물들은 각 증착 사이클 동안 증착 챔버내에 교대로 도입될 수 있다.
본 명세서에서 사용한, "반도체 기판" 또는 "기판 어셈블리"라는 용어는, 베이스(base) 반도체 층 또는 하나 이상의 층, 구조물 또는 영역이 형성된 반도체 기판과 같은 반도체 기판을 의미한다. 베이스 반도체 층은 통상적으로 웨이퍼상에 존재하는 실리콘 재료로 된 최하층 또는 또 다른 재료상에 증착된 실리콘 층, 예를 들면 사파이어상의 실리콘 층이다. 반도체 어셈블리라는 용어를 사용한 경우에, 영역, 접점, 각종 구조물 또는 미세구조물, 그리고 커패시터 플레이트 또는 커패시터용 방벽과 같은 개구부를 형성하기 위해 사전에 여러 가지 처리 단계들이 사용된 것일 수도 있다.
본 명세서에서 사용한 "층"이라는 용어는, 본 발명의 전구체 화합물로부터 기상 증착 공정에 의해서 기판상에 형성될 수 있는 임의의 금속 산화물 층을 의미한다. "층"이라는 용어는, 반도체 산업 분야에 있어서 특별히 사용되는 층들, 예를 들면 "방벽층", "유전체층" 및 "전도층"을 모두 포함하는 의미이다 ("층(layer)"이라는 용어는 반도체 산업 분야에서 "막(film)"이라는 용어와 동의어로서 사용되는 경우가 많다). 또한, "층"이라는 용어는, 반도체 기술 분야 이외의 기술 분야에서 발견되는 층들, 예를 들면 유리상의 코팅층도 포함하는 의미이다.
본 명세서에서 사용한, "전구체 화합물"이라는 용어는, 단독으로 또는 다른 전구체 화합물과 함께 기상 증착 공정에 따라 기판상에 금속 산화물 층을 형성할 수 있는 금속 함유 화합물을 말한다.
본 명세서에서 사용한, "증착 공정" 및 "기상 증착 공정"이라는 용어는, 기화된 전구체 화합물(들)로부터 기판(예를 들면, 도핑된 폴리실리콘 웨이퍼)의 하나 이상의 표면상에 금속 산화물 층을 형성시키는 공정을 의미한다. 구체적으로, 1종 이상의 금속 함유 전구체 화합물이 기화되고, 증착 챔버내에 배치된 가열된 기판(예를 들면, 반도체 기판 또는 기판 어셈블리)의 하나 이상의 표면에 대해 배향된다. 상기 전구체 화합물들은 비휘발성의 얇고 균일한 금속 산화물 층을 기판의 표면(들)상에 형성한다(예를 들면, 반응 또는 분해에 의해서). 본 발명에 의하면, "기상 증착 공정"이라는 용어는 화학 기상 증착 공정(펄스화된 화학 기상 증착 공정 포함) 및 원자층 증착 공정을 모두 포함하는 의미이다.
본 명세서에 사용한 "화학 기상 증착(CVD)"이라는 용어는 증착 챔버내에서 기화된 금속 전구체 화합물(및 기타 선택적으로 사용된 반응 가스)로부터, 반응 성분들을 분리시킬 필요없이, 기판상에 소정의 층을 증착시키는 기상 증착 공정을 의미한다. 전구체 화합물과 임의의 반응 가스를 거의 동시적으로 사용하는 "단순한" CVD 공정에 반하여, "펄스화된(pulsed)" CVD는 상기 물질들을 증착 챔버내로 교대로 펄스 방식으로 도입하지만, 원자층 증착 또는 ALD(이하에 상세히 설명함) 공정에서 이루어지는 것과 같이, 전구체와 반응 가스 스트림간의 상호 혼합을 엄격하게 방지하는 것은 아니다.
본 명세서에서 사용한, "원자층 증착"(ALD)이라는 용어는 증착 챔버내에서 다수의 연속적인 증착 사이클을 수행하는 증착 공정을 의미한다. 통상적으로, 매회 사이클 동안에 금속 전구체를 기판 표면상에 화학적으로 흡착시키고 과량의 전구체는 세정되며, 후속하는 전구체 및/또는 반응 가스를 도입시켜서 화학 흡착된 층과 반응시킨 후에, 과량의 반응 가스(사용한 경우) 및 부산물을 제거한다. 1회 사이클로 이루어지는 화학 기상 증착(CVD)과 달리, 지속 기간이 긴 멀티사이클 ALD 공정에 의하면, 자체 제한적인 층의 성장에 의해서 층 두께를 개선된 방식으로 조절할 수 있으며, 반응 성분들의 분리에 의한 유해한 기체상 반응을 최소화시킬 수 있다. 본 명세서에 사용한 "원자층 증착"이라는 용어는, 전구체 화합물(들), 반응 가스(들) 및 세정(즉, 불활성 캐리어) 가스(들)의 교호 펄스를 사용하여 수행할 경우에는 관련 용어인 "원자층 에피택시(atomic layer epitaxy; ALE), 분자빔 에피택시(molecular beam epitaxy; MBE), 기체 공급원 MBE, 유기금속 MBE, 및 화학빔 에피택시도 포함하는 의미를 갖는다.
본 명세서에서 사용한 "화학 흡착(chemisorption)"이라는 용어는, 기화된 반응성 전구체 화합물이 기판의 표면상에 화학적으로 흡착되는 것을 의미한다. 이와 같이 흡착된 화학종은, 통상의 화학 결합에 대해 비교할 때 높은 흡착 에너지(예: >30 kcal/mol)를 특징으로 하는 비교적 강한 결합력에 따라서 기판 표면에 대해 비가역적으로 결합된다. 이와 같은 화학 흡착된 화학종은 대개 기판 표면상에서 단일층을 형성한다. (이에 관해서는 문헌 ["The Condensed Chemical Dictionary", 제10판, G.G. Hawley 개정, Van Nostrand Reinhold Co. 발행, 뉴욕 225 (1981)]을 참조할 수 있다). ALD 기법은 화학 흡착에 의해서 반응성 전구체 분자의 포화된 단일층이 형성된다는 원리에 기초한 것이다. ALD에서는, 1종 이상의 적절한 전구체 화합물 또는 반응 가스가 교대로 (예: 펄스화) 증착 챔버내에 도입되어 기판의 표면상에 화학 흡착된다. 반응성 화합물들이 순차적으로 도입될 때마다(예를 들면, 1종 이상의 전구체 화합물과 1종 이상의 반응 가스), 이 화합물들은 대개 불활성 캐리어 가스에 의한 세정 처리에 따라 분리된다. 각각의 전구체 화합물의 동시 반응은 앞서 증착된 층에 새로운 원자층을 부가하여 누적된 고체 층을 형성한다. 이와 같은 사이클이 대개는 수백회동안 반복되어 소정의 층 두께를 서서히 형성하게 된다. ALD는 화학 흡착되는 1종의 전구체 화합물 및 그와 같이 화학 흡착된 화학종과 반응하는 1종의 반응 가스를 교대식으로 사용할 수 있음을 이해해야 한다.
본 발명은 화학식 R(OH)r(R: 유기기, r: 1 내지 3, 바람직하게는 1)로 표시되는 적어도 1종의 알코올, 화학식 M1(NR1)w(NR2R3)z(화학식 I), M2R4 q (화학식 II) 또는 화학식 II의 루이스 염기 첨가 생성물로 표시되는 적어도 1종의 금속 함유 전구체 화합물을 사용하여 기판(바람직하게는, 반도체 기판 또는 기판 어셈블리)에 금속 산화물층을 형성하는 방법을 제공한다. 상기 화학식 I과 화학식 II에서, M1과 M2는 각각 독립적으로 금속(주족, 전이 금속, 란탄족 원소)을 나타내고; R1, R2, 및 R3는 각각 독립적으로 수소 원자 또는 유기기이며; w는 0 내지 4(바람직하게는 0 내지 2)이고; z는 1 내지 8(바람직하게는 2 내지 6)이며; q는 1 내지 5(바람직하게는 2 내지 3)이고; w, z 및 q는 금속의 산화 상태에 좌우되는 수이다.
상기 금속 산화물 층은 1종 이상의 상이한 금속들을 포함할 수 있으며, 통상적으로 화학식 MnOm(화학식 III)으로 표시되는데, 이때 M은 전술한 바와 같은 M1과 M2중 하나 이상일 수 있다(즉, 상기 산화물은 단일 금속 산화물 또는 혼합된 금속 산화물일 수 있다). 선택적으로, 상기 금속 산화물 층은 혼합된 금속 산화물이다(즉, 2종 이상의 상이한 금속을 포함한다). 상기 금속 산화물 층은 1종의 금속만을 포함하는 것이 더욱 바람직하다.
상기 금속 산화물층(특히, 그 층이 유전체층이라면)은 ZrO2, HfO2, Ta2O3, Al2O3, TiO2 및 란탄족 원소의 산화물 중 1종 이상을 포함하는 것이 바람직하다. 특히 바람직한 금속 산화물층은 아나타스 상(anatase phase)으로 있는 것이 바람직한 Ti02를 포함한다.
상기 금속 산화물 층이 2종 이상의 상이한 금속을 포함할 경우에, 상기 금속 산화물 층은, 합금, 고용체 또는 나노적층체(nanolaminate)의 형태로 존재할 수 있다. 이들은 유전 특성을 갖는 것이 바람직하다.
상기 금속 산화물 층이 형성되는 기판은 반도체 기판 또는 기판 어셈블리인 것이 바람직하다. 적당한 반도체 재료를 사용할 수 있으며, 그 구체적인 예로서는 전도성 도핑 폴리실리콘(본 발명에서는 이것을 간단히 "실리콘"으로 언급함)을 들 수 있다. 기판 어셈블리는 백금, 이리듐, 로듐, 루테늄, 산화루테늄, 질화티탄, 질화탄탈, 탄탈-실리콘-질화물, 이산화실리콘, 알루미늄, 갈륨 아세나이드, 유리 등을 비롯한 층, 및 기타 반도체 구조물, 예컨대 동적 랜덤 억세스 메모리(DRAM) 소자 및 정적 랜덤 억세스 메모리(SRAM) 소자에 사용되는 기존의 재료 또는 개발되고 있는 재료를 포함하는 층을 더 함유할 수 있다.
반도체 기판 또는 기판 어셈블리 이외의 다른 기판도 본 발명의 방법에 사용할 수 있다. 이러한 기판의 예로서는 섬유, 와이어 등을 들 수 있다. 상기 기판이 반도체 기판 또는 기판 어셈블리인 경우에, 상기 층들은 기판의 가장 아래인 반도체 표면상에 직접 형성되거나, 예컨대 패턴화된 웨이퍼의 경우처럼 다양한 층들중 어느 하나(즉, 표면)상에 형성될 수 있다.
본 발명에 사용되는 전구체 화합물은 광범위한 종류의 금속을 포함할 수 있다. 본 명세서에서 사용한 "금속"이라는 용어는, 주기율표상의 모든 금속(주족 금속, 전이 금속, 란탄족 원소, 악티늄족 원소 포함) 뿐만 아니라 메탈로이드 또는 반금속(semimetal)을 모두 포함하는 의미를 갖는다. 본 발명의 특정한 방법에 있어서, 바람직하게 금속 M은 각각 주기율표의 3-7족, 13족, 14족 및 란탄족 원소로도 지칭되는 IIIB족 금속(Sc, Y), IVB족 금속(Ti, Zr, Hf), VB족 금속(V, Nb, Ta), VIB족 금속(Cr, Mo, W), VIIB족 금속(Mn, Tc, Re), IIIA족 금속(Al, Ga, In, Tl), IVA족 금속(Si, Ge, Sn, Pb) 및 란탄족 원소(La, Ce, Pr 등) 금속으로 이루어진 그룹 중에서 선택된다. 보다 바람직하게는, 각 금속(M)은 주기율표의 3-7족 및 란탄족이라고도 지칭되는 IIIB족 금속(Sc, Y), IVB족 금속(Ti, Zr, Hf), VB족 금속(V, Nb, Ta), VIB족 금속(Cr, Mo, W), VIIB족 금속(Mn, Tc, Re), IVA족 금속(Si, Ge, Sn, Pb) 및 란탄족 원소(La, Ce, Pr 등)의 금속으로 이루어지는 그룹 중에서 선택된다. 훨씬 더 바람직하게는, 각 금속(M)은 주기율표의 3-7족 및 란탄족이라고도 지칭되는 IIIB족 금속(Sc, Y), IVB족 금속(Ti, Zr, Hf), VB족 금속(V, Nb, Ta), VIB족 금속(Cr, Mo, W), VIIB족 금속(Mn, Tc, Re) 및 란탄족 원소(La, Ce, Pr 등)의 금속으로 이루어지는 그룹으로부터 선택된다.
몇몇 실시형태에 있어서, M1 또는 M2에 대하여 바람직한 금속 그룹은 Y, La, Pr, Nd, Gd, Ti, Zr, Hf, Nb, Ta, Si 및 Al의 그룹으로부터 선택된다. 다른 몇몇 실시형태에 있어서, M2에 대하여 바람직한 금속 그룹은 Y, La, Pr, Nd, Gd, Ti, Zr, Hf, Nb, Ta 및 Si이고, M2에 대하여 보다 바람직한 금속 그룹은 Y, La, Pr, Nd, Gd, Ti, Zr, Hf, Nb 및 Ta이다.
전구체 화합물(즉, 알코올 및 화학식 M1(NR1)w(NR2R3)z(화학식 I) 및 M2R4 q (화학식 II)의 금속 함유 전구체 화합물) 중의 R은 각각 독립적으로 수소 원자 또는 유기 기이고, 바람직하게는 유기기이다. 본 명세서에서 사용한 "유기 기"라는 용어는 지방족 기, 시클릭 기 또는 지방족 기와 시클릭 기가 혼합된 기(예: 알카릴 기 및 아랄킬기)로서 분류되는 탄화수소 기를 의미한다. 본 발명에 있어서, 본 발명의 전구체 화합물에 대해 적합한 유기 기는 기상 증착 기법을 이용한 금속 산화물 층의 형성을 방해하지 않는 기들이다. 본 발명에 있어서, "지방족 기"라는 용어는 포화 또는 불포화 선형 또는 분지형 탄화수소 기를 의미한다. 이 용어는, 예를 들면 알킬, 알케닐 및 알키닐기를 모두 포괄하여 지칭하는데 사용된다. "알킬기"라는 용어는, 예를 들면 메틸, 에틸, n-프로필, 이소프로필, t-부틸, 아밀, 헵틸 등을 포함하는 포화 선형 또는 분지형 1가 탄화수소 기를 의미한다. "알케닐기"라는 용어는, 하나 이상의 올레핀계 불포화기(즉, 탄소-탄소 이중 결합), 예컨대 비닐기를 함유하는 불포화 선형 또는 분지형 1가 탄화수소 기를 의미한다. "알키닐 기"라는 용어는 하나 이상의 탄소-탄소 삼중 결합을 함유하는 불포화 선형 또는 분지형 1가 탄화수소 기를 의미한다. "시클릭 기"라는 용어는 지환족 기, 방향족 기 또는 헤테로시클릭 기로 분류되는 폐환식 탄화수소 기를 의미한다. "지환족 기"라는 용어는 지방족 기의 특성과 유사한 특성을 갖는 시클릭 탄화수소 기를 의미한다. "방향족 기" 또는 "아릴기"라는 용어는 단핵 또는 다핵 방향족 탄화수소 기를 의미한다. "헤테로시클릭 기"라는 용어는 고리내의 하나 이상의 원자가 탄소 원자 이외의 것(예를 들면, 질소, 산소, 황 등)인 폐환식 탄화수소를 의미한다.
본 명세서 전반에 걸쳐 특정 용어에 대한 설명을 간명하게 하기 위한 수단으로서, "기"와 "부분"이라는 용어를, 치환을 허용하거나 치환될 수 있는 화학종과 치환을 허용하지 않거나 치환될 수 없는 화학종 사이를 구분하기 위해서 사용하였다. 따라서, 화학 치환체를 설명하는데 있어서 "기"라는 용어를 사용한 경우에, 당해 화학 물질은 미치환된 기 및 예를 들어 사슬내의 비과산화 형태인 O, N, Si, F 또는 S원자 등을 가진 기뿐만 아니라 카르보닐기 또는 기타 통상의 치환체를 가진 기를 포함하는 것이다. 화학적 화합물 또는 치환체를 설명하는데 있어서 "부분"이라는 용어를 사용한 경우에는, 오로지 미치환된 화학 물질만이 포함되는 의미이다. 예를 들면, "알킬기"라는 용어는 순수한 개방된 사슬 형태의 포화 탄화수소 알킬 치환체, 예를 들면 메틸, 에틸, 프로필, t-부틸 등뿐만 아니라 당분야에 알려진 추가의 치환체, 예컨대 히드록시, 알콕시, 알킬설포닐, 할로겐 원자, 시아노, 니트로, 아미노, 카르복시 등을 가진 알킬 치환체도 모두 포함하는 것이다. 따라서, "알킬기"라는 용어는 에테르 기, 할로알킬, 니트로알킬, 카르복시알킬, 히드록시알킬, 설포알킬 등을 포함한다. 그 반면에, "알킬 부분"이라는 용어는, 오로지 순수한 개방된 사슬 형태의 포화 탄화수소 알킬 치환체, 예를 들면 메틸, 에틸, 프로필, t-부틸 등만을 포함하는 것으로 제한된다.
본 발명의 모든 전구체 화합물(금속 함유 및 알코올)에 대해서, 각각의 R은 독립적으로, 또한 바람직하게 수소 원자 또는 유기 기이며, 더욱 바람직하게는 (C1-C10) 유기 기, 보다 더 바람직하게는 (C1-C8) 유기 기, 그보다 더 바람직하게는 (C1-C6) 유기 기, 그보다 더 바람직하게는 "저급"(즉, C1-C4) 유기 기이다. 유기 기는 각각 알킬기인 것이 더욱 바람직하다. 유기 기는 각각 유기 부분, 바람직하게는 알킬 부분인 것이 가장 바람직하다.
특정한 실시양태에서, 알코올 전구체 화합물의 R기의 탄소 원자는 플루오르 원자로 치환될 수 있다. 바람직한 알코올은 에탄올, 이소프로필 알코올, n-프로필 알코올, n-부탄올 및 에틸렌 글리콜 모노메틸 에테르를 포함한다.
특정한 실시양태에서, 금속 함유 전구체 화합물의 R기의 탄소 원자는 경우에 따라서 실리콘, 플루오르, 산소 및/또는 질소 원자 또는 이러한 원자들을 함유하는 기로 대체 또는 치환된다. 따라서, 실릴화 아민(silylated amine) 또는 실릴화 이민-아민은 화학식 I의 범위 내에 있다. 화학식 I M1(NR1)w(NR2R3)z의 화합물에 있어서, R1, R2, 및 R3는 각 각 바람직하게는 (C1-C6) 유기기이다. 적합한 전구체 화합물의 예로서는, 테트라키스(디메틸아미노) 티탄, 테트라키스(디메틸아미노) 하프늄, 테트라키스(에틸메틸아미노) 하프늄, 및 Al(NMe2)2(N(Me)CH2CH2NMe2)(Me는 메틸)이 있다. 이러한 화합물은 스트렘 케미컬사와 같은 소스로부터 상업적으로 구매 가능하거나 표준 기법을 이용하여(예컨대, 금속 염화물을 대응하는 리튬 디알킬 아미드와 반응시킴으로써) 준비할 수 있다.
화학식 II M2R4 q 및 그 루이스 염기 첨가 생성물의 화합물에 있어서, 각 R4는 수소 원자 또는 (C1-C4) 유기 기인 것이 바람직하다. 바람직하게는, 화학식 II의 화합물은 모든 R4기가 메틸(특히, M2가 알루미늄인 경우)인 화합물은 포함하지 않는다. 적당한 전구체 화합물의 예로서, AlH3, AlMe3, AlHMe2, ZnEt2 및 AlH3·NMe3가 있다. 이러한 화합물은 시그마-알드리히와 같은 소스로부터 상업적으로 구매하거나, 표준 기법을 이용하여(예컨대, 그리나드(Grignard) 시약을 금속 할로겐화물과 반응시킴으로써) 준비할 수 있다.
다양한 전구체 화합물들을 다양한 조합으로, 경우에 따라서는 1종 이상의 유기 용매(특히, CVD 공정의 경우)와 함께 사용하여, 전구체 조성물을 형성할 수 있다. 전구체 화합물은 실온에서 액체 또는 고체로 존재할 수 있다(기화 온도에서 액체인 것이 바람직함). 통상적으로, 전구체 화합물은 공지의 기상 증착 기법에 따라 사용하는데 충분한 정도로 휘발성인 액체이다. 그러나, 고체로서도 충분한 휘발성을 가질 수 있기 때문에, 전구체 화합물은 공지의 기상 증착 기법에 따라서 고체 상태로부터 기화 또는 승화될 수 있다. 전구체 화합물이 휘발성이 적은 고체일 경우에, 이들은 플래쉬(flash) 기화, 발포, 미소액적 형성 기법등에 사용될 수 있도록 유기 용매중에 충분히 용해 가능하거나, 그들의 분해 온도 이하인 융점을 갖는 것이 바람직하다. 이때, 기화된 전구체 화합물은 단독으로, 또는 경우에 따라 다른 전구체 화합물의 기화된 분자와 함께, 그렇지 않으면 경우에 따라 기화된 용매 분자와 함께 사용될 수 있다. 본 명세서에서, "액체"라는 용어는 용액 또는 순수 액체(실온에서 액체이거나 고온에서 용해되고 실온에서는 고체인 것)를 언급한 것이다. 본 명세서에서, "용액"이라는 용어는 고체의 완전한 용해를 필요로 하지 않고, 화학 기상 증착 공정에 있어서 유기 용매에 의해 증기상내로 전달되는 고체의 양이 충분하다는 조건하에 약간의 용해되지 않은 고체가 존재해도 무방한 경우를 말한다. 증착시 용매 희석법을 사용하는 경우, 생성되는 총 몰 농도의 용매 증기가 불활성 캐리어 가스로서 고려될 수 있다.
금속 함유 전구체에 대하여, 원한다면 용매가 사용될 수 있다. 이러한 분야에(특히 CVD 공정에) 사용하는데 적합한 용매는 다음과 같은 용매들중 1종 이상일 수 있다: 지방족 탄화수소 또는 불포화 탄화수소(C3-C20, 바람직하게는 C5-C10 시클릭, 분지형 또는 선형), 방향족 탄화수소(C5-C20, 바람직하게는 C5-C10), 할로겐화 탄화수소, 실릴화 탄화수소, 예컨대 알킬실란, 알킬실리케이트, 에테르, 폴리에테르, 티오에테르, 에스테르, 락톤, 암모니아, 아미드, 아민(지방족 또는 방향족, 1급, 2급 또는 3급), 폴리아민, 니트릴, 시아네이트, 이소시아네이트, 티오시아네이트, 실리콘 오일, 알코올, 또는 전술한 용매가 혼합된 형태를 함유하는 화합물 또는 전술한 용매 1종 이상으로 이루어진 혼합물. 또한, 용매 화합물은 일반적으로 서로 상용성이 있어서, 다양한 양의 전구체 화합물들로 이루어진 혼합물이 그들의 물리적 특성을 현저하게 변화시킬 정도로 상호작용을 하지는 않을 것이다.
본 발명에 의하면, 기판(대개 실리콘)의 산화물(대개는 이산화실리콘)로의 산화 반응을 극소화시키기 위해서 반응 가스를 전혀 사용하지 않는 것이 바람직하다. 이러한 산화 과정은 다른 기판, 예컨대 금속 전극 또는 질화물 방벽에 대해서도 유해한 산화 반응을 야기할 수 있다. 또한, 당분야에 잘 알려진 바와 같이, 일부의 층들은 산화 가스를 투과시킬 수 있으므로, 상단 기판 층 아래의 층에 대하여 유해한 산화 반응을 야기할 수 있다.
상기 전구체 화합물은 필요에 따라 불활성 캐리어 가스의 존재하에서 기화될 수 있다. 또한, 불활성 캐리어 가스는 ALD 공정에서 세정 단계에 사용될 수도 있다. 이와 같은 불활성 캐리어 가스는 통상적으로 질소, 헬륨, 아르곤 및 이들의 혼합물로 이루어진 그룹에서 선택된다. 본 발명에 있어서, 불활성 캐리어 가스는 금속 산화물 층의 형성을 방해하지 않는 가스이다. 불활성 캐리어 가스의 존재 여부에 상관없이, 기화 과정은 층의 산소 오염(예를 들면, 실리콘의 이산화실리콘으로의 산화반응)을 방지하기 위해서 산소의 부재하에 수행하는 것이 바람직하다.
본 발명에 의한 증착 방법은 기상 증착 방법이다. 기상 증착 방법은 반도체 산업 분야에서 바람직하게 사용되는데, 그 까닭은 기상 증착 방법이 깊은 접촉 영역 및 기타 개구부 내에 고도의 등각 층들을 신속하게 제공할 수 있는 가능성이 있기 때문이다. 화학 기상 증착(CVD) 방법 및 원자층 증착(ALD) 방법이 얇고 연속적이며 균일한 금속 산화물 층(바람직학는 유전체)을 반도체 기판상에 형성하는데 자주 사용되는 2가지 기상 증착 방법이다. 어떠한 기상 증착 방법을 사용하더라도, 일반적으로 1종 이상의 전구체 화합물을 증착 챔버에서 기화시키고, 경우에 따라서 1종 이상의 반응 가스와 혼합하여 기판상에 금속 산화물 층을 형성시킨다. 당업자라면, 다양한 관련 기법, 예컨대 플라스마 지원, 광학적 지원, 레이저 지원 및 기타 다른 기법을 사용함으로써 기상 증착 과정을 촉진시킬 수 있다는 사실을 잘 알 것이다.
형성되는 최종적인 층(바람직하게는 유전체층)의 두께는 약 10Å 내지 약 500 Å 범위인 것이 바람직하다. 금속 산화물 층의 두께는 약 30Å 내지 약 80Å 범위인 것이 더욱 바람직하다.
대부분의 기상 증착 방법에 있어서, 전구체 화합물(들)을 고온에서 산화 반응 가스 또는 환원 반응 가스와 반응시켜서 금속 산화물 층을 형성한다. 그러나, 본 발명의 방법에서는, 이와 같은 가스들이 전혀 필요하지 않은데, 알코올이 형성되는 필름에 산소를 제공하기 때문이다. 그러나, 산화 가스, 예컨대 O2, O3, H2O, H2O2 및 N2O를 필요에 따라 사용할 수도 있다.
화학 기상 증착(CVD) 방법은 금속 산화물 층, 예컨대 반도체 공정에서 유전체층을 제조하는데 광범위하게 사용되고 있는데, 그 이유는 CVD 방법이 비교적 신속한 처리 시간내에 고도의 등각의 및 고품질의 유전체층을 제공할 수 있기 때문이다. 소정의 전구체 화합물을 기화시킨 후에, 경우에 따라 사용되는 반응 가스 및/또는 불활성 캐리어 가스와 함께 가열된 기판을 포함하는 증착 챔버내로 도입시킨다. 전형적인 CVD 방법에서는, 기화된 전구체들을 기판 표면에서 반응 가스(들)과 접촉시켜서 층(예: 유전체층)을 형성한다. 1회의 증착 사이클을 소정의 층 두께가 얻어질 때까지 지속시킬 수 있다.
전형적인 CVD 공정은 일반적으로 증착 표면 또는 웨이퍼가 배치된 가공 챔버로부터 분리되어 있는 기화 챔버에서 전구체 화합물들을 사용한다. 예를 들면, 액상 전구체 화합물은 통상 발포장치(bubbler)에 배치되어 그 화합물이 기화하는 온도까지 가열되고, 이어서 이와 같이 기화된 액상 전구체 화합물은 상기 발포장치를 지나거나 액상 전구체 화합물을 통과하는 불활성 캐리어 가스에 의해서 운반된다. 이어서, 증기는 기판 표면(상)에 층을 증착시키기 위한 증착 챔버에 연결된 기체 배관을 통해 세정된다. 이와 같은 공정을 정밀하게 제어하기 위해서 많은 기법이 개발되어 왔다. 예를 들면, 증착 챔버로 운반되는 전구체 물질의 양은 전구체 화합물을 함유하는 저장소의 온도에 의해서, 그리고 상기 저장소를 통과하거나 상기 저장소를 통해 발포되는 불활성 캐리어 가스의 유동에 의해서 정밀하게 조절될 수 있다.
전술한 바와 같은 바람직한 실시양태의 전구체 화합물은 화학 기상 증착법(CVD)에특히 적합하다. 기판 표면에서 증착 온도는 약 100℃ 내지 약 600℃ 범위로 유지되는 것이 바람직하고, 약 200℃ 내지 약 500℃ 범위로 유지되는 것이 더욱 바람직하다. 증착 챔버 압력은 약 0.1 torr 내지 약 10 torr의 증착 압력으로 유지되는 것이 바람직하다. 불활성 캐리어 가스내의 전구체 화합물의 분압은 약 0.001 torr 내지 약 10 torr인 것이 바람직하다.
CVD 공정 및 챔버의 몇가지 변형예, 예를 들면 대기압 화학 기상 증착법, 저압 화학 기상 증착법(low pressure chemical vapor deposition; LPCVD), 플라스마 촉진 화학 증착법(plasma enhanced chemical vapor deposition; PECVD), 고열벽 또는 저열벽 반응기 또는 기타 화학 기상 증착 기법을 사용하는 실시예도 가능하다. 이외에도, 펄스화된 CVD를 사용할 수 있는데, 이는 ALD(이하에 상세히 설명함)와 유사하지만, 전구체와 반응 가스 스트림의 상호 혼합을 엄격하게 방지하는 것은 아니다. 또한, 펄스화된 CVD의 경우에, 증착 두께는 노출 시간에 좌우되지만, 이와 달리 ALD의 경우에는 자체 제한성이 있다(이하에 더욱 상세히 설명함).
전형적인 CVD 공정은 화학 기상 증착 반응기, 예를 들면 제너스 인코오포레이티드(캘리포니아, 서니베일 소재)에서 7000이라는 상표명으로 시판하는 증착 챔버, 어플라이드 머티어리얼스사(캘리포니아, 산타 클라라 소재)에서 5000이라는 상표명으로 시판하는 증착 챔버, 또는 노벨러스, 인코포레이티드(캘리포니아, 산호세 소재)에서 Prism이라는 상표명으로 시판하는 증착 챔버에서 수행할 수 있다. 그러나, CVD를 수행하는데 적합한 어떠한 증착 챔버라도 사용할 수가 있다.
다른 방법으로, 그리고 바람직한 실시양태에서, 본 발명의 방법에 사용되는 기상 증착 공정은 멀티사이클 ALD 공정이다. 이와 같은 공정은 (특히 CVD 공정에 비해서) 다음과 같은 장점을 갖는다. 멀티사이클 ALD 고정에 의하면 증착된 층(예: 유전체층)에 원자 수준의 두께 및 균일성을 최적의 방식으로 제어할 수 있고, 금속 전구체 화합물을 보다 낮은 휘발 및 반응 온도에 노출시킴으로써 성능 저하를 방지할 수 있다. 통상적으로, ALD 공정에서, 각각의 반응물은 적합한 기판상에, 대개는 CVD 공정에서 현재 사용되는 것보다 일반적으로 낮은 온도인, 약 25℃ 내지 약 400℃(바람직하게는 약 150℃ 내지 약 300℃)의 증착 온도에서, 적합한 기판상에 순차적으로 펄스 방식으로 도입된다. 이와 같은 조건하에서, 필름의 성장은 대개 자체 제한성이 있으므로(즉, ALD 공정에서 표면상의 반응성 부위가 다 소모된 경우에 일반적으로 증착이 중지됨), 탁월한 형태 일치성을 확보할 수 있을 뿐만 아니라 우수한 대면적 균일성과 간단하고 정확한 두께 제어라는 장점을 얻을 수 있다. 전구체 화합물 및/또는 반응 가스의 교대식 투입으로 인해, 전구체 및/또는 반응 가스의 연속적인 상호 반응에 의해 수행되는 CVD 공정과 달리, 유해한 증기상 반응이 본질적으로 배제된다. (이에 관해서는 문헌 ["Growth of SrTiO3 and BaTiO3, Thin Films by Atomic Layer Deposition, "Electrochemical and Solid-State Letters, 2(10): 504-506 (1999)]를 참조할 수 있다).
전형적인 ALD 공정은 원래의 기판을 제 1 화학종(예를 들면, 화학식 I로 표시되는 전구체 화합물)에 노출시켜서 당해 화학종을 기판상에 화학 흡착시키는 단계를 포함한다. 이론적으로, 화학 흡착에 의하면 전체적인 노출된 원래의 기판상에 균일한 두께의 한 원자 또는 분자에 해당하는 단일층이 형성된다. 다시 말해서, 포화된 단일층이 형성되는 것이다. 실제로, 화학 흡착은 기판의 모든 부분에서 일어나지 않을수도 있다. 그럼에도 불구하고, 이와 같은 불완전한 단일층은 본 발명의 기술 사상에 비추어볼때 여전히 단일층이라 할 수 있다. 많은 경우에, 단지 실질적으로 포화된 정도의 단일층만으로도 적합할 수 있다. 실질적으로 포화된 단일층은 그와 같은 층에 필요한 성질 및/또는 특성을 나타내는 증착된 층을 생성하는 단일층을 의미한다.
제 1 화학종을 기판상에서 세정하고 제 2 화학종(예를 들면, 화학식 I로 표시되는 상이한 전구체 화합물 또는 화학식 II로 표시되는 전구체 화합물)을 제공하여 상기 제 1 화학종으로 된 제 1 단일층과 반응시킨다. 이어서, 제 2 화학종을 세정하고, 제 2 화학종으로 된 단일층을 제 1 화학종에 노출시키면서 이와 같은 처리 단계를 반복한다. 경우에 따라서, 2개의 단일층은 동일한 화학종으로 이루어질 수도 있다. 선택적으로, 제 2 화학종은 추가의 물질을 화학 흡착시키지 않고 제 1 화학종과 반응시킬 수도 있다. 즉, 제 2 화학종은 화학 흡착된 제 1 화학종의 일부분을 분해시켜서 추가의 단일층을 형성시키는 일 없이 당해 단일층을 변형시킬 수도 있다. 또한, 제 3 화학종 또는 또 다른 화학종을 상기 제 1 및 제 2 화학종에 대하여 전술한 바와 같이 연속적으로 화학 흡착(또는 반응)시키고 세정한다. 선택적으로, 제 2 화학종(또는 제 3 화학종 또는 후속하는 화학종)은 필요에 따라 1종 이상의 반응 가스를 포함할 수 있다.
세정 단계에는 여러가지 기법을 사용할 수 있으며, 그 예로서는 기판 및/또는 단일층을 캐리어 가스와 접촉시키는 방법 및/또는 압력을 증착 압력 이하로 저하시켜서 기판과 접촉하는 화학종 및/또는 화학 흡착된 화학종의 농도를 감소시키는 방법을 들 수 있으나, 이에 국한되는 것은 아니다. 캐리어 가스의 예로서는 N2, Ar, He 등을 들 수 있다. 다른 예로서, 세정 단계는 기판 및/또는 단일층을 화학 흡착 부산물을 탈착시킴으로써 또 다른 화학종을 도입하기에 앞서 접촉 화학종의 농도를 감소시키는 방법을 포함할 수 있다. 접촉 화학종은, 특정한 증착 공정의 생성물에 대한 상세한 설명에 근거하여 당업자에게 잘 알려진 적합한 농도 또는 분압으로 감소시킬 수 있다.
ALD는 제 1 화학종과 화학 결합을 형성할 수 있는 기판상에 존재하는 부위의 수가 유한하다는 점에서 자체 제한성이 있는 공정으로 설명되는 경우가 많다. 제 2 화학종은 제 1 화학종과만 결합할 수 있으므로 마찬가지로 자체 제한성이 있다. 일단 기판상의 유한한 수의 부위가 제 1 화학종과 결합하면, 제 1 화학종은 기판과 이미 결합된 다른 제 1 화학종과는 결합하지 않는 경우가 많을 것이다. 그러나, ALD에서 공정 조건을 변화시켜서 그와 같은 결합을 촉진시키고 ALD에 자체 제한성을 부여하지 않을 수도 있다. 따라서, ALD는 화학종을 적층시킴으로써 일시에 단일층 이외의 다른 층을 형성하는 화학종을 사용하여 단일 원자 또는 분자 두께 이외의 층을 형성하는 것도 포함할 수 있다.
전술한 방법은 제 1 전구체의 화학 흡착중에 제 2 전구체(즉, 제 2 화학종)가 "실질적으로 존재하지 않음"을 시사하는데, 제 2 전구체가 무시할만한 정도의 양으로 존재할 수 있기 때문이다. 당업자의 지식과 기호에 따라서, 제 2 전구체가 실질적으로 존재하지 않도록 하기 위해 선택되는 제 2 전구체의 한계량과 공정 조건에 관한 결정을 할 수 있다.
따라서, ALD 공정중에, 증착 챔버내에서 여러 차례의 연속적인 증착 사이클이 수행되며, 당해 기판상에 소정의 두께를 갖는 층이 형성될 때까지 매회 사이클마다 매우 얇은 금속 산화물 층(통상 평균 성장 속도가 사이클당 약 0.2 내지 약 3.0 Å 정도가 될 정도의 단일층보다 얇은 층)이 증착된다. 층의 증착은 전구체 화합물들을 반도체 기판을 함유하는 증착 챔버내로 교대식으로 도입시키고(즉, 펄스 방식 도입), 전구체 화합물(들)을 기판 표면상에서 단일층으로서 화학 흡착시킨 후에, 화학 흡착된 전구체 화합물(들)과 다른 동시 반응성 전구체 화합물(들)을 반응시킴으로써 이루어진다. 전구체 화합물(들) 및 불활성 캐리어 가스(들)의 펄스 지속 기간은 기판 표면을 포화시키는데 충분한 시간이다. 통상적으로, 펄스 지속 기간은 약 0.1 초 내지 약 5 초, 바람직하게는 약 0.2 초 내지 약 1 초이다.
주로 열에 의해 구동되는 CVD와는 대조적으로, ALD는 주로 화학적으로 구동된다. 따라서, ALD는 CVD보다 훨씬 낮은 온도에서 수행되는 경우가 많다. ALD 공정이 이루어지는 동안에, 기판 온도는 화학 흡착된 전구체 화합물(들)과 아래 놓인 기판 표면간의 완전한 결합을 유지시키고 전구체 화합물(들)의 분해를 방지할 만큼 충분히 낮은 온도로 유지시킨다. 또한, 상기 기판 온도는 전구체 화합물(들)의 응축을 방지할 만큼 충분히 높은 온도이다. 통상적으로, 기판 온도는 약 25℃ 내지 약 400℃(바람직하게는 약 150℃ 내지 약 300℃) 범위내로 유지되는데, 이러한 온도는 CVD 공정에서 현재 사용되는 것보다 낮은 온도이다. 따라서, 제 1 화학종 또는 전구체 화합물이 이 온도에서 화학 흡착된다. 제 2 화학종 또는 전구체 화합물의 표면 반응은 제 1 전구체의 화학 흡착과 거의 동일한 온도에서 일어나거나, 바람직한 것은 아니지만 실질적으로 상이한 온도에서 일어날 수 있다. 분명히, 당업자가 판단하였을때 약간의 온도 변화가 일어날 수 있지만, 제 1 전구체의 화학 흡착 온도에서 일어나는 것과 같이 통계학적으로 거의 동일한 반응 속도를 제공함으로써 온도를 실질적으로 동일하게 만들 수 있다. 다른 경우에, 화학 흡착 및 후속 반응은 정확히 동일한 온도에서 일어날 수 있다.
전형적인 ALD 공정에서, 증착 챔버내 압력은 약 10-4 torr 내지 약 1 torr, 바람직하게는 약 10-4 torr 내지 약 0.1 torr로 유지된다. 통상적으로, 기화된 전구체 화합물(들)을 챔버내로 도입시키고/시키거나 매회 사이클동안 반응시킨 후에, 증착 챔버를 불활성 캐리어 가스로 세정한다. 상기 불활성 캐리어 가스(들)는 매회 사이클중에 기화된 전구체 화합물(들)과 함께 도입시킬 수도 있다.
전구체 화합물의 반응성은 ALD에서 공정의 변수에 상당한 영향을 미칠 수 있다. 전형적인 CVD 공정 조건하에서, 반응성이 큰 화합물은 기체상에서 반응하여 미립자를 생성하고 소정의 표면상에 조기 증착시켜서 불량한 막을 생성하고/하거나 처리 범위를 불량하게 만들거나, 그렇지 않다면 불균일한 증착의 결과를 유발할 수도 있다. 적어도 이와 같은 이유에서, 높은 반응성의 화합물은 CVD용으로 적합하지 않다고 고려될 수도 있다. 그러나, CVD에 적합하지 않은 몇가지 화합물은 탁월한 ALD 전구체가 된다. 예를 들면, 제 1 전구체가 제 2 전구체와 기체상 반응성이 있는 경우에, 이러한 화합물들의 조합은 CVD에 적합하지 않을지도 모르지만, ALD에서는 사용할 수가 있다. CVD의 개념에 비추어 볼때, 기체 반응성이 큰 전구체를 사용할 경우에 당업자에게 알려진 바와 같이 점착 계수 및 표면 이동성에 관해서도 고려하여야 하지만, ALD 개념상으로는 이와 같은 고려를 거의 또는 전혀 하지 않아도 된다.
기판상에 층이 형성된 후에, 증착 챔버내에서 인 시츄 방식으로 질소 대기 또는 산화성 대기하에 어닐링 단계를 수행한다. 바람직하게는, 어닐링 온도는 약 400℃ 내지 약 1000℃ 범위이다. 구체적으로 ALD 이후에는, 어닐링 온도가 약 400℃ 내지 약 750℃인 것이 더욱 바람직하고, 약 600℃ 내지 약 700℃인 것이 가장 바람직하다. 어닐링 단계는 약 0.5 분 내지 약 60분의 기간동안 수행하는 것이 바람직하고, 약 1 분 내지 약 10 분의 기간동안 수행하는 것이 더욱 바람직하다. 당업자라면 위와 같은 온도 및 기간이 달라질 수 있다는 사실을 잘 알것이다. 예를 들면, 요로를 이용한 어닐링과 급속 열 어닐링 방법을 사용할 수 있으며, 이 경우에 어닐링은 1회 이상의 어닐링 단계로 수행할 수 있다.
전술한 바와 같이, 본 발명에 의한 막을 형성하기 위한 조성물 및 방법은, 반도체 구조물, 구체적으로 고유전체 재료를 사용하는 반도체 구조물에 있어서 광범위한 박막 용도에 있어서 유리하다. 예를 들면, 그와 같은 용도로서는 커패시터, 예컨대 평판형 셀, 트렌치 셀(예: 이중 측벽 트렌치 커패시터), 적층형 셀(예: 크라운, V-셀, 델타셀, 다지형 또는 원통형 용기 적층형 커패시터), 및 필드 효과 트랜지스터 장치를 들 수 있다.
본 발명에 따라서 유전체층이 형성되는 구조물의 구체적인 예를 들면 커패시터 구조물이다. 커패시터 구조물의 실시예들을 도 1 내지 도 3에 의거하여 설명하고자 한다. 도 1을 참조하면, 반도체 웨이퍼 분절(10)은 본 발명의 방법에 의해 형성된 커패시터 구조물(25)을 포함한다. 웨이퍼 분절(10)은 그 내부에 형성된 전도성 확산 영역(14)을 갖는 기판(12)를 포함한다. 기판(12)으로서는 예컨대 단결정 실리콘을 들 수 있다. 통상 보로포스포실리케이트 유리(borophosphosilicate glass; BPSG)로 이루어지는 유전체층(16)이 기판(12)상에 구비되며, 상기 유전체층은 확산 영역(14)에 대한 접촉 개구부(18)를 갖는다. 전도성 재료(20)가 접촉 개구부(18)에 충전되며, 도시된 바와 같이 재료(20)와 산화물 층(18)이 평면을 이룬다. 재료(20)는 임의의 적합한 전도성 재료, 예를 들면 텅스텐 또는 전도성 도핑 처리된 폴리실리콘일 수 있다. 커패시터 구조물(25)이 상단층(16) 및 플러그(20)상에 제공되며, 플러그(20)를 통해서 노드(14)에 전기적으로 접속된다.
커패시터 구조물(25)은 제 1 커패시터 전극(26)을 포함하며, 이 전극은 노드(20)상에 구비되고 패턴을 이룬다. 그 재료의 예로서는 전도성 도핑 처리된 폴리실리콘, Pt, Ir, Rh, Ru, RuO2, IrO2 및 RhO2를 들 수 있다. 커패시터 유전체층(28)이 제 1 커패시터 전극(26)상에 구비된다. 바람직하게는, 제 1 커패시터 전극(26)이 폴리실리콘을 포함하는 경우에, 폴리실리콘의 표면은 인 시츄 방식으로 HF 침지법에 의해 세정한 다음에, 유전막이 증착된다. 256 Mb 집적도에 따른 층(28)의 두께는 예를 들면 100 옹스트롬이다.
상기 유전체층(28)상에는 확산 방벽층(30)이 구비된다. 확산 방벽층(30)은 전도성 재료, 예를 들면 TiN, TaN, 금속 실리사이드 또는 금속 실리사이드-나이트라이드 를 포함할 수 있으며, CVD에 의해서, 예를 들면 당업자에게 잘 알려진 조건을 사용하여 제공될 수 있다. 방벽층(30)을 형성한 후에, 제 2 커패시터 전극(32)을 방벽층(30)상에 형성하여 커패시터 구조물(25)을 완전히 구성한다. 제 2 커패시터 전극(32)은 상기 제 1 커패시터 전극(26)에 관하여 전술한 것과 비슷한 구조를 포함할 수 있으므로, 예를 들면 전도성 도핑 처리된 폴리실리콘을 포함할 수 있다. 확산방벽층(30)은 성분들(예를 들면, 산소)이 유전체 재료(28)로부터 전극(32)내로 확산하는 것을 방지하는 것이 바람직하다. 예컨대, 산소가 실리콘 함유 전극(32)내로 확산하는 경우, 바람직하지 못하게 SiO2를 형성하여 커패시터(25)의 커패시턴스를 현저하게 감소시킬 수 있다. 또한, 확산 방벽층(30)은 실리콘이 금속 전극(32)으로부터 유전체층(28)으로 확산하는 것도 방지할 수 있다.
도 2는 커패시터 구조물의 다른 실시예를 도시한 것이다. 적절한 경우에 도 1과 유사한 도면 부호를 사용하였으며, 첨자 a를 덧붙여 차이를 나타내었다. 웨이퍼 분절(10a)은 도 1의 구조물(25)과는 상이한 커패시터 구조물(25a)을 포함하는데, 그 차이점은 방벽층(30a)이 유전체층(28)과 제 2 커패시터 전극(32) 사이가 아니라, 제 1 전극(26)과 유전체층(28) 사이에 구비된다는 점이다. 또한, 방벽층(30a)은 도 1에 관하여 위에서 설명한 바와 같은 구조를 포함할 수 있다.
도 3은 커패시터 구조물의 또 다른 실시예를 도시한 것이다. 적절한 경우에 도 1과 유사한 도면 부호를 사용하였으며, 첨자 b를 덧붙여 차이를 나타내었다. 웨이퍼 분절(10b)은 각각 상기 제 1 실시예의 제 1 및 제 2 커패시터 평판(26,32)을 구비한 커패시터 구조물(25b)을 포함한다. 그러나, 웨이퍼 분절(10b)은 웨이퍼 분절(10b)이 방벽층(30) 이외에도 제 2 방벽층(40)을 포함한다는 점에서, 도 1의 웨이퍼 분절(10)과는 구별된다. 방벽층(40)은 제 1 커패시터 전극(26)과 유전체층(28) 사이에 구비되는 반면, 방벽층(30)은 제 2 커패시터 전극(32)과 유전체층(28) 사이에 구비된다. 방벽층(40)은 상기 방벽층(30)을 형성하기 위해 도 1과 관련하여 설명한 것과 같은 방법에 의해 형성할 수 있다.
도 1 내지 도 3에 도시한 실시예에서, 방벽층들은 커패시터 전극으로부터 분리된 별도의 층으로서 도시 및 설명하였다. 그러나, 방벽층은 전도성 재료를 포함할 수 있으므로, 상기 실시예에서 적어도 일부분의 커패시터 전극도 포함할 수 있다는 것을 알아야 한다. 특정한 실시예에서는, 커패시터 전극의 전체가 전도성 방벽층 재료를 포함할 수 있다.
본 발명의 기상 증착 방법(화학 기상 증착 또는 원자층 증착)을 수행하는데 사용할 수 있는 장치가 도 4에 도시되어 있다. 상기 장치는 폐쇄된 증착 챔버(110)를 포함하고, 상기 챔버에는 터보 펌프(112) 및 백킹(backing) 펌프(114)에 의해서 진공이 형성될 수 있다. 하나 이상의 기판(116)(예: 반도체 기판 또는 기판 어셈블리)이 챔버(110)에 장착된다. 일정한 액면 온도가 기판(116)에 형성되는데, 그 온도는 사용된 공정에 따라 달라질 수 있다. 기판(116)은 예를 들면 기판(116)이 상부에 장착된 전기 저항 가열기(118)에 의해서 가열될 수 있다. 또한, 기판을 가열하는 다른 공지의 방법들도 이용할 수 있다.
이러한 방법에서, 전구체 화합물(16)(예: 내화성 금속 전구체 화합물과 에테르)은 용기(162)에 저장된다. 상기 전구체 화합물을 기화시켜서 라인(164) 및 (166)을 따라 별도로, 예를 들면 불활성 캐리어 가스(168)을 사용하여 증착 챔버(110)에 공급한다. 반응 가스(170)는 필요에 따라서 라인(172)을 따라 공급할 수 있다. 또한, 불활성 캐리어 가스(168)와 동일한 경우가 많은 세정 가스(174)를 필요에 따라서 라인(176)을 통해 공급할 수 있다. 도시된 바와 같이, 일련의 밸브(180-185)를 필요에 따라서 개폐할 수 있다.
이하에서는, 실시예에 의거하여 본 발명의 다양하고 구체적인 바람직한 실시예를 더욱 상세히 설명하고자 한다. 그러나, 본 발명의 범위내에서 여러가지 변형예와 개조예를 실시할 수 있으므로, 본 발명의 보호 범위는 후술하는 실시예에 의해서 제한되는 것이 아님을 알아야 한다. 특별한 언급이 없는한, 실시예에 기재된 모든 퍼센트는 중량%이다.
실시예 1. TiO 2 의 펄스화된 화학 기상 증착
도 4에 도시한 구조의 챔버에 컴퓨터로 제어되는 공기압 밸브를 설치하여 순차적인 방식으로 밸브를 펄스 방식에 따라 개방시켰다. 챔버에 연결된 2개의 저장 용기는 Ti(NMe2)4(스트렘 케미칼, 매사츄세츠, 뉴베리포트 소재) 및 이소프로필 알코올(제네랄 케미칼, 뉴저지, 파시패니 소재)을 함유하였다. 기판은 도핑된 폴리실리콘을 상층으로 하는 실리콘 웨이퍼이며, 증착을 위해 220℃로 유지되었다.
매회 사이클은 Ti(NMe2)4의 5초 펄스 및 이소프로필 알코올의 5초 펄스를 포함하였으며, 이들은 각각 아르곤을 사용하는 5초의 세정 기간 및 동적 진공하의 5초간의 펌프 다운 기간에 의해 분리되어 있다. 전구체들을 헬륨 캐리어 가스 없이, 50 sccm으로 설정된 이소프로필 알코올 저장 용기 하류측의 질량 흐름 조절기만을 사용해서 도입하였다. 400회의 사이클이 경과한 후, 두께 1750Å인 TiO2 막이 형성되었다. 상기 막은 X선 광전자 현미경(x-ray photoelectron spectroscopy; XPS)으로 분석한 결과 티탄 및 산소만을 포함하였으며, 질소 또는 탄소는 검출되지 않았다. 상기 막에 대한 X선 분석에 따르면, 아나타스 결정상이 증착된 대로 형성되었다는 것이 밝혀졌다.
실시예 2. HfO 2 의 원자층 증착
도 4에 도시된 챔버에 컴퓨터로 제어되는 공기압 밸브를 설치하여 순차적인 방식으로 밸브를 펄스 방식에 따라 개방시켰다. 챔버에 연결된 2개의 저장 용기는 Hf(NMe2)4(스트렘 케미칼, 매사츄세츠, 뉴베리포트 소재) 및 이소프로필 알코올(제네랄 케미칼, 뉴저지, 파시패니 소재)을 함유하였다. 이소프로필 알코올은 실온으로 유지하면서 Hf(NMe2)4 전구체를 40℃로 가열하였다. 기판은 도핑된 폴리실리콘을 상층으로 하는 실리콘 웨이퍼이며, 증착을 위해 150℃로 유지되었다.
매회 사이클은 Hf(NMe2)4의 2초 펄스 및 이소프로필 알코올의 1초 펄스를 포함하였으며, 이들은 각각 아르곤을 사용하는 5초의 세정 기간 및 동적 진공하의 5초간의 펌프 다운 기간에 의해 분리되어 있다. 전구체들을 헬륨 캐리어 가스 없이, 25 sccm으로 설정된 이소프로필 알코올 저장 용기 하류측의 질량 흐름 조절기만을 사용해서 도입하였다. 400회의 사이클이 경과한 후, 두께 250Å인 HfO2 막이 형성되었다. 상기 막은 X선 광전자 현미경(XPS)으로 분석한 결과 하프늄 및 산소만을 포함하였으며, HfO2 층 내부에서 질소 또는 탄소는 검출되지 않았다. X선 분석에 따르면, 비정질 막이 증착된 대로 형성되었으나, 1분 동안 질소 분위기 하에서 600℃의 급속 열처리(RTP) 후에 상기막은 결정질 HfO2로 된다는 것이 밝혀졌다.
본 명세서에 언급된 모든 특허 공보 및 간행물은 그 자체 그대로를 본 명세서에 포함시키는 것과 같이 본문중에 참고 인용하였다. 당업자라면 본 발명의 범위 및 기술 사상을 벗어나지 않는 본 발명의 다양한 개조예와 변경예를 명백히 파악할 수 있을 것이다. 본 발명의 보호 범위는 본 명세서에 게재한 구체적인 실시양태와 실시예에 의해 한정되어서는 아니되며, 그와 같은 구체적인 실시양태와 실시예는 본 발명을 예시하는데 불과한 것일뿐, 본 발명의 보호 범위는 첨부된 청구의 범위에 의해서 정해지는 것임을 알아야 한다.

Claims (49)

  1. 반도체 구조물의 제조 방법으로서,
    반도체 기판 또는 기판 어셈블리를 제공하는 단계;
    화학식 R(OH)r(R: 유기 기, r: 1 내지 3)로 표시되는 1종 이상의 알코올을 제공하는 단계;
    화학식 M1(NR1)w(NR2R3)z(화학식 I), M2R4 q (화학식 II) 또는 화학식 II의 루이스 염기 첨가 생성물로 표시되는 1종 이상의 금속 함유 전구체 화합물을 제공하는 단계; 및
    기상 증착 공정을 이용하여, 상기 반도체 기판 또는 기판 어셈블리의 하나 이상의 표면상에 금속 산화물 층을 형성하도록 상기 전구체 화합물들을 접촉시키는 단계를 포함하며,
    상기 화학식 I 및 II에서, M1과 M2는 각각 독립적으로 금속을 나타내고;
    R1, R2, R3 및 R4는 각각 독립적으로 수소 원자 또는 유기 기이며;
    w는 0 내지 4이고;
    z는 1 내지 8이며;
    q는 1 내지 5이고; 그리고
    w, z 및 q는 금속의 산화 상태에 좌우되는 수인,
    반도체 구조물의 제조 방법.
  2. 제 1 항에 있어서,
    상기 반도체 기판 또는 기판 어셈블리는 실리콘 웨이퍼인,
    반도체 구조물의 제조 방법.
  3. 제 1 항에 있어서,
    상기 금속 산화물 층은 유전체층인,
    반도체 구조물의 제조 방법.
  4. 제 3 항에 있어서,
    상기 금속 산화물 유전체층은 2종 이상의 상이한 금속을 포함하는,
    반도체 구조물의 제조 방법.
  5. 제 4 항에 있어서,
    상기 2종 이상의 상이한 금속은 합금, 고용체 또는 나노적층체의 형태로 존재하는,
    반도체 구조물의 제조 방법.
  6. 제 1 항에 있어서,
    상기 M1과 M2는 각각 독립적으로 3족, 4족, 5족, 6족, 7족, 13족, 14족 및 란탄족 원소로 이루어진 금속의 그룹 중에서 선택되는,
    반도체 구조물의 제조 방법.
  7. 제 6 항에 있어서,
    상기 M1과 M2는 각각 독립적으로 Y, La, Pr, Nd, Gd, Ti, Zr, Hf, Nb, Ta, Al 및 Si으로 이루어진 금속의 그룹 중에서 선택되는,
    반도체 구조물의 제조 방법.
  8. 제 1 항에 있어서,
    상기 금속 산화물 층의 두께는 약 30Å 내지 약 80Å인,
    반도체 구조물의 제조 방법.
  9. 제 1 항에 있어서,
    상기 각각의 R은 독립적으로 (C1-C10) 유기 기인,
    반도체 구조물의 제조 방법.
  10. 제 1 항에 있어서,
    상기 R1, R2, R3 및 R4는 각각 독립적으로 수소 원자 또는 (C1-C6) 유기 기인,
    반도체 구조물의 제조 방법
  11. 제 1 항에 있어서,
    상기 w는 0 내지 2이고, z는 2 내지 6인,
    반도체 구조물의 제조 방법.
  12. 제 1 항에 있어서,
    상기 q는 2 내지 3인,
    반도체 구조물의 제조 방법.
  13. 제 1 항에 있어서,
    상기 금속 산화물 층은 1종의 금속을 포함하는,
    반도체 구조물의 제조 방법.
  14. 제 1 항에 있어서,
    상기 금속 산화물 층은 아나타스 TiO2를 포함하는,
    반도체 구조물의 제조 방법.
  15. 반도체 구조물의 제조 방법으로서,
    증착 챔버내에 반도체 기판 또는 기판 어셈블리를 제공하는 단계;
    화학식 R(OH)r(R: 유기기, r: 1 내지 3)로 표시되는 1종 이상의 알코올을 제공하는 단계;
    화학식 M1(NR1)w(NR2R3)z(화학식 I), M2R4 q (화학식 II) 또는 화학식 II의 루이스 염기 첨가 생성물로 표시되는 1종 이상의 금속 함유 전구체 화합물을 제공하는 단계;
    기화된 전구체 화합물들을 형성하도록 상기 전구체 화합물들을 기화시키는 단계; 및
    반도체 기판 또는 기판 어셈블리의 하나 이상의 표면상에 금속 산화물 유전체층을 형성하도록 상기 기화된 화합물들을 상기 반도체 기판 또는 기판 어셈블리를 향해 배향시키는 단계를 포함하며,
    상기 화학식 I 및 II에서, M1과 M2는 각각 독립적으로 금속을 나타내고;
    R1, R2, R3 및 R4는 각각 독립적으로 수소 원자 또는 유기 기이며;
    w는 0 내지 4이고;
    z는 1 내지 8이며;
    q는 1 내지 5이고; 그리고
    w, z 및 q는 금속의 산화 상태에 좌우되는 수인,
    반도체 구조물의 제조 방법.
  16. 제 15 항에 있어서,
    상기 전구체 화합물은 불활성 캐리어 가스의 존재하에서 기화되는,
    반도체 구조물의 제조 방법.
  17. 제 15 항에 있어서,
    상기 M1과 M2는 각각 독립적으로 3족, 4족, 5족, 6족, 7족, 13족, 14족 및 란탄족 원소로 이루어진 금속의 그룹 중에서 선택되는,
    반도체 구조물의 제조 방법.
  18. 제 15 항에 있어서,
    상기 전구체 화합물의 기화 단계 및 배향 단계는 화학 기상 증착 공정을 사용해서 수행되는,
    반도체 구조물의 제조 방법.
  19. 제 18 항에 있어서,
    상기 반도체 기판 또는 기판 어셈블리의 온도는 약 100℃ 내지 약 600℃인,
    반도체 구조물의 제조 방법.
  20. 제 18 항에 있어서,
    상기 반도체 기판 또는 기판 어셈블리는 압력이 약 0.1 torr 내지 약 10 torr인 증착 챔버내에 존재하는,
    반도체 구조물의 제조 방법.
  21. 제 18 항에 있어서,
    상기 전구체 화합물의 기화 단계 및 배향 단계는 다수의 증착 사이클을 포함하는 원자층 증착 공정을 사용해서 수행되는,
    반도체 구조물의 제조 방법.
  22. 제 21 항에 있어서,
    상기 원자층 증착 공정이 진행되는 동안에, 상기 전구체 화합물들을 매회 증착 사이클중에 교대로 도입함으로써 상기 금속 함유 층이 형성되는,
    반도체 구조물의 제조 방법.
  23. 제 21 항에 있어서,
    상기 반도체 기판 또는 기판 어셈블리의 온도는 약 25℃ 내지 약 400℃인,
    반도체 구조물의 제조 방법.
  24. 제 21 항에 있어서,
    상기 반도체 기판 또는 기판 어셈블리는 압력이 약 10-4 torr 내지 약 1 torr인 증착 챔버내에 존재하는,
    반도체 구조물의 제조 방법.
  25. 제 15 항에 있어서,
    상기 금속 산화물은 1종의 금속을 포함하는,
    반도체 구조물의 제조 방법.
  26. 기판 상에 금속 산화물 층을 형성하는 방법으로서,
    기판을 제공하는 단계;
    화학식 R(OH)r(R: 유기 기, r: 1 내지 3)로 표시되는 1종 이상의 알코올을 제공하는 단계;
    화학식 M1(NR1)w(NR2R3)z(화학식 I), M2R4 q (화학식 II) 또는 화학식 II의 루이스 염기 첨가 생성물로 표시되는 1종 이상의 금속 함유 전구체 화합물을 제공하는 단계; 및
    기상 증착 공정을 이용하여, 상기 기판상에 금속 산화물 층을 형성하도록 상기 전구체 화합물들을 접촉시키는 단계를 포함하며,
    상기 화학식 I 및 II에서, M1과 M2는 각각 독립적으로 금속을 나타내고;
    R1, R2, R3 및 R4는 각각 독립적으로 수소 원자 또는 유기 기이며;
    w는 0 내지 4이고;
    z는 1 내지 8이며;
    q는 1 내지 5이고; 그리고
    w, z 및 q는 금속의 산화 상태에 좌우되는 수인,
    기판 상에 금속 산화물 층을 형성하는 방법.
  27. 제 26 항에 있어서,
    상기 기판은 실리콘 웨이퍼인,
    기판 상에 금속 산화물 층을 형성하는 방법.
  28. 제 26 항에 있어서,
    상기 M1과 M2는 각각 독립적으로 3족, 4족, 5족, 6족, 7족, 13족, 14족 및 란탄족 원소로 이루어진 금속의 그룹 중에서 선택되는,
    기판 상에 금속 산화물 층을 형성하는 방법.
  29. 제 28 항에 있어서,
    상기 M1과 M2는 각각 독립적으로 Y, La, Pr, Nd, Gd, Ti, Zr, Hf, Nb, Ta, Al 및 Si으로 이루어진 금속의 그룹 중에서 선택되는,
    기판 상에 금속 산화물 층을 형성하는 방법.
  30. 제 26 항에 있어서,
    상기 금속 산화물 층의 두께는 약 30Å 내지 약 80Å인,
    기판 상에 금속 산화물 층을 형성하는 방법.
  31. 제 26 항에 있어서,
    상기 각각의 R은 독립적으로 (C1-C10) 유기 기인,
    기판 상에 금속 산화물 층을 형성하는 방법.
  32. 제 26 항에 있어서,
    상기 R1, R2, R3 및 R4는 각각 독립적으로 수소 원자 또는 (C1-C6) 유기 기인,
    기판 상에 금속 산화물 층을 형성하는 방법.
  33. 제 26 항에 있어서,
    상기 w는 0 내지 2이고, z는 2 내지 6인,
    기판 상에 금속 산화물 층을 형성하는 방법.
  34. 제 26 항에 있어서,
    상기 q는 2 내지 3인,
    기판 상에 금속 산화물 층을 형성하는 방법.
  35. 제 26 항에 있어서,
    상기 금속 산화물은 1종의 금속을 포함하는,
    기판 상에 금속 산화물 층을 형성하는 방법.
  36. 제 26 항에 있어서,
    상기 금속 산화물 층은 아나타스 TiO2를 포함하는,
    기판 상에 금속 산화물 층을 형성하는 방법.
  37. 기판상에 금속 산화물 층을 형성하는 방법으로서,
    기판을 제공하는 단계;
    화학식 R(OH)r(R: 유기기, r: 1 내지 3)로 표시되는 1종 이상의 알코올을 제공하는 단계;
    화학식 M1(NR1)w(NR2R3)z(화학식 I), M2R4 q (화학식 II) 또는 화학식 II의 루이스 염기 첨가 생성물로 표시되는 1종 이상의 금속 함유 전구체 화합물을 제공하는 단계;
    기화된 전구체 화합물들을 형성하도록 상기 전구체 화합물들을 기화시키는 단계; 및
    상기 기판상에 금속 산화물 층을 형성하도록 상기 기화된 화합물들을 상기 기판을 향해 배향시키는 단계를 포함하며,
    상기 화학식 I 및 II에서, M1과 M2는 각각 독립적으로 금속을 나타내고;
    R1, R2, R3 및 R4는 각각 독립적으로 수소 원자 또는 유기 기이며;
    w는 0 내지 4이고;
    z는 1 내지 8이며;
    q는 1 내지 5이고; 그리고
    w, z 및 q는 금속의 산화 상태에 좌우되는 수인,
    기판상에 금속 산화물 층을 형성하는 방법
  38. 제 37 항에 있어서,
    상기 전구체 화합물의 기화 단계 및 배향 단계는 화학 기상 증착 공정을 사용해서 수행되는,
    기판상에 금속 산화물 층을 형성하는 방법.
  39. 제 37 항에 있어서,
    상기 전구체 화합물의 기화 단계 및 배향 단계는 다수의 증착 사이클을 포함하는 원자층 증착 공정을 사용해서 수행되는,
    기판상에 금속 산화물 층을 형성하는 방법.
  40. 제 37 항에 있어서,
    상기 금속 산화물 층은 1종의 금속을 포함하는,
    기판상에 금속 산화물 층을 형성하는 방법.
  41. 메모리 소자 구조물의 제조 방법으로서,
    표면상에 제 1 전극을 구비한 기판을 제공하는 단계;
    화학식 R(OH)r(R: 유기기, r: 1 내지 3)로 표시되는 1종 이상의 알코올을 제공하는 단계;
    화학식 M1(NR1)w(NR2R3)z(화학식 I), M2R4 q (화학식 II) 또는 화학식 II의 루이스 염기 첨가 생성물로 표시되는 1종 이상의 금속 함유 전구체 화합물 을 제공하는 단계;
    기화된 전구체 화합물들을 형성하도록 상기 전구체 화합물들을 기화시키는 단계;
    상기 기판의 제 1 전극 상에 금속 산화물 유전체층을 형성하도록 상기 기화된 화합물들을 상기 기판을 향해 배향시키는 단계; 및
    상기 유전체층상에 제 2 전극을 형성하는 단계를 포함하며,
    상기 화학식 I 및 II에서, M1과 M2는 각각 독립적으로 금속을 나타내고;
    R1, R2, R3 및 R4는 각각 독립적으로 수소 원자 또는 유기 기이며;
    w는 0 내지 4이고;
    z는 1 내지 8이며;
    q는 1 내지 5이고; 그리고
    w, z 및 q는 금속의 산화 상태에 좌우되는 수인,
    메모리 소자 구조물의 제조 방법.
  42. 제 41 항에 있어서,
    상기 전구체 화합물의 기화 단계 및 배향 단계는 화학 기상 증착 공정을 사용해서 수행되는,
    메모리 소자 구조물의 제조 방법.
  43. 제 41 항에 있어서,
    상기 전구체 화합물의 기화 단계 및 배향 단계는 다수의 증착 사이클을 포함하는 원자층 증착 공정을 사용해서 수행되는,
    메모리 소자 구조물의 제조 방법.
  44. 제 41 항에 있어서,
    상기 금속 산화물 유전체층은 2종 이상의 상이한 금속을 포함하는,
    메모리 소자 구조물의 제조 방법.
  45. 제 44 항에 있어서,
    상기 2종 이상의 상이한 금속은 합금, 고용체 또는 나노적층체의 형태로 존재하는,
    메모리 소자 구조물의 제조 방법.
  46. 제 41 항에 있어서,
    상기 금속 산화물 유전체층은 ZrO2, HfO2, Ta2O3, Al2O3, TiO2 및 란탄족 원소의 산화물중 1종 이상을 포함하는,
    메모리 소자 구조물의 제조 방법.
  47. 기상 증착 챔버로서,
    내부에 기판이 배치된 증착 챔버;
    화학식 R(OH)r(R: 유기기, r: 1 내지 3)로 표시되는 1종 이상의 알코올을 포함하는 하나 이상의 용기;
    화학식 M1(NR1)w(NR2R3)z(화학식 I), M2R4 q (화학식 II) 또는 화학식 II의 루이스 염기 첨가 생성물로 표시되는 1종 이상의 금속 함유 전구체 화합물을 포함하는 하나 이상의 용기를 포함하며,
    상기 화학식 I 및 II에서, M1과 M2는 각각 독립적으로 금속을 나타내고;
    R1, R2, R3 및 R4는 각각 독립적으로 수소 원자 또는 유기 기이며;
    w는 0 내지 4이고;
    z는 1 내지 8이며;
    q는 1 내지 5이고; 그리고
    w, z 및 q는 금속의 산화 상태에 좌우되는 수인,
    기상 증착 장치.
  48. 제 47 항에 있어서,
    상기 기판은 실리콘 웨이퍼인,
    기상 증착 장치.
  49. 제 47 항에 있어서,
    상기 전구체들을 상기 기상 증착 챔버로 운반하기 위한 불활성 캐리어 가스의 하나 이상의 공급원을 더 포함하는,
    기상 증착 장치.
KR1020057003576A 2002-08-28 2003-08-27 알코올을 이용하여 금속 산화물을 형성하는 시스템 및 방법 KR101003214B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/229,473 US7041609B2 (en) 2002-08-28 2002-08-28 Systems and methods for forming metal oxides using alcohols
US10/229,473 2002-08-28

Publications (2)

Publication Number Publication Date
KR20050057087A true KR20050057087A (ko) 2005-06-16
KR101003214B1 KR101003214B1 (ko) 2010-12-21

Family

ID=31976227

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057003576A KR101003214B1 (ko) 2002-08-28 2003-08-27 알코올을 이용하여 금속 산화물을 형성하는 시스템 및 방법

Country Status (8)

Country Link
US (2) US7041609B2 (ko)
EP (1) EP1534875A1 (ko)
JP (1) JP2005537639A (ko)
KR (1) KR101003214B1 (ko)
CN (1) CN100422383C (ko)
AU (1) AU2003262902A1 (ko)
TW (1) TWI320061B (ko)
WO (1) WO2004020690A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170138950A (ko) * 2016-06-08 2017-12-18 에이에스엠 아이피 홀딩 비.브이. 금속성 막들의 선택적 퇴적
KR20200128759A (ko) * 2018-04-05 2020-11-16 어플라이드 머티어리얼스, 인코포레이티드 금속 산화물들의 저온 ald를 위한 방법들

Families Citing this family (376)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100468847B1 (ko) * 2002-04-02 2005-01-29 삼성전자주식회사 알콜을 이용한 금속산화물 박막의 화학기상증착법
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7253122B2 (en) * 2002-08-28 2007-08-07 Micron Technology, Inc. Systems and methods for forming metal oxides using metal diketonates and/or ketoimines
US7087481B2 (en) * 2002-08-28 2006-08-08 Micron Technology, Inc. Systems and methods for forming metal oxides using metal compounds containing aminosilane ligands
US7041609B2 (en) * 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
US6984592B2 (en) * 2002-08-28 2006-01-10 Micron Technology, Inc. Systems and methods for forming metal-doped alumina
US7112485B2 (en) 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US6958300B2 (en) 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US7101813B2 (en) 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US6958302B2 (en) * 2002-12-04 2005-10-25 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
US7141500B2 (en) * 2003-06-05 2006-11-28 American Air Liquide, Inc. Methods for forming aluminum containing films utilizing amino aluminum precursors
US7390535B2 (en) 2003-07-03 2008-06-24 Aeromet Technologies, Inc. Simple chemical vapor deposition system and methods for depositing multiple-metal aluminide coatings
US7094712B2 (en) * 2003-09-30 2006-08-22 Samsung Electronics Co., Ltd. High performance MIS capacitor with HfO2 dielectric
KR20050056408A (ko) * 2003-12-10 2005-06-16 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
US7601649B2 (en) 2004-08-02 2009-10-13 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US7494939B2 (en) * 2004-08-31 2009-02-24 Micron Technology, Inc. Methods for forming a lanthanum-metal oxide dielectric layer
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
KR100682926B1 (ko) * 2005-01-31 2007-02-15 삼성전자주식회사 저항체를 이용한 비휘발성 메모리 소자 및 그 제조방법
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7390756B2 (en) * 2005-04-28 2008-06-24 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
US7572695B2 (en) 2005-05-27 2009-08-11 Micron Technology, Inc. Hafnium titanium oxide films
US7510983B2 (en) * 2005-06-14 2009-03-31 Micron Technology, Inc. Iridium/zirconium oxide structure
US20070049023A1 (en) * 2005-08-29 2007-03-01 Micron Technology, Inc. Zirconium-doped gadolinium oxide films
US7393736B2 (en) * 2005-08-29 2008-07-01 Micron Technology, Inc. Atomic layer deposition of Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics
US7410910B2 (en) * 2005-08-31 2008-08-12 Micron Technology, Inc. Lanthanum aluminum oxynitride dielectric films
KR100707602B1 (ko) 2005-10-20 2007-04-13 삼성에스디아이 주식회사 유기 전계 발광 표시장치 및 그의 제조방법
US7615438B2 (en) 2005-12-08 2009-11-10 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US7972974B2 (en) 2006-01-10 2011-07-05 Micron Technology, Inc. Gallium lanthanide oxide films
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7582161B2 (en) 2006-04-07 2009-09-01 Micron Technology, Inc. Atomic layer deposited titanium-doped indium oxide films
US7727908B2 (en) * 2006-08-03 2010-06-01 Micron Technology, Inc. Deposition of ZrA1ON films
US7582549B2 (en) 2006-08-25 2009-09-01 Micron Technology, Inc. Atomic layer deposited barium strontium titanium oxide films
US20080057659A1 (en) * 2006-08-31 2008-03-06 Micron Technology, Inc. Hafnium aluminium oxynitride high-K dielectric and metal gates
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
US7759747B2 (en) 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
US7544604B2 (en) 2006-08-31 2009-06-09 Micron Technology, Inc. Tantalum lanthanide oxynitride films
US7776765B2 (en) 2006-08-31 2010-08-17 Micron Technology, Inc. Tantalum silicon oxynitride high-k dielectrics and metal gates
US7563730B2 (en) 2006-08-31 2009-07-21 Micron Technology, Inc. Hafnium lanthanide oxynitride films
KR100875034B1 (ko) * 2007-01-02 2008-12-19 주식회사 하이닉스반도체 플래시 메모리 소자의 유전체막 형성방법
GB0702759D0 (en) * 2007-02-13 2007-03-21 Unversity Of Aveiro Non aqueous thin film formation
EP2011898B1 (en) * 2007-07-03 2021-04-07 Beneq Oy Method in depositing metal oxide materials
KR101227446B1 (ko) * 2007-07-31 2013-01-29 삼성전자주식회사 강유전체막의 형성 방법 및 이를 이용한 강유전체커패시터의 제조 방법
US8016945B2 (en) * 2007-12-21 2011-09-13 Applied Materials, Inc. Hafnium oxide ALD process
US8907059B2 (en) * 2008-11-14 2014-12-09 Bio-Rad Laboratories, Inc. Phosphopeptide enrichment of compositions by fractionation on ceramic hydroxyapatite
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
FR2968677A1 (fr) * 2010-12-09 2012-06-15 Commissariat Energie Atomique Procédé de fabrication de couches a base de lithium par cvd
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP6065840B2 (ja) * 2011-11-02 2017-01-25 宇部興産株式会社 トリス(ジアルキルアミド)アルミニウム化合物及び当該アルミニウム化合物を用いるアルミニウム含有薄膜の製造方法
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
TWI736631B (zh) 2016-06-06 2021-08-21 韋恩州立大學 二氮雜二烯錯合物與胺類的反應
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) * 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
JP6613213B2 (ja) * 2016-07-26 2019-11-27 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9793397B1 (en) 2016-09-23 2017-10-17 International Business Machines Corporation Ferroelectric gate dielectric with scaled interfacial layer for steep sub-threshold slope field-effect transistor
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
CN110651064B (zh) 2017-05-16 2022-08-16 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
KR20200074263A (ko) * 2017-11-19 2020-06-24 어플라이드 머티어리얼스, 인코포레이티드 금속 표면들 상의 금속 산화물들의 ald를 위한 방법들
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11915926B2 (en) 2021-09-27 2024-02-27 International Business Machines Corporation Percolation doping of inorganic-organic frameworks for multiple device applications

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2001A (en) * 1841-03-12 Sawmill
US5221712A (en) * 1988-08-23 1993-06-22 Sumitomo Chemical Company, Limited Process for producing impact-resistant polyamide resin compositions
US5020243A (en) * 1989-12-01 1991-06-04 Macmillan Bloedel Limited Dryer syphon
KR930012120B1 (ko) * 1991-07-03 1993-12-24 삼성전자 주식회사 반도체장치 및 그의 제조방법
JPH05239650A (ja) 1992-02-27 1993-09-17 Kojundo Chem Lab Co Ltd シリコン酸化膜の製造法
WO1995026355A1 (en) 1994-03-26 1995-10-05 Timothy John Leedham Tantalum compounds
JP3407409B2 (ja) * 1994-07-27 2003-05-19 富士通株式会社 高誘電率薄膜の製造方法
US6313035B1 (en) 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
TW438860B (en) 1996-11-20 2001-06-07 Japan Synthetic Rubber Co Ltd Curable resin composition and cured products
US6303391B1 (en) 1997-06-26 2001-10-16 Advanced Technology Materials, Inc. Low temperature chemical vapor deposition process for forming bismuth-containing ceramic films useful in ferroelectric memory devices
US6013553A (en) 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
US7157385B2 (en) 2003-09-05 2007-01-02 Micron Technology, Inc. Method of depositing a silicon dioxide-comprising layer in the fabrication of integrated circuitry
US6093944A (en) * 1998-06-04 2000-07-25 Lucent Technologies Inc. Dielectric materials of amorphous compositions of TI-O2 doped with rare earth elements and devices employing same
JP4152028B2 (ja) 1999-01-25 2008-09-17 株式会社Adeka ルテニウム系薄膜の製造方法
US6312831B1 (en) 1999-04-30 2001-11-06 Visteon Global Technologies, Inc. Highly reflective, durable titanium/tin oxide films
US6273951B1 (en) 1999-06-16 2001-08-14 Micron Technology, Inc. Precursor mixtures for use in preparing layers on substrates
US6060755A (en) 1999-07-19 2000-05-09 Sharp Laboratories Of America, Inc. Aluminum-doped zirconium dielectric film transistor structure and deposition method for same
US6221712B1 (en) 1999-08-30 2001-04-24 United Microelectronics Corp. Method for fabricating gate oxide layer
JP2001108199A (ja) 1999-10-12 2001-04-20 Tori Chemical Kenkyusho:Kk 残留物のパージが簡易な流体移送用配管装置及び流体供給装置、並びに配管装置中の残留物をパージする方法及び流体供給方法。
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
US6335049B1 (en) 2000-01-03 2002-01-01 Micron Technology, Inc. Chemical vapor deposition methods of forming a high K dielectric layer and methods of forming a capacitor
AU2001245388A1 (en) 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
JP2001257344A (ja) 2000-03-10 2001-09-21 Toshiba Corp 半導体装置及び半導体装置の製造方法
US6984591B1 (en) 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
KR20010114050A (ko) 2000-06-20 2001-12-29 박종섭 반도체 소자의 알루미늄 산화막 형성 방법
US6837251B1 (en) 2000-06-21 2005-01-04 Air Products And Chemicals, Inc. Multiple contents container assembly for ultrapure solvent purging
KR100814980B1 (ko) 2000-09-28 2008-03-18 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 산화물, 규산염 및 인산염의 증기를 이용한 석출
TW486392B (en) 2000-09-29 2002-05-11 Air Prod & Chem Solvent blend for use in high purity precursor removal
US6300203B1 (en) 2000-10-05 2001-10-09 Advanced Micro Devices, Inc. Electrolytic deposition of dielectric precursor materials for use in in-laid gate MOS transistors
KR100385952B1 (ko) 2001-01-19 2003-06-02 삼성전자주식회사 탄탈륨 산화막을 가진 반도체 커패시터 및 그의 제조방법
US6586792B2 (en) * 2001-03-15 2003-07-01 Micron Technology, Inc. Structures, methods, and systems for ferroelectric memory transistors
JP4427254B2 (ja) 2001-03-20 2010-03-03 マットソン テクノロジイ インコーポレイテッド 誘電体皮膜を堆積するための方法
US6541280B2 (en) * 2001-03-20 2003-04-01 Motorola, Inc. High K dielectric film
US7005392B2 (en) 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
KR100418569B1 (ko) 2001-12-10 2004-02-14 주식회사 하이닉스반도체 단원자층증착을 이용한 고유전체 박막 형성방법
US7164165B2 (en) 2002-05-16 2007-01-16 Micron Technology, Inc. MIS capacitor
JP3627106B2 (ja) 2002-05-27 2005-03-09 株式会社高純度化学研究所 原子層吸着堆積法によるハフニウムシリケート薄膜の製造方法
TW200408015A (en) 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high K metal silicates
US6958300B2 (en) 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US7041609B2 (en) 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
US7112485B2 (en) 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US20050070126A1 (en) 2003-04-21 2005-03-31 Yoshihide Senzaki System and method for forming multi-component dielectric films

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170138950A (ko) * 2016-06-08 2017-12-18 에이에스엠 아이피 홀딩 비.브이. 금속성 막들의 선택적 퇴적
KR20200128759A (ko) * 2018-04-05 2020-11-16 어플라이드 머티어리얼스, 인코포레이티드 금속 산화물들의 저온 ald를 위한 방법들

Also Published As

Publication number Publication date
US20050136689A9 (en) 2005-06-23
EP1534875A1 (en) 2005-06-01
CN1688742A (zh) 2005-10-26
TWI320061B (en) 2010-02-01
KR101003214B1 (ko) 2010-12-21
US20060172485A1 (en) 2006-08-03
US20040043632A1 (en) 2004-03-04
US7041609B2 (en) 2006-05-09
US7410918B2 (en) 2008-08-12
CN100422383C (zh) 2008-10-01
TW200422426A (en) 2004-11-01
WO2004020690A1 (en) 2004-03-11
JP2005537639A (ja) 2005-12-08
AU2003262902A1 (en) 2004-03-19

Similar Documents

Publication Publication Date Title
KR101003214B1 (ko) 알코올을 이용하여 금속 산화물을 형성하는 시스템 및 방법
US6958300B2 (en) Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US7115528B2 (en) Systems and method for forming silicon oxide layers
US6784049B2 (en) Method for forming refractory metal oxide layers with tetramethyldisiloxane
KR101274330B1 (ko) 알칼리 토금속 베타-디케티미네이트 전구체를 이용한원자층 증착
US6984592B2 (en) Systems and methods for forming metal-doped alumina
US20070295273A1 (en) Systems and methods for forming metal oxides using metal diketonates and/or ketoimines
KR20050042171A (ko) 지르코늄 및/또는 하프늄 함유층을 형성하는 시스템 및 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20131118

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20141120

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20151118

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20161122

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20171120

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20181129

Year of fee payment: 9