KR101716085B1 - 박막 형성 방법 및 박막 형성 장치 - Google Patents

박막 형성 방법 및 박막 형성 장치 Download PDF

Info

Publication number
KR101716085B1
KR101716085B1 KR1020160056765A KR20160056765A KR101716085B1 KR 101716085 B1 KR101716085 B1 KR 101716085B1 KR 1020160056765 A KR1020160056765 A KR 1020160056765A KR 20160056765 A KR20160056765 A KR 20160056765A KR 101716085 B1 KR101716085 B1 KR 101716085B1
Authority
KR
South Korea
Prior art keywords
thin film
gas
film forming
reaction chamber
reforming
Prior art date
Application number
KR1020160056765A
Other languages
English (en)
Other versions
KR20160055777A (ko
Inventor
가즈야 야마모토
유이치 이토
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20160055777A publication Critical patent/KR20160055777A/ko
Application granted granted Critical
Publication of KR101716085B1 publication Critical patent/KR101716085B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 양호한 특성을 갖는 박막을 형성할 수 있는 박막 형성 방법 및 박막 형성 장치를 제공한다. 본 발명에 따른 박막 형성 방법은, 제1 원료 가스와 제2 원료 가스를 반응실 내에 공급하는 제1 공정과, 제1 원료 가스의 공급을 정지하고, 제2 원료 가스를 반응실 내에 공급하고, 반응실 내의 압력을 제1 공정에서의 압력과 비교해서 높게 하는 제2 공정을 구비하고, 제1 공정과 제2 공정을 교대로 복수회 반복함으로써, 반응실 내에 수용된 피처리체에 박막을 형성한다.

Description

박막 형성 방법 및 박막 형성 장치{THIN FILM FORMING METHOD AND THIN FILM FORMING APPARATUS}
본 발명은 박막 형성 방법 및 박막 형성 장치에 관한 것이다.
종래, 산화 실리콘막 등의 성막에는, LP-CVD(감압 CVD; Chemical Vapor Deposition)가 사용되고 있다(예를 들어, 특허문헌 1). LP-CVD에 의한 성막에서는, 압력 제어된 반응실 내에, 일정하게 유량 조정된 가스를 정해진 시간만큼 흘려서, 원하는 막 두께까지 성막시킨다.
일본 특허 공개 제2007-95885호 공보
최근, 반도체 장치의 구조의 변경이나 장치의 미세화에 수반하여, 종래의 LP-CVD 성막 조건에서는 커버리지 성능이 낮아, 종래의 공정을 그대로 적용할 수 없다는 문제가 있다. 이 문제는, 특히 종횡비가 높은 STI(Shallow Trench Isolation) 형상이나, 홀 형상의 패턴에 대해서 성막을 행할 때에 문제로 된다. 또한, LP-CVD 성막에 있어서는, 성막 중의 불순물 농도를 보다 저감하려고 하는 요망이 있다. 이와 같이, 양호한 특성을 갖는 박막을 형성하는 방법이 요구되고 있다.
본 발명은 상기 실정을 감안하여 이루어진 것으로, 양호한 특성을 갖는 박막을 형성할 수 있는 박막 형성 방법 및 박막 형성 장치를 제공하는 것을 목적으로 한다.
또한, 본 발명은 양호한 커버리지 성능을 갖는 박막을 형성할 수 있는 박막 형성 방법 및 박막 형성 장치를 제공하는 것을 목적으로 한다.
또한, 본 발명은 불순물 농도를 보다 저감할 수 있는 박막을 형성할 수 있는 박막 형성 방법 및 박막 형성 장치를 제공하는 것을 목적으로 한다.
상기 목적을 달성하기 위해서, 본 발명의 제1 관점에 따른 박막 형성 방법은,
반응실 내에 수용된 피처리체에 박막을 형성하는 박막 형성 방법으로서,
제1 원료 가스와 제2 원료 가스를 상기 반응실 내에 공급하는 제1 공정과,
상기 제1 원료 가스의 공급을 정지하고, 상기 제2 원료 가스를 상기 반응실 내에 공급하고, 상기 반응실 내의 압력을 상기 제1 공정에서의 압력과 비교해서 높게 하는 제2 공정을 포함하고,
상기 제1 공정과, 상기 제2 공정을 교대로 복수회 반복한다.
상기 피처리체에 형성된 박막을 개질하는 개질용 가스를 상기 반응실 내에 공급하는 개질 공정을 더 포함하고,
상기 제1 공정과, 상기 제2 공정과, 상기 개질 공정을 복수회 반복하는 것이 바람직하다.
상기 피처리체에 형성된 박막을 개질하는 개질용 가스를 상기 반응실 내에 공급하는 개질 공정을 더 포함하고,
상기 제1 공정과 상기 제2 공정을 교대로 복수회 반복하여 상기 피처리체에 원하는 두께의 박막이 형성된 후, 상기 개질 공정을 실행하는 것이 바람직하다.
본 발명의 제2 관점에 따른 박막 형성 방법은,
반응실 내에 수용된 피처리체에 박막을 형성하는 박막 형성 방법으로서,
성막용 가스를 상기 반응실 내에 공급하여, 상기 반응실 내에 수용된 상기 피처리체에 박막을 형성하는 제1 공정과,
개질용 가스를 상기 반응실 내에 공급하여, 상기 피처리체에 형성된 박막을 개질하는 개질 공정을 포함하고,
상기 제1 공정과 상기 개질 공정을 교대로 복수회 반복하거나 또는 상기 제1 공정을 복수회 반복하여 상기 피처리체에 원하는 두께의 박막이 형성된 후, 상기 개질 공정을 실행한다.
상기 개질 공정에서는, 예를 들어 개질용 가스에 산소 및 수소를 사용한다.
상기 피처리체에는, 예를 들어 홈 또는 홀이 형성되어 있다. 이 경우, 상기 홈 또는 상기 홀 상에 박막을 형성한다.
상기 제1 원료 가스는, 예를 들어 디클로로실란이고, 상기 제2 원료 가스는, 예를 들어 아산화질소이다.
본 발명의 제3 관점에 따른 박막 형성 장치는,
반응실 내에 수용된 피처리체에 박막을 형성하는 박막 형성 장치로서,
상기 반응실 내에 제1 원료 가스를 공급하는 제1 원료 가스 공급 수단과,
상기 반응실 내에 제2 원료 가스를 공급하는 제2 원료 가스 공급 수단과,
상기 반응실 내의 압력을 제어하는 압력 제어 수단과,
장치의 각 부를 제어하는 제어 수단을 포함하고,
상기 제어 수단은,
상기 제1 원료 가스 공급 수단과 상기 제2 원료 가스 공급 수단을 제어하여, 상기 제1 원료 가스와 상기 제2 원료 가스를 상기 반응실 내에 공급하는 제1 공정과, 상기 제1 원료 가스 공급 수단을 제어하여 상기 제1 원료 가스의 공급을 정지하고, 상기 제2 원료 가스 공급 수단을 제어하여 상기 제2 원료 가스를 상기 반응실 내에 공급하고, 상기 압력 제어 수단을 제어하여 상기 반응실 내의 압력을 상기 제1 공정에서의 압력과 비교해서 높게 하는 제2 공정을 교대로 복수회 반복하여 상기 피처리체 상에 박막을 형성한다.
상기 반응실 내에 상기 피처리체에 형성된 박막을 개질하는 개질용 가스를 공급하는 개질용 가스 공급 수단을 더 포함하고,
상기 제어 수단은,
상기 제1 공정과, 상기 제2 공정과, 상기 개질용 가스 공급 수단을 제어하여 상기 개질용 가스를 상기 반응실 내에 공급하여 상기 피처리체에 형성된 박막을 개질하는 개질 공정을 복수회 반복하여 상기 피처리체 상에 박막을 형성하는 것이 바람직하다.
상기 반응실 내에 상기 피처리체에 형성된 박막을 개질하는 개질용 가스를 공급하는 개질용 가스 공급 수단을 더 포함하고,
상기 제어 수단은,
상기 제1 공정과 상기 제2 공정을 교대로 복수회 반복하여 상기 피처리체에 원하는 두께의 박막이 형성된 후, 상기 개질용 가스 공급 수단을 제어하여 상기 개질용 가스를 상기 반응실 내에 공급하여 상기 피처리체에 형성된 박막을 개질하는 개질 공정을 실행하여 상기 피처리체 상에 박막을 형성하는 것이 바람직하다.
본 발명의 제4 관점에 따른 박막 형성 장치는,
반응실 내에 수용된 피처리체에 박막을 형성하는 박막 형성 장치로서,
상기 반응실 내에 성막용 가스를 공급하는 성막용 가스 공급 수단과,
상기 반응실 내에 상기 피처리체에 형성된 박막을 개질하는 개질용 가스를 공급하는 개질용 가스 공급 수단과,
장치의 각 부를 제어하는 제어 수단을 포함하고,
상기 제어 수단은,
상기 성막용 가스 공급 수단을 제어하여 상기 성막용 가스를 상기 반응실 내에 공급하여, 상기 반응실 내에 수용된 상기 피처리체에 박막을 형성하는 제1 공정과, 상기 개질용 가스 공급 수단을 제어하여 상기 피처리체에 형성된 박막을 개질하는 개질용 가스를 상기 반응실 내에 공급하는 개질 공정을, 교대로 복수회 반복하거나 또는 상기 제1 공정을 복수회 반복하여 상기 피처리체에 원하는 두께의 박막이 형성된 후 상기 개질 공정을 실행함으로써, 상기 피처리체 상에 박막을 형성한다.
본 발명에 따르면, 양호한 특성을 갖는 박막을 형성할 수 있는 박막 형성 방법 및 박막 형성 장치를 제공할 수 있다.
도 1은 본 발명의 실시 형태의 열처리 장치를 도시하는 도면이다.
도 2는 도 1의 제어부의 구성을 도시하는 도면이다.
도 3은 본 실시 형태의 박막 형성 방법을 설명하는 레시피를 도시하는 도면이다.
도 4는 박막 형성 방법을 설명하는 레시피의 별도예를 도시하는 도면이다.
도 5는 박막 형성 방법을 설명하는 레시피의 별도예를 도시하는 도면이다.
도 6은 박막 형성 방법을 설명하는 레시피의 별도예를 도시하는 도면이다.
도 7은 박막 형성 방법을 설명하는 레시피의 별도예를 도시하는 도면이다.
도 8은 박막 형성 방법을 설명하는 레시피의 별도예를 도시하는 도면이다.
도 9는 박막 형성 방법을 설명하는 레시피의 별도예를 도시하는 도면이다.
이하, 본 발명의 박막 형성 방법 및 박막 형성 장치에 대해서 설명한다. 이하에서는, HTO(High Temperature Oxide)막을 형성하는 경우를 예로 들어 본 발명을 설명한다. 또한, 본 실시 형태에서는, 박막 형성 장치로서, 도 1에 도시하는 뱃치식 종형 열처리 장치를 사용한 경우를 예로 들어 설명한다.
도 1에 도시한 바와 같이, 열처리 장치(1)는 길이 방향이 수직 방향으로 향해진 대략 원통 형상의 반응관(2)(반응실)을 구비하고 있다. 반응관(2)은 내관(3)과, 내관(3)을 덮음과 함께 내관(3)과 일정한 간격을 갖도록 형성된 천장이 있는 외관(4)으로 구성된 이중관 구조를 갖는다. 내관(3) 및 외관(4)은 내열 및 내부식성이 우수한 재료, 예를 들어 석영에 의해 형성되어 있다.
외관(4)의 하방에는, 통 형상으로 형성된 스테인리스강(SUS)으로 이루어지는 매니폴드(5)가 배치되어 있다. 매니폴드(5)는 외관(4)의 하단과 기밀하게 접속되어 있다. 또한, 내관(3)은 매니폴드(5)의 내벽으로부터 돌출됨과 함께, 매니폴드(5)와 일체로 형성된 지지 링(6)에 지지되어 있다.
매니폴드(5)의 하방에는 덮개(7)가 배치되고, 보트 엘리베이터(8)에 의해 덮개(7)는 상하 이동 가능하게 구성되어 있다. 그리고, 보트 엘리베이터(8)에 의해 덮개(7)가 상승하면, 매니폴드(5)의 하방측(노구 부분)이 폐쇄되고, 보트 엘리베이터(8)에 의해 덮개(7)가 하강하면, 매니폴드(5)의 하방측(노구 부분)이 개구된다.
덮개(7)에는, 예를 들어 석영으로 이루어지는 웨이퍼 보트(9)가 적재되어 있다. 웨이퍼 보트(9)는 피처리체, 예를 들어 반도체 웨이퍼(10)가 수직 방향으로 소정의 간격을 두고 복수매 수용 가능하게 구성되어 있다.
반응관(2) 주위에는, 반응관(2)을 둘러싸도록 단열체(11)가 설치되어 있다. 단열체(11)의 내벽면에는, 예를 들어 저항 발열체로 이루어지는 승온용 히터(12)가 설치되어 있다. 이 승온용 히터(12)에 의해 반응관(2)의 내부가 소정의 온도로 가열되고, 그 결과 반도체 웨이퍼(10)가 소정의 온도로 가열된다.
매니폴드(5)의 측면에는, 복수의 처리 가스 도입관(13)이 삽입 관통(접속)되어 있다. 또한, 도 1에서는 처리 가스 도입관(13)을 1개만 그리고 있다. 처리 가스 도입관(13)은 내관(3) 내를 접하도록 배치되어 있다. 예를 들어, 도 1에 도시한 바와 같이, 처리 가스 도입관(13)은 지지 링(6)으로부터 하방(내관(3)의 하방)의 매니폴드(5)의 측면에 삽입 관통되어 있다.
처리 가스 도입관(13)은 매스 플로우 컨트롤러(도시하지 않음) 등을 통해서, 처리 가스 공급원(도시하지 않음)에 접속되어 있다. 따라서, 처리 가스 공급원으로부터 처리 가스 도입관(13)을 통해서 원하는 양의 처리 가스가 반응관(2) 내에 공급된다. 본 실시 형태에서는, HTO막을 형성하기 때문에, 처리 가스 도입관(13)으로부터 공급되는 성막용 가스(원료 가스)는, 예를 들어 실리콘 소스로서의 디클로로실란(DCS), 산화제로서의 아산화질소(N2O)이다.
매니폴드(5)의 측면에는 반응관(2) 내의 가스를 배기하기 위한 배기구(14)가 설치되어 있다. 배기구(14)는 지지 링(6)으로부터 상방에 설치되어 있고, 반응관(2) 내의 내관(3)과 외관(4) 사이에 형성된 공간으로 연통한다. 그리고, 내관(3)에서 발생한 배기 가스 등이 내관(3)과 외관(4) 사이의 공간을 통과해서 배기구(14)로 배기된다.
매니폴드(5)의 측면의 배기구(14)의 하방에는, 퍼지 가스 공급관(15)이 삽입 관통되어 있다. 퍼지 가스 공급관(15)에는 퍼지 가스 공급원(도시하지 않음)이 접속되어 있고, 퍼지 가스 공급원으로부터 퍼지 가스 공급관(15)을 통해서 원하는 양의 퍼지 가스, 예를 들어 질소 가스가 반응관(2) 내에 공급된다.
배기구(14)에는 배기관(16)이 기밀하게 접속되어 있다. 배기관(16)에는 그 상류측으로부터, 밸브(17)와, 진공 펌프(18)가 개재 설치되어 있다. 밸브(17)는 배기관(16)의 개방도를 조정하여, 반응관(2) 내의 압력을 소정의 압력으로 제어한다. 예를 들어, 밸브(17)의 오리피스 또는 컨덕턴스를 조정하여, 오리피스를 작게 또는 컨덕턴스를 작게 함으로써 반응관(2) 내의 압력을 상승시킨다. 또한, 밸브(17)를 완전히 클로즈해도 된다. 또한, 처리 가스의 유량을 증가시켜서, 반응관(2) 내의 압력을 높여도 된다. 진공 펌프(18)는 배기관(16)을 통해서 반응관(2) 내의 가스를 배기하는 동시에, 반응관(2) 내의 압력을 조정한다.
또한, 배기관(16)에는 트랩(도시하지 않음), 스크러버(도시하지 않음) 등이 개재 설치되어 있고, 반응관(2)으로부터 배기된 배기 가스를, 무해화한 후, 열처리 장치(1) 밖으로 배기하도록 구성되어 있다.
또한, 열처리 장치(1)는 장치 각 부의 제어를 행하는 제어부(100)를 구비하고 있다. 도 2에 제어부(100)의 구성을 도시한다. 도 2에 도시한 바와 같이, 제어부(100)에는 조작 패널(121), 온도 센서(군)(122), 압력계(군)(123), 히터 컨트롤러(124), 매스 플로우 컨트롤러(MFC: Mass Flow Controller) 제어부(125), 밸브 제어부(126) 등이 접속되어 있다.
조작 패널(121)은 표시 화면과 조작 버튼을 구비하고, 오퍼레이터의 조작 지시를 제어부(100)에 전달하고, 또한 제어부(100)로부터의 여러가지 정보를 표시 화면에 표시한다.
온도 센서(군)(122)는 반응관(2) 내, 처리 가스 도입관(13) 내, 배기관(16) 내 등의 각 부의 온도를 측정하고, 그 측정값을 제어부(100)에 통지한다.
압력계(군)(123)는 반응관(2) 내, 처리 가스 도입관(13) 내, 배기관(16) 내 등의 각 부의 압력을 측정하고, 그 측정값을 제어부(100)에 통지한다.
히터 컨트롤러(124)는 승온용 히터(12)를 개별로 제어하기 위한 것으로, 제어부(100)로부터의 지시에 응답하여, 이들에 통전해서 이들을 가열하고, 또한 이들 소비 전력을 개별로 측정하여, 제어부(100)에 통지한다.
MFC 제어부(125)는 처리 가스 도입관(13) 및 퍼지 가스 공급관(15)에 설치된 MFC(도시하지 않음)를 제어하여, 이들에 흐르는 가스의 유량을 제어부(100)로부터 지시된 양으로 함과 함께, 실제로 흐른 가스의 유량을 측정하여, 제어부(100)에 통지한다.
밸브 제어부(126)는 각 관에 배치된 밸브의 개방도를 제어부(100)로부터 지시된 값으로 제어한다.
제어부(100)는 레시피 기억부(111)와, ROM(Read Only Memory)(112)과, RAM(Random Access Memory)(113)과, I/O 포트(Input/Output Port)(114)와, CPU(Central Processing Unit)(115)와, 이들을 서로 접속하는 버스(116)로 구성되어 있다.
레시피 기억부(111)에는 셋업용 레시피와 복수의 프로세스용 레시피가 기억되어 있다. 열처리 장치(1)의 제조 당초에는 셋업용 레시피만이 저장된다. 셋업용 레시피는 각 열처리 장치에 따른 열 모델 등을 생성할 때에 실행되는 것이다. 프로세스용 레시피는 유저가 실제로 행하는 열처리(프로세스)마다 준비되는 레시피이며, 예를 들어 반응관(2)에의 반도체 웨이퍼(10)의 로드로부터, 처리 완료된 반도체 웨이퍼(10)를 언로드할 때까지의, 각 부의 온도의 변화, 반응관(2) 내의 압력 변화, 처리 가스의 공급의 개시 및 정지의 타이밍과 공급량 등을 규정한다.
ROM(112)은 EEPROM(Electrically Erasable Programmable Read Only Memory), 플래시 메모리, 하드 디스크 등으로 구성되고, CPU(115)의 동작 프로그램 등을 기억하는 기록 매체이다.
RAM(113)은 CPU(115)의 워크 에리어 등으로서 기능한다.
I/O 포트(114)는 조작 패널(121), 온도 센서(군)(122), 압력계(군)(123), 히터 컨트롤러(124), MFC 제어부(125), 밸브 제어부(126) 등에 접속되며, 데이터나 신호의 입출력을 제어한다.
CPU(115)는 제어부(100)의 중추를 구성하고, ROM(112)에 기억된 제어 프로그램을 실행하고, 조작 패널(121)로부터의 지시에 따라 레시피 기억부(111)에 기억되어 있는 레시피(프로세스용 레시피)를 따라, 열처리 장치(1)의 동작을 제어한다.즉, CPU(115)는 온도 센서(군)(122), 압력계(군)(123), MFC 제어부(125) 등에 반응관(2) 내, 처리 가스 도입관(13) 내 및 배기관(16) 내의 각 부의 온도, 압력, 유량 등을 측정시키고, 이 측정 데이터에 기초해서, 히터 컨트롤러(124), MFC 제어부(125), 밸브 제어부(126) 등에 제어 신호 등을 출력하여, 상기 각 부가 프로세스용 레시피에 따르도록 제어한다.
버스(116)는 각 부의 사이에서 정보를 전달한다.
다음으로, 이상과 같이 구성된 열처리 장치(1)를 사용한 막의 형성 방법에 대해서 설명한다. 이하의 설명에 있어서, 열처리 장치(1)를 구성하는 각 부의 동작은 제어부(100)(CPU(115))에 의해 제어되고 있다. 또한, 각 처리에서의 반응관(2) 내의 온도, 압력, 가스의 유량 등은, 전술한 바와 같이, 제어부(100)(CPU(115))가 히터 컨트롤러(124)(승온용 히터(12)), MFC 제어부(125), 밸브 제어부(126) 등을 제어함으로써, 예를 들어 도 3에 도시한 바와 같은 레시피에 따른 조건으로 설정된다. 또한, 본 실시 형태에서는, 도 3에 도시한 바와 같이, 실리콘 소스로서 DCS(디클로로실란), 산화제로서 N2O(아산화질소)를 사용해서 실리콘 산화막(HTO막)을 형성하는 방법을 예로 들어 설명한다.
우선, 도 3의 (a)에 도시한 바와 같이, 반응관(2)(내관(3)) 내를 소정의 온도, 예를 들어 600℃로 설정한다. 또한, 도 3의 (e)에 도시한 바와 같이, 퍼지 가스 공급관(15)으로부터 내관(3)(반응관(2)) 내에 소정량의 질소를 공급한다. 다음으로, 반도체 웨이퍼(10)가 수용되어 있는 웨이퍼 보트(9)를 덮개(7) 상에 적재한다. 그리고, 보트 엘리베이터(8)에 의해 덮개(7)를 상승시켜, 반도체 웨이퍼(10)(웨이퍼 보트(9))를 반응관(2) 내에 로드한다(로드 공정). 또한, 반도체 웨이퍼(10)의 표면에는, 소위 STI 구조의 홈 및/또는 홀이 형성되어 있다.
계속해서, 도 3의 (e)에 도시한 바와 같이, 퍼지 가스 공급관(15)으로부터 내관(3) 내에 소정량의 질소를 공급함과 함께, 도 3의 (a)에 도시한 바와 같이 반응관(2) 내를 소정의 온도로 설정한다. 또한, 반응관(2) 내의 가스를 배출하여, 도 3의 (b)에 도시한 바와 같이 반응관(2)을 소정의 압력으로 감압한다. 여기서, 반응관(2) 내의 온도는, 예를 들어 800℃, 압력은 예를 들어 0.1Torr(13.3㎩)로 한다. 그리고, 반응관(2) 내를 이 온도 및 압력으로 안정시킨다(안정화 공정).
반응관(2) 내를 소정의 압력 및 온도로 안정시킨 후, 도 3의 (c)에 도시한 바와 같이, 처리 가스 도입관(13)으로부터 반응관(2) 내에 소정량의 제1 성막용 가스(원료 가스)로서, DCS를 도입한다. 여기서, 본 실시 형태에서는, 도 3의 (c)에 도시한 바와 같이 DCS는 200sccm의 유량으로 공급된다. 제1 성막용 가스를 공급함과 함께, 도 3의 (d)에 도시한 바와 같이, 제2 성막용 가스(원료 가스)로서, N2O를 처리 가스 도입관(13)으로부터 반응관(2) 내에 공급한다. N2O는 도 3의 (d)에 도시한 바와 같이 200sccm의 유량으로 공급된다. 이때, 반응관(2) 내의 압력은, 도 3의 (b)에 도시한 바와 같이 0.1Torr(13.3㎩)로 유지된다(제1 공정). 이 제1 공정은 소정의 시간, 예를 들어 1분 정도 유지한다.
여기서, 제1 공정에서의 성막용 가스의 공급에 있어서는, 반응관(2) 내에 갑자기 설정값(200sccm)의 유량의 성막용 가스를 흘리는 것이 아니라, 서서히 성막용 가스의 유량을 증가시킴으로써, 설정값의 유량의 성막용 가스를 반응관(2) 내에 공급하는 것이 바람직하다.
다음으로, 도 3의 (c)에 도시한 바와 같이, 제1 성막용 가스인 DCS의 공급을 정지함과 함께, 도 3의 (b)에 도시한 바와 같이 반응관(2) 내의 압력을 제1 공정에서의 압력과 비교해서 상승시킨다. 예를 들어 반응관(2) 내의 압력은, 0.1Torr 내지 10Torr(13.3㎩ 내지 1330㎩)로 한다. 또한, 도 3의 (d)에 도시한 바와 같이, 제2 성막용 가스인 N2O의 공급은 정지하지 않고, 200sccm의 유량을 유지한다(제2 공정). 또한, 이 제2 공정은 소정의 시간, 예를 들어 1분 정도 유지한다.
계속해서, 도 3의 (b) 및 (c)에 도시한 바와 같이, 다시, 제1 성막용 가스로서 DCS를 공급하여, 반응관(2) 내의 압력을 감압하는 제1 공정을 실행한다. 또한, 도 3의 (d)에 도시한 바와 같이 제2 성막용 가스인 N2O의 공급도 유지되고 있다. 이 공정에서도, 제1 성막용 가스의 공급 유량과, 제2 성막용 가스의 공급 유량과, 반응관(2) 내의 압력과, 공정을 유지하는 시간은 1 사이클 전의 사이클에 있어서의 제1 공정과 마찬가지로 한다.
다음으로, 다시, 제1 성막용 가스인 DCS의 공급을 정지함과 함께, 도 3의 (b)에 도시한 바와 같이 반응관(2) 내의 압력을 상승시키는 제2 공정을 실행한다. 또한, 도 3의 (d)에 도시한 바와 같이 N2O의 공급은 정지하지 않고, 200sccm의 유량을 유지한다. 이 공정에서도, 제2 성막용 가스의 공급 유량과, 반응관(2) 내의 압력과, 공정을 유지하는 시간은 1 사이클 전의 사이클에 있어서의 제2 공정과 마찬가지로 한다.
이와 같이, 제1 공정과 제2 공정을 하나의 사이클로 하고, 이 사이클을 복수회 반복함으로써 반도체 웨이퍼(10) 상에 소정 두께의 HTO막을 형성한다. 또한, 사이클을 반복하는 횟수는, 예를 들어 75회 내지 225회 정도이다. 이 횟수는 필요로 되는 막 두께 등에 따라서 결정된다.
본 실시 형태에서는, 제1 성막용 가스인 DCS의 공급과 정지를 반복하여 행하고, 더하여 제2 성막용 가스인 N2O의 공급은 제1 성막용 가스의 공급과는 연동시키지 않고 유지한 다음, 반응관(2) 내의 압력은 제1 성막용 가스의 공급 시와 비교해서 제1 성막용 가스를 정지하고 있을 때에는 높은 압력으로 한다. 제1 공정에서는, 제2 공정에 비해 저압이기 때문에, DCS와 N2O를, 홈 및/또는 홀이 형성된 반도체 웨이퍼(10) 상에 널리 퍼지게 하기 쉬워진다. 또한, 제2 공정에서는, 제1 공정에 비해 고압으로서 N2O를 공급하고 있으므로, 홈 및/또는 홀이 형성된 반도체 웨이퍼(10) 상에 널리 퍼진 DCS와 N2O의 반응(산화)을 촉진할 수 있다. 따라서, 반도체 웨이퍼(10) 상에 형성되는 HTO막의 커버리지 성능을 향상시키는 것이 가능하게 된다.
소정의 두께의 HTO막이 형성되면, 처리 가스 도입관(13)으로부터의 성막용 가스의 공급을 정지한다. 다음으로, 반응관(2) 내로부터 성막용 가스를 배출하고, 도 3의 (e)에 도시한 바와 같이, 퍼지 가스 공급관(15)으로부터 내관(3) 내에 소정량의 질소를 공급하여, 반응관(2) 내의 가스를 배출한다(퍼지 공정).
계속해서, 도 3의 (a)에 도시한 바와 같이, 반응관(2) 내를 소정의 온도, 예를 들어 600℃로 설정한다. 또한, 반응관(2) 내의 가스를 배출하여, 반응관(2)을 상압으로 되돌린다. 그리고, 보트 엘리베이터(8)에 의해 덮개(7)를 하강시킴으로써, 반도체 웨이퍼(10)(웨이퍼 보트(9))를 반응관(2) 내로부터 언로드한다(언로드 공정). 이에 의해, 적층막의 형성이 종료된다.
본 발명은 상기의 실시 형태에 한정되지 않고, 다양한 변형, 응용이 가능하다.
상기 실시 형태에서는, 각 사이클의 성막용 가스(DCS 및 N2O)의 유량을 동일하게 하는 구성을 예로 들고 있지만, 예를 들어 도 4에 도시한 바와 같이, 사이클에 따라 성막용 가스의 유량을 다르게 해도 된다. 또한, 각 사이클에 있어서의 DCS와 N2O의 유량을 다르게 해도 된다.
또한, 상기 실시 형태에서는, 제1 공정과 제2 공정에서 성막용 가스(DCS 및N2O)의 유량을 동일하게 하는 구성을 예로 들고 있지만, 예를 들어 제1 공정에서의 성막용 가스의 유량과 제2 공정에서의 성막용 가스의 유량을 다르게 해도 된다. 또한, 제1 공정의 유지 시간을 1분, 제2 공정의 유지 시간을 2분으로 하는 바와 같이 제2 공정을 제1 공정과 비교해서 길게 설정해도 되고, 반대로 제1 공정을 제2 공정과 비교해서 길게 설정해도 된다.
또한, 본 발명은 제2 공정에서의 반응관(2) 내의 압력(P2, P4)을 제1 공정에서의 반응관(2) 내의 압력(P1, P3)과 비교해서 높게 하는 것이면 되고, 사이클에 따라 반응관(2) 내의 압력을 다르게 해도 된다. 예를 들어, 도 5에 도시한 바와 같이, 사이클 A를 복수 사이클 행한 후, 사이클 B를 복수 사이클 행해도 된다. 이 경우, 사이클 B에서의 제1 공정에서의 반응관(2) 내의 압력 P3을 사이클 A에서의 제1 공정에서의 반응관(2) 내의 압력 P1보다 높게(P3>P1) 하는 것이 바람직하다. 커버리지 성능을 향상시킬 수 있음과 함께, 데포지션 레이트를 향상시킬 수 있기 때문이다. 또한, 제2 공정에서의 반응관(2) 내의 압력(P2, P4)을 크게 변화시키는, 예를 들어 사이클 B에서의 제2 공정에서의 반응관(2) 내의 압력 P4를 사이클 A에서의 제2 공정에서의 반응관(2) 내의 압력 P2보다 매우 높게(P4≫P2) 해도 된다. 또한, 상기 실시 형태에서는, 반응관(2) 내의 온도를 800℃로 하는 경우를 예로 들어 설명하고 있지만, 반응관(2) 내의 온도는 적절히 변경 가능하다.
상기 실시 형태에서는, 제1 성막용 가스인 실리콘 소스로서 DCS, 제2 성막용 가스로서 산화제인 N2O를 사용한 경우를 예로 들어 본 발명을 설명했지만, HTO막(SiO2막)을 형성할 수 있는 것이면, 다른 원료를 사용해도 된다. 예를 들어, 실리콘 소스로서, 테트라클로로실란, 트리클로로실란, 헥사클로로디실란(HCD)을 사용해도 된다. 또한, 산화제로서, 산화질소(NO), 이산화질소(NO2), 오존(O3)을 사용해도 된다. 또한, HTO막에 한정되지 않고, SiN막을 형성해도 된다.
또한, 도 6에 도시한 바와 같이, 제1, 제2 공정 후에, 처리 가스 도입관(13)으로부터 반응관(2) 내에 개질용 가스, 예를 들어 산소(O2)와 수소(H2)를 공급하여, 반응관(2) 내에 산소를 포함하는 활성종(라디칼)을 발생시키는 개질 공정을 실시해도 된다. 개질용 가스는 수소(H2)와 산소(O2)로 한정되는 것은 아니며, HTO막의 개질이 가능한 것이면 되고, 예를 들어 수소(H2)와 아산화질소(N2O)여도 된다. 개질 공정은, 소정의 시간, 예를 들어 1초 내지 60초, 바람직하게는 5초 내지 15초 정도 실행한다. 이 개질 공정에 의해, 형성된 박막(HTO막)과 활성화된 산소 및 수소(라디칼)가 반응하여, HTO막에 포함되는 염소(Cl), 수소(H), 탄소(C), 질소(N) 등의 불순물의 농도를 저감할 수 있다. 예를 들어, 형성된 HTO막 중의 염소 농도를 측정한 바, 개질 공정을 실시함으로써, HTO막 중의 염소 농도를 크게 저감할 수 있는 것을 확인하였다. 또한, HTO막의 막 스트레스를 크게 할 수 있다. 특히, Y축 방향의 막 스트레스에 대해서는, 4배 가까이 크게 할 수 있는 것을 확인하였다.
여기서, 개질 공정에서는 반응관(2) 내의 온도를 700℃ 내지 900℃로 설정하는 것이 바람직하다. 반응관(2) 내의 온도를 이러한 범위로 함으로써, HTO막 중에 포함되는 염소, 수소 등의 불순물이 제거되기 쉽고, HTO막을 개질하기 쉽기 때문이다. 특히, 개질 공정에서는 반응관(2) 내의 온도를 HTO막의 성막 온도(800℃) 근방, 예를 들어 750℃ 내지 850℃로 하는 것이 바람직하다. 이러한 범위로 함으로써, 반응관(2) 내의 온도 제어를 용이하게 할 수 있기 때문이다.
또한, 개질 공정에서는, 반응관(2) 내의 압력을 1.33㎩ 내지 133㎩(0.01Torr 내지 1Torr)로 설정하는 것이 바람직하다. 반응관(2) 내의 압력을 이러한 범위로 함으로써, HTO막 중에 포함되는 염소, 수소 등의 불순물이 제거되기 쉽고, HTO막을 개질하기 쉽기 때문이다. 특히, 반응관(2) 내의 압력을 6.65㎩ 내지 13.3㎩(0.05Torr 내지 0.1Torr)로 하는 것이 바람직하다. 이러한 범위로 함으로써, 형성되는 HTO막의 면간 균일성이 향상되기 때문이다.
또한, 개질 공정에서는, 수소(H2)와 산소(O2)의 공급량의 비를 1:1 내지 1:3인 것이 바람직하고, 1:1.5 내지 1:2인 것이 보다 바람직하다. 산소와 수소의 혼합비를 이러한 범위로 함으로써, HTO막에 포함되는 불순물의 농도를 저감할 수 있음과 함께, HTO막의 막 스트레스를 크게 할 수 있기 때문이다.
또한, 개질 공정은 사이클마다 실행하지 않아도 된다. 예를 들어, 최초의 수 사이클까지는 개질 공정을 실행하지 않고 제1 및 제2 공정을 실행하고, 그 후, 제1, 제2 공정 및 개질 공정을 실행해도 된다. 또한, 도 7에 도시한 바와 같이, 원하는 두께의 HTO막을 형성한 후, 개질 공정을 실행해도 된다. 이들의 경우에도, HTO막에 포함되는 불순물의 농도를 저감할 수 있음과 함께, HTO막의 막 스트레스를 크게 할 수 있다.
또한, 도 8에 도시한 바와 같이, 제2 공정 대신에, 개질 공정을 실행해도 된다. 이 경우에도, HTO막에 포함되는 불순물의 농도를 저감할 수 있음과 함께, HTO막의 막 스트레스를 크게 할 수 있다. 이 경우, HTO막의 염소 농도를 크게 저감할 수 있고, 특히 막 중의 깊이 방향에 있어서의 농도의 저하가 현저한 것을 확인하였다.
또한, 도 9에 도시한 바와 같이, 제1 공정을 복수회 반복하여 원하는 두께의 HTO막을 형성한 후, 개질 공정을 실행해도 된다. 이 경우에도, HTO막에 포함되는 불순물의 농도를 저감할 수 있음과 함께, HTO막의 막 스트레스를 크게 할 수 있다.
상기 실시 형태에서는, 막의 형성 장치로서, 이중관 구조의 뱃치식 종형 열처리 장치를 사용한 경우를 예로 들어 본 발명을 설명했지만, 예를 들어 본 발명을 단관 구조의 뱃치식 열처리 장치에 적용하는 것도 가능하다.
본 발명의 실시 형태에 따른 제어부(100)는 전용 시스템에 의하지 않고, 통상의 컴퓨터 시스템을 사용해서 실현 가능하다. 예를 들어, 범용 컴퓨터에, 상술한 처리를 실행하기 위한 프로그램을 저장한 기록 매체(플렉시블 디스크, CD-ROM(Compact Disc Read Only Memory) 등)로부터 당해 프로그램을 인스톨함으로써, 상술한 처리를 실행하는 제어부(100)를 구성할 수 있다.
그리고, 이들 프로그램을 공급하기 위한 수단은 임의이다. 상술한 바와 같이 소정의 기록 매체를 통해서 공급할 수 있는 것 외에, 예를 들어 통신 회선, 통신 네트워크, 통신 시스템 등을 통해서 공급해도 된다. 이 경우, 예를 들어 통신 네트워크의 게시판(BBS; Bulletin Board System)에 당해 프로그램을 게시하고, 이것을 네트워크를 통해서 반송파에 중첩해서 제공해도 된다. 그리고, 이와 같이 제공된 프로그램을 기동하여, OS(Operating System)의 제어 하에서, 다른 어플리케이션 프로그램과 마찬가지로 실행함으로써, 상술한 처리를 실행할 수 있다.
본 발명은, 박막 형성 방법 및 박막 형성 장치에 유용하다.
1 : 열처리 장치
2 : 반응관
3 : 내관
4 : 외관
5 : 매니폴드
6 : 지지 링
7 : 덮개
8 : 보트 엘리베이터
9 : 웨이퍼 보트
10 : 반도체 웨이퍼
11 : 단열체
12 : 승온용 히터
13 : 처리 가스 도입관
14 : 배기구
15 : 퍼지 가스 공급관
16 : 배기관
17 : 밸브
18 : 진공 펌프
100 : 제어부
111 : 레시피 기억부
112 : ROM
113 : RAM
114 : I/O 포트
115 : CPU
116 : 버스
121 : 조작 패널
122 : 온도 센서
123 : 압력계
124 : 히터 컨트롤러
125 : MFC 제어부
126 : 밸브 제어부

Claims (2)

  1. 반응실 내에 수용된 피처리체에 박막을 형성하는 박막 형성 방법으로서,
    성막용 가스를 상기 반응실 내에 공급하여, 상기 반응실 내에 수용된 상기 피처리체에 박막을 형성하는 제1 공정과,
    개질용 가스를 상기 반응실 내에 공급하여, 상기 피처리체에 형성된 박막을 개질하는 개질 공정을 포함하고,
    상기 제1 공정을 복수회 반복하여 상기 피처리체에 원하는 두께의 박막이 형성된 후, 상기 개질 공정을 실행하고,
    상기 성막용 가스는, 실리콘 소스로서 디클로로실란, 테트라클로로실란, 트리클로로실란 및 헥사클로로디실란 중 어느 하나와, 산화제로서 아산화질소, 산화질소, 이산화질소 및 오존 중 어느 하나로 구성되고,
    상기 제1 공정은 실리콘 소스와 산화제를 공급하는 공정과, 실리콘 소스의 공급을 정지하고 산화제를 공급하는 공정을 포함하고, 실리콘 소스를 공급할 때보다 실리콘 소스의 공급을 정지하고 있을 때 반응실 내의 압력을 높게 하며,
    상기 개질용 가스는 수소와 산소, 또는 수소와 아산화질소로 구성되는, 박막 형성 방법.
  2. 반응실 내에 수용된 피처리체에 박막을 형성하는 박막 형성 장치로서,
    상기 반응실 내에 성막용 가스를 공급하는 성막용 가스 공급 수단과,
    상기 반응실 내에 상기 피처리체에 형성된 박막을 개질하는 개질용 가스를 공급하는 개질용 가스 공급 수단과,
    상기 반응실 내의 압력을 제어하는 압력 제어 수단과,
    장치의 각 부를 제어하는 제어 수단을 포함하고,
    상기 제어 수단은, 상기 성막용 가스 공급 수단을 제어하여 상기 성막용 가스를 상기 반응실 내에 공급하여 상기 반응실 내에 수용된 상기 피처리체에 박막을 형성하는 제1 공정을 복수회 반복하여 상기 피처리체에 원하는 두께의 박막이 형성된 후, 상기 개질용 가스 공급 수단을 제어하여 상기 피처리체에 형성된 박막을 개질하는 개질용 가스를 상기 반응실 내에 공급하는 개질 공정을 실행함으로써, 상기 피처리체 상에 박막을 형성하고,
    상기 성막용 가스는, 실리콘 소스로서 디클로로실란, 테트라클로로실란, 트리클로로실란 및 헥사클로로디실란 중 어느 하나와, 산화제로서 아산화질소, 산화질소, 이산화질소 및 오존 중 어느 하나로 구성되고,
    상기 제어 수단은 상기 제1 공정에서, 상기 성막용 가스 공급 수단을 제어하여, 실리콘 소스 및 산화제를 공급하는 공정과, 실리콘 소스의 공급을 정지하고 산화제를 공급하는 공정을 실행하며,
    상기 압력 제어 수단은 실리콘 소스를 공급할 때보다 실리콘 소스의 공급을 정지하고 있을 때 반응실 내의 압력을 높게 하며,
    상기 개질용 가스는 수소와 산소, 또는 수소와 아산화질소로 구성되는, 박막 형성 장치.
KR1020160056765A 2012-12-18 2016-05-10 박막 형성 방법 및 박막 형성 장치 KR101716085B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JPJP-P-2012-275271 2012-12-18
JP2012275271 2012-12-18
JP2013215719A JP6017396B2 (ja) 2012-12-18 2013-10-16 薄膜形成方法および薄膜形成装置
JPJP-P-2013-215719 2013-10-16

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020130154845A Division KR101662877B1 (ko) 2012-12-18 2013-12-12 박막 형성 방법 및 박막 형성 장치

Publications (2)

Publication Number Publication Date
KR20160055777A KR20160055777A (ko) 2016-05-18
KR101716085B1 true KR101716085B1 (ko) 2017-03-13

Family

ID=50910281

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020130154845A KR101662877B1 (ko) 2012-12-18 2013-12-12 박막 형성 방법 및 박막 형성 장치
KR1020160056765A KR101716085B1 (ko) 2012-12-18 2016-05-10 박막 형성 방법 및 박막 형성 장치

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020130154845A KR101662877B1 (ko) 2012-12-18 2013-12-12 박막 형성 방법 및 박막 형성 장치

Country Status (5)

Country Link
US (2) US9139904B2 (ko)
JP (1) JP6017396B2 (ko)
KR (2) KR101662877B1 (ko)
CN (1) CN103871866B (ko)
TW (1) TWI591200B (ko)

Families Citing this family (174)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP6017396B2 (ja) * 2012-12-18 2016-11-02 東京エレクトロン株式会社 薄膜形成方法および薄膜形成装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
NL2015215B1 (en) * 2015-07-23 2017-02-08 Meyer Burger (Netherlands) B V Programmable deposition apparatus.
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN105609411A (zh) * 2016-02-26 2016-05-25 上海华力微电子有限公司 改善hcd氮化硅片均匀性的方法
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP6691152B2 (ja) * 2018-02-07 2020-04-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11703229B2 (en) * 2018-12-05 2023-07-18 Yi-Ming Hung Temperature adjustment apparatus for high temperature oven
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007515786A (ja) 2003-11-17 2007-06-14 アヴィザ テクノロジー インコーポレイテッド 高誘電率誘電体膜の窒化方法
KR101084590B1 (ko) 2004-01-14 2011-11-17 도쿄엘렉트론가부시키가이샤 반도체장치 및 그 제조방법

Family Cites Families (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6117151A (ja) * 1984-07-03 1986-01-25 Minolta Camera Co Ltd プラズマcvd装置
JPS62273714A (ja) * 1986-05-21 1987-11-27 Clarion Co Ltd 有機金属ガス供給方法および装置
KR900007686B1 (ko) * 1986-10-08 1990-10-18 후지쓰 가부시끼가이샤 선택적으로 산화된 실리콘 기판상에 에피택셜 실리콘층과 다결정 실리콘층을 동시에 성장시키는 기상 증착방법
JP2789587B2 (ja) 1988-01-08 1998-08-20 日本電気株式会社 絶縁薄膜の製造方法
JPH0293071A (ja) 1988-09-29 1990-04-03 Toshiba Corp 薄膜の形成方法
JP2888253B2 (ja) * 1989-07-20 1999-05-10 富士通株式会社 化学気相成長法およびその実施のための装置
JP2936623B2 (ja) 1990-02-26 1999-08-23 日本電気株式会社 半導体装置の製造方法
FR2670399B1 (fr) * 1990-12-14 1994-08-05 Bp Chemicals Snc Procede et dispositif d'introduction d'une suspension dans un reacteur.
US5220515A (en) * 1991-04-22 1993-06-15 Applied Materials, Inc. Flow verification for process gas in a wafer processing system apparatus and method
US5565038A (en) * 1991-05-16 1996-10-15 Intel Corporation Interhalogen cleaning of process equipment
EP0518524B1 (en) * 1991-05-30 1996-09-04 Hitachi, Ltd. Valve and semiconductor fabricating equipment using the same
JP3040212B2 (ja) * 1991-09-05 2000-05-15 株式会社東芝 気相成長装置
US5368685A (en) * 1992-03-24 1994-11-29 Hitachi, Ltd. Dry etching apparatus and method
JP3265042B2 (ja) 1993-03-18 2002-03-11 東京エレクトロン株式会社 成膜方法
FR2710635B1 (fr) * 1993-09-27 1996-02-09 Europ Propulsion Procédé de fabrication d'un matériau composite à interphase lamellaire entre fibres de renfort et matrice, et matériau tel qu'obtenu par le procédé.
US5777300A (en) * 1993-11-19 1998-07-07 Tokyo Electron Kabushiki Kaisha Processing furnace for oxidizing objects
JP2978704B2 (ja) * 1993-11-29 1999-11-15 日本電気株式会社 薄膜形成方法
US5685912A (en) * 1995-06-20 1997-11-11 Sony Corporation Pressure control system for semiconductor manufacturing equipment
US6030902A (en) * 1996-02-16 2000-02-29 Micron Technology Inc Apparatus and method for improving uniformity in batch processing of semiconductor wafers
US5968374A (en) * 1997-03-20 1999-10-19 Lam Research Corporation Methods and apparatus for controlled partial ashing in a variable-gap plasma processing chamber
US6149974A (en) * 1997-05-05 2000-11-21 Applied Materials, Inc. Method for elimination of TEOS/ozone silicon oxide surface sensitivity
US6398621B1 (en) * 1997-05-23 2002-06-04 Applied Materials, Inc. Carrier head with a substrate sensor
US5957751A (en) * 1997-05-23 1999-09-28 Applied Materials, Inc. Carrier head with a substrate detection mechanism for a chemical mechanical polishing system
US7393561B2 (en) * 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
JPH11319545A (ja) * 1997-12-15 1999-11-24 Canon Inc プラズマ処理方法及び基体の処理方法
US6022483A (en) * 1998-03-10 2000-02-08 Intergrated Systems, Inc. System and method for controlling pressure
US6626186B1 (en) * 1998-04-20 2003-09-30 Tokyo Electron Limited Method for stabilizing the internal surface of a PECVD process chamber
JP3830670B2 (ja) * 1998-09-03 2006-10-04 三菱電機株式会社 半導体製造装置
US6383300B1 (en) * 1998-11-27 2002-05-07 Tokyo Electron Ltd. Heat treatment apparatus and cleaning method of the same
US6186154B1 (en) * 1998-12-07 2001-02-13 Taiwan Semiconductor Manufacturing Company Find end point of CLF3 clean by pressure change
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6540838B2 (en) * 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
JP2000306884A (ja) * 1999-04-22 2000-11-02 Mitsubishi Electric Corp プラズマ処理装置およびプラズマ処理方法
JP2001068468A (ja) * 1999-08-30 2001-03-16 Tokyo Electron Ltd 成膜方法
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
JP4547744B2 (ja) * 1999-11-17 2010-09-22 東京エレクトロン株式会社 プリコート膜の形成方法、成膜装置のアイドリング方法、載置台構造及び成膜装置
JP2001274107A (ja) * 2000-03-28 2001-10-05 Nec Kyushu Ltd 拡散炉
US7011710B2 (en) * 2000-04-10 2006-03-14 Applied Materials Inc. Concentration profile on demand gas delivery system (individual divert delivery system)
KR100647442B1 (ko) * 2000-06-07 2006-11-17 주성엔지니어링(주) 원자층 증착법을 이용한 박막 형성방법
US6863019B2 (en) * 2000-06-13 2005-03-08 Applied Materials, Inc. Semiconductor device fabrication chamber cleaning method and apparatus with recirculation of cleaning gas
US20040224504A1 (en) * 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US6585823B1 (en) * 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
JP2002129337A (ja) * 2000-10-24 2002-05-09 Applied Materials Inc 気相堆積方法及び装置
US20030000924A1 (en) * 2001-06-29 2003-01-02 Tokyo Electron Limited Apparatus and method of gas injection sequencing
JP4894987B2 (ja) * 2001-06-29 2012-03-14 三洋電機株式会社 表示用パネルの製造方法
KR100425463B1 (ko) * 2001-09-10 2004-03-30 삼성전자주식회사 산소를 함유하는 활성화된 기체 분위기에서의 탄탈륨산화막 형성 방법 및 유전막 형성 방법
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6566183B1 (en) * 2001-12-12 2003-05-20 Steven A. Chen Method of making a transistor, in particular spacers of the transistor
WO2003060970A1 (fr) * 2002-01-09 2003-07-24 Renesas Technology Corp. Procede de fabrication d'un dispositif de circuit integre a semi-conducteur
KR100452318B1 (ko) * 2002-01-17 2004-10-12 삼성전자주식회사 압력조절시스템 및 이를 이용하는 압력조절방법
JP3891848B2 (ja) * 2002-01-17 2007-03-14 東京エレクトロン株式会社 処理装置および処理方法
JP4090346B2 (ja) * 2002-02-28 2008-05-28 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
JP3985899B2 (ja) * 2002-03-28 2007-10-03 株式会社日立国際電気 基板処理装置
US7521089B2 (en) * 2002-06-13 2009-04-21 Tokyo Electron Limited Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers
JP4393071B2 (ja) * 2002-07-12 2010-01-06 東京エレクトロン株式会社 成膜方法
US20050136657A1 (en) * 2002-07-12 2005-06-23 Tokyo Electron Limited Film-formation method for semiconductor process
JP3883918B2 (ja) * 2002-07-15 2007-02-21 日本エー・エス・エム株式会社 枚葉式cvd装置及び枚葉式cvd装置を用いた薄膜形成方法
JP4154471B2 (ja) * 2002-11-15 2008-09-24 富士通株式会社 半導体装置の製造方法
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7056806B2 (en) * 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7258892B2 (en) * 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US20050221021A1 (en) * 2004-03-31 2005-10-06 Tokyo Electron Limited Method and system for performing atomic layer deposition
US7628860B2 (en) * 2004-04-12 2009-12-08 Mks Instruments, Inc. Pulsed mass flow delivery system and method
JP3945519B2 (ja) * 2004-06-21 2007-07-18 東京エレクトロン株式会社 被処理体の熱処理装置、熱処理方法及び記憶媒体
JP2006066884A (ja) * 2004-07-27 2006-03-09 Tokyo Electron Ltd 成膜方法、成膜装置及び記憶媒体
US20060128127A1 (en) * 2004-12-13 2006-06-15 Jung-Hun Seo Method of depositing a metal compound layer and apparatus for depositing a metal compound layer
JP2006279019A (ja) * 2005-03-03 2006-10-12 Sony Corp 薄膜の形成方法および半導体装置の製造方法
JP2007095885A (ja) 2005-09-28 2007-04-12 Seiko Epson Corp 電気光学装置の製造方法
JP4803578B2 (ja) * 2005-12-08 2011-10-26 東京エレクトロン株式会社 成膜方法
JP4228008B2 (ja) * 2006-08-23 2009-02-25 エルピーダメモリ株式会社 半導体装置の製造方法
US8235001B2 (en) * 2007-04-02 2012-08-07 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
US7629256B2 (en) * 2007-05-14 2009-12-08 Asm International N.V. In situ silicon and titanium nitride deposition
WO2009045964A1 (en) * 2007-10-01 2009-04-09 Applied Materials, Inc. Low temperature conformal oxide formation and applications
JP2009123795A (ja) * 2007-11-13 2009-06-04 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP4611414B2 (ja) * 2007-12-26 2011-01-12 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP5060324B2 (ja) * 2008-01-31 2012-10-31 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び処理容器
JP2009206312A (ja) * 2008-02-28 2009-09-10 Mitsui Eng & Shipbuild Co Ltd 成膜方法および成膜装置
KR101521998B1 (ko) * 2008-09-03 2015-05-21 삼성전자주식회사 상변화막 형성방법
JP5384291B2 (ja) * 2008-11-26 2014-01-08 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
KR101263856B1 (ko) * 2008-12-31 2013-05-13 어플라이드 머티어리얼스, 인코포레이티드 비저항이 감소되고 표면 형태가 개선된 텅스텐 필름을 증착하는 방법
JP5087657B2 (ja) * 2009-08-04 2012-12-05 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
JP2011066263A (ja) * 2009-09-18 2011-03-31 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置
JP5467007B2 (ja) * 2009-09-30 2014-04-09 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
JP5813303B2 (ja) * 2009-11-20 2015-11-17 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP5411171B2 (ja) * 2010-02-05 2014-02-12 東京エレクトロン株式会社 アモルファスカーボン膜を含む積層構造を形成する方法
JP5572447B2 (ja) 2010-05-25 2014-08-13 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
FI20105905A0 (fi) * 2010-08-30 2010-08-30 Beneq Oy Suutinpää ja laite
JP5501916B2 (ja) * 2010-09-27 2014-05-28 東レエンジニアリング株式会社 基板処理システム
US8997686B2 (en) * 2010-09-29 2015-04-07 Mks Instruments, Inc. System for and method of fast pulse gas delivery
JP5236755B2 (ja) * 2011-01-14 2013-07-17 東京エレクトロン株式会社 成膜装置及び成膜方法
US8465811B2 (en) * 2011-01-28 2013-06-18 Asm Japan K.K. Method of depositing film by atomic layer deposition with pulse-time-modulated plasma
JP5963456B2 (ja) * 2011-02-18 2016-08-03 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、及び基板処理方法
US9034774B2 (en) * 2011-04-25 2015-05-19 Tokyo Electron Limited Film forming method using plasma
US20120304930A1 (en) * 2011-06-03 2012-12-06 Gregory Scott Verdict Chamber exhaust in-situ cleaning for processing apparatuses
US8925481B2 (en) * 2011-10-12 2015-01-06 Intermolecular, Inc. Systems and methods for measuring, monitoring and controlling ozone concentration
JP6017396B2 (ja) * 2012-12-18 2016-11-02 東京エレクトロン株式会社 薄膜形成方法および薄膜形成装置
WO2014157071A1 (ja) * 2013-03-25 2014-10-02 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び基板処理方法
JP6107327B2 (ja) * 2013-03-29 2017-04-05 東京エレクトロン株式会社 成膜装置及びガス供給装置並びに成膜方法
JP6492736B2 (ja) * 2015-02-17 2019-04-03 東京エレクトロン株式会社 基板処理装置及び基板処理方法並びに記憶媒体

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007515786A (ja) 2003-11-17 2007-06-14 アヴィザ テクノロジー インコーポレイテッド 高誘電率誘電体膜の窒化方法
KR101084590B1 (ko) 2004-01-14 2011-11-17 도쿄엘렉트론가부시키가이샤 반도체장치 및 그 제조방법

Also Published As

Publication number Publication date
KR20140079295A (ko) 2014-06-26
JP2014140013A (ja) 2014-07-31
TWI591200B (zh) 2017-07-11
KR101662877B1 (ko) 2016-10-05
KR20160055777A (ko) 2016-05-18
US9139904B2 (en) 2015-09-22
JP6017396B2 (ja) 2016-11-02
TW201433653A (zh) 2014-09-01
US10422035B2 (en) 2019-09-24
CN103871866B (zh) 2017-03-08
US20140170320A1 (en) 2014-06-19
CN103871866A (zh) 2014-06-18
US20150354062A1 (en) 2015-12-10

Similar Documents

Publication Publication Date Title
KR101716085B1 (ko) 박막 형성 방법 및 박막 형성 장치
JP4456533B2 (ja) シリコン酸化膜の形成方法、シリコン酸化膜の形成装置及びプログラム
JP4607637B2 (ja) シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
JP2007019145A (ja) シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム
JP5064296B2 (ja) シリコン炭窒化膜の形成方法および形成装置
JP4916257B2 (ja) 酸化膜の形成方法、酸化膜の形成装置及びプログラム
KR20110128145A (ko) 실리콘막의 형성 방법 및 그의 형성 장치
KR101686702B1 (ko) 적층형 반도체 소자의 제조 방법, 적층형 반도체 소자 및 그의 제조 장치
KR101594933B1 (ko) 실리콘막의 형성 방법 및 그의 형성 장치
KR101906653B1 (ko) 실리콘 산화막의 형성 방법 및 실리콘 산화막의 형성 장치
KR20140005090A (ko) 실리콘 산화막의 형성 방법 및 그 형성 장치
US9006115B2 (en) Silicon oxide film forming method and apparatus
KR20150100557A (ko) 카본을 포함하는 실리콘막의 형성 방법, 및 형성 장치
KR101658268B1 (ko) 적층막의 형성 방법 및 그 형성 장치
JP5658118B2 (ja) シリコン酸化膜の形成方法およびその形成装置
JP2015162554A (ja) シリコン窒化膜の形成装置、及び、シリコン窒化膜の形成方法

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20200218

Year of fee payment: 4