JP2009509039A - バッチaldリアクタのための処理プロセス - Google Patents

バッチaldリアクタのための処理プロセス Download PDF

Info

Publication number
JP2009509039A
JP2009509039A JP2008531413A JP2008531413A JP2009509039A JP 2009509039 A JP2009509039 A JP 2009509039A JP 2008531413 A JP2008531413 A JP 2008531413A JP 2008531413 A JP2008531413 A JP 2008531413A JP 2009509039 A JP2009509039 A JP 2009509039A
Authority
JP
Japan
Prior art keywords
chamber
ald
substrate
gas
seconds
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2008531413A
Other languages
English (en)
Other versions
JP5813281B2 (ja
Inventor
ブレンダン アンソニー マックダガール,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2009509039A publication Critical patent/JP2009509039A/ja
Application granted granted Critical
Publication of JP5813281B2 publication Critical patent/JP5813281B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process

Abstract

本発明の実施形態は、気相堆積チャンバ内の製造プロセス中に基板汚染を減少させる処理プロセスを提供する。処理プロセスは、気相堆積プロセス、例えば、原子層堆積(ALD)プロセスの前に、間に、後に行うことができる。ALDプロセスの一例において、中間処理ステップと所定数のALDサイクルを含有するプロセスサイクルは、堆積物質が所望の厚さを有するまで繰り返す。チャンバと基板は、処理プロセスの間、不活性ガス、酸化ガス、窒化ガス、還元ガス、又はそれらのプラズマにさらすことができる。ある例において、処理ガスは、オゾン、水、アンモニア、窒素、アルゴン、又は水素を含有するのがよい。一例において、バッチプロセスチャンバ内で酸化ハフニウム物質を堆積させる方法は、前処理ステップと、ALDプロセス中の中間ステップと、後処理ステップとを含む。
【選択図】 図1

Description

発明の背景
発明の分野
[0001]本発明の実施形態は、一般的には、製造プロセスに関し、より詳細には、基板製造前、基板製造中又は基板製造後のハードウエア又は基板のための処理プロセスに関する。
関連技術の説明
[0002]他の技術に従い、マイクロエレクトロニクス産業には、原子層分解能による物質の堆積が必要である。約30年前に原子層堆積(ALD)プロセスが開発されて、エレクトロルミネセントフラットパネルディスプレイが製造された。半導体処理、フラットパネルディスプレイ処理又は他の電子デバイス処理の分野において、基板上に物質を堆積させるのに気相堆積プロセスが重要な役割を果たしてきた。電子デバイスの形状が縮小し続け、デバイスの密度が増加し続けるにつれて、特徴部のサイズとアスペクト比はより積極的になっている。先端技術ノード(0.65μm以下)のための製造プロセスの間、特徴部の40nm未満のサイズと30のアスペクト比が所望される。従来の化学気相堆積(CVD)プロセスは0.65μmを超える技術ノードには成功してきたが、積極的なデバイス形状には原子層分解能による膜堆積が必要である。必要とされる膜厚も数原子層厚であり、デバイス形状(例えば、高アスペクト比トレンチ)もCVDプロセスによって堆積された物質を除外する。それ故、ALDプロセスの要求が、ある種の製造プロトコールの間に確認される。
[0003]反応ガスは、ALDプロセスの間、単一又は複数の基板を含有するプロセスチャンバに連続して導入される。一般に、第一反応種をプロセスチャンバへ加え、基板表面に吸着させる。第二反応種をプロセスチャンバへ加え、第一反応種と反応させて、堆積物質と反応副生成物を形成する。理想的には、二つの反応種は、プロセスチャンバ内に同時に存在しない。それ故、典型的には、反応ガスのそれぞれの分配の間にガスを更に除去するためにパージステップが行われる。単一基板ALDプロセスの場合、パージステップは、キャリヤガスによる連続するパージであってもよく、反応ガスのそれぞれの分配の間のパルスパージであってもよい。
[0004]原子層堆積プロセスは、誘電体層、バリヤ層、導電層を堆積させるのに成功して実施されてきた。ゲートやキャパシタの適用にALDプロセスによって堆積された誘電物質としては、窒化シリコン、酸窒化シリコン、酸化ハフニウム、ケイ酸ハフニウム、酸化ジルコニウム及び酸化タンタルが挙げられる。一般に、ALDプロセスは、CVDプロセスと比較した場合、不純物が少なく、等角性が良好で、膜厚が制御された堆積物質を与える。しかしながら、ALDプロセスは、通常、同様の組成の物質を堆積させるための匹敵するCVDプロセスと比較した場合、堆積速度が遅い。それ故、製造処理能力全体を低下させるALDプロセスは、匹敵するCVDプロセスより魅力がない。バッチツールを用いることによって、ALDプロセスによって示される利益を犠牲せずに生産性を改善することができる。
[0005]単一チャンバ内で複数の基板を同時に処理することによって製造プロセス中の処理能力を増加させるためにバッチ堆積プロセスを用いることができる。しかしながら、CVD技術を用いたバッチプロセスは、最新のデバイスのより小さい形状のために制限されたままである。ALDプロセスは、CVDプロセスによって得ることができないより小さい形状の物質を与えることができるが、時間間隔の増加は、ALD装備ツール上のハードウエアメンテナンスのために実現するものである。また、ALD技術に用いるバッチ堆積プロセスは、前駆物質の交差汚染のために又は反応副生成物の凝縮のために、堆積物質の遅い開始(例えば、シーディング作用、インキュベーション遅延)、反応種からの有害な分子断片を含有する堆積物質、また、基板上やチャンバ全体の高レベルの粒子状汚染物質の欠点を持つことがある。欠陥、不純物又は汚染物質を含有する堆積物質は、リーク電流の大きい誘電体膜、抵抗率の大きい金属膜又は浸透性の大きいバリヤ膜を与える。このような膜特性は、不適切であり、デバイスの故障が避けられない。また、ALD装備ツールは、複数のプロセス後、累積した汚染のために維持が閉鎖されることを必要とすることがある。全体に、製造プロセスは製品処理能力の低下と高コストの欠点を持つ。
[0006]それ故、プロセスチャンバ内の基板上に堆積された物質のインキュベーション遅延を減少させ、堆積された物質の不純物又は欠陥の形成を減少させ、且つプロセスチャンバ内の汚染を減少させるプロセスが求められている。好ましくは、前記プロセスをALDバッチツールで行うことができる。
発明の要約
[0007]本発明の一実施形態において、基板上で物質を形成するための方法であって、プロセスチャンバ内の少なくとも一つの基板を前処理プロセスにさらすステップと、基板を基板上に物質を形成するためのALDプロセスにさらすステップと、続いて、基板とプロセスチャンバを後処理プロセスにさらすステップと、を含む前記方法が提供される。一例において、ALDプロセスは、ALDサイクルの間、基板を連続して少なくとも二つの化学前駆物質にさらすステップと、所定数のサイクルのALDサイクル(即ち、ALDループ)を繰り返すステップと、ALDループ間の中間処理プロセスを行うステップと、を含む。
[0008]前記方法は、バッチプロセスチャンバ又は単一ウエハプロセスチャンバ内で行うことができる。好ましい実施形態において、チャンバは、複数の基板、例えば、25、50、100の基板を含有するALDバッチチャンバである。前処理プロセス、中間処理プロセス、後処理プロセスは、処理ガス、例えば、不活性ガス、酸化ガス、窒化ガス、還元ガス、それらのプラズマ、それらの誘導体、又はそれらの組合わせを含有するのがよい。例えば、処理ガスは、オゾン、水、アンモニア、窒素、アルゴン、水素、それらのプラズマ、それらの誘導体、又はそれらの組合わせを含有するのがよい。一例において、処理ガスは、オゾン/酸素(O/O)混合物を含有し、オゾンは約1原子パーセント(at%)〜約50at%、好ましくは約5at%〜約30at%、より好ましくは約10at%〜約20at%の範囲内の濃度である。他の例において、処理ガスは、触媒水蒸気ジェネレータよって得られた酸素源と水素源から形成された水蒸気を含有する。他の例において、処理ガスはアンモニア又はアンモニアプラズマを含有する。
[0009]他の実施形態において、プロセスチャンバ内で基板上に物質を形成するための方法であって、バッチプロセスチャンバを前処理プロセスにさらすステップと、バッチプロセスチャンバ内の複数の基板を少なくとも一つの処理プロセスを含有するALDプロセスにさらすステップと、その後、プロセスチャンバを後処理プロセスにさらすステップと、を含む前記方法が提供される。一例において、処理プロセスは所定数のALDサイクルの後に行われ、処理プロセスと所定数のALDサイクルは、プロセスサイクルの間、繰り返される。プロセスサイクルは、酸化ハフニウム、ケイ酸ハフニウム、酸化アルミニウム、酸化シリコン、アルミン酸ハフニウム、それらの誘導体、又はそれらの組合わせを形成するために繰り返すことができる。
[0010]一例において、バッチプロセスチャンバ内の複数の基板を前処理プロセスとALDプロセスにさらして、ハフニウム含有物質を形成する。ALDプロセスは、基板をハフニウム前駆物質と酸化ガスに連続してさらすALDサイクルに続いて少なくとも一つの中間処理プロセスを含有する。ALDサイクルは、ハフニウム含有層が所定の厚さを有するまで繰り返すことができる。
[0011]本発明の上記特徴の方法が詳細に理解され得るように、上で簡単にまとめた本発明のより具体的な説明を実施形態によって参照することができ、その一部は添付の図面で示されている。しかしながら、添付の図面は、本発明の典型的な実施形態を示すだけのものであり、それ故、本発明の範囲を限定するものとみなされるべきでなく、本発明が他の等しく有効な実施形態を許容することができることは留意すべきである。
詳細な説明
[0014]本発明の実施形態は、種々の適用に用いられる物質、特にトランジスタやキャパシタの製造に用いられる高k誘電物質やバリヤ物質を調製するための方法を提供する。前記方法は、気相堆積チャンバのための処理プロセス及びその中の基板のための処理と堆積のプロセスを提供する。好適実施形態において、原子層堆積(ALD)プロセスは、堆積された物質の元素組成を制御するために用いることができる。ALDプロセスは、単一基板プロセスチャンバ内で行うことができるが、好ましくはバッチプロセスチャンバ内で行われる。
[0015]一実施形態において、プロセスチャンバは、堆積プロセス、例えば、ALDプロセス又は化学気相堆積(CVD)プロセスの前に前処理プロセスにさらされる。一例において、中に基板を含有しないプロセスチャンバが処理されるが、他の例において、少なくとも一つ、通常は複数の基板(例えば、25、50、100以上)を含有するプロセスチャンバが処理される。他の実施形態において、プロセスチャンバは、堆積プロセス中に中間処理プロセスにさらされる。一例において、堆積プロセスは停止させることができ、中間処理プロセスが行われ、堆積プロセスが再び開始される。他の例において、堆積プロセスが停止され、中間処理プロセスが行われ、別の堆積プロセスが開始される。他の実施形態において、プロセスチャンバは、堆積プロセスに続いて後処理プロセスにさらされる。一例において、基板が除去され、プロセスチャンバが空で処理されが、他の例において、一つの基板又は複数の基板を含有するプロセスチャンバが処理される。処理プロセスは、一般的には、プロセスチャンバ又は基板を処理ガスに所定の温度で所定の時間さらすことを含む。処理ガスは、通常、アンモニア又はオゾンのような反応性化合物を含有する。
[0016]図1において、フローチャートは、本明細書の一実施形態に記載されたプロセス100を示す図である。プロセス100は、プロセスチャンバ内で、前処理プロセス(ステップ102)と、堆積プロセス(104)と、所望による中間処理プロセス(ステップ106)と、後処理プロセス(ステップ110)を行うステップと、を提供する。プロセス100は、更に、堆積プロセスと中間処理プロセス(ステップ108)を繰り返す選択を提供する。
[0017]前処理ガスは、堆積プロセスが開始する前に汚染物質を更に減少させるためにチャンバへ加えることができる(ステップ102)。前処理ガスは、一般的には、続いてのステップ104の堆積プロセスを考慮して選択される。前処理ガスは、反応ガスとキャリヤガスを含有するのがよく、窒素、アルゴン、ヘリウム、水素、酸素、オゾン、水、アンモニア、シラン、ジシラン、ジボラン、それらの誘導体、それらのプラズマ、又はそれらの組合わせが挙げられる。一例において、前処理ガスは、酸化物質(例えば、酸化ハフニウム、酸化アルミニウム、酸化シリコン)、ケイ酸塩物質(例えば、ケイ酸ハフニウム又はケイ酸ジルコニウム)又はアルミン酸塩物質(例えば、アルミン酸ハフニウム)を堆積させる前に酸化ガス、例えば、オゾン又は水蒸気を含有するのがよい。他の例において、前処理ガスは、窒化物質、例えば、窒化シリコン又は酸窒化シリコンハフニウムを堆積させる前に窒化ガス、例えば、アンモニア、窒素、又は窒素プラズマを含有するのがよい。ある例において、前処理ガスは、窒素、アルゴン、ヘリウム、水素、フォーミングガス、又はそれらの組合わせを含有する。
[0018]プロセスチャンバは、気相堆積プロセス、例えば、ALDプロセス又は従来のCVDプロセスによって物質を形成するためのバッチプロセスチャンバ又は単一ウエハであってもよい。それ故、プロセスチャンバは、少なくとも一つの基板又は複数の基板を含有するのがよい。一例において、プロセスチャンバは、少なくとも約25の基板を保持することが可能なミニバッチALDプロセスチャンバである。本明細書に記載される実施形態によって有用なより大きなバッチALDプロセスチャンバは、約50の基板、100以上の基板の容量を持つ。
[0019]基板は、ステップ102のいかなる部分の間でもプロセスチャンバへ配置することができる。一例において、基板は、前処理プロセスを開始する前にプロセスチャンバへ配置される。他の例において、基板は、前処理プロセスを完了した後にプロセスチャンバへ配置される。他の例において、基板は、前処理プロセスの間にプロセスチャンバへ配置され、プロセスチャンバは、基板がプロセスチャンバへ配置される前の第一時間の間に前処理ガスにさらし、その後、プロセスチャンバと基板の双方を第二時間の間に同一又は異なる前処理ガスにさらされる。
[0020]一実施形態において、プロセスチャンバは、気相堆積プロセスのためのバッチプロセスチャンバ、例えば、バッチALDチャンバである。前処理ガスの流量は、約0.1標準リットル毎分(slm)〜約30slm、好ましくは約1slm〜約20slm、より好ましくは約5slm〜約10slmの範囲内であるのがよい。プロセスチャンバの内部は、前処理プロセスの間、約100℃〜約700℃、好ましくは約150℃〜約400℃、より好ましくは約200℃〜約300℃の範囲内の温度に加熱されるのがよい。プロセスチャンバは、約1ミリトール〜約100トール、好ましくは約10ミリトール〜約50トール、より好ましくは約5ミリトール〜約5トールの範囲内の圧力に維持されるのがよい。一例において、プロセスチャンバは、窒化物質又は酸化物質を形成するプロセスの間、約0.6トールの圧力に維持されるのがよい。プロセスチャンバの温度と圧力は、ステップ102全体に一定に保たれるか又は調整されるのがよい。一例において、前処理プロセスは、堆積プロセスを開始する約12時間前に始めるのがよい。しかしながら、前処理プロセスは、約5分間〜約6時間、好ましくは約10分間〜約2時間、より好ましくは約20分間〜約60分間の範囲内の時間続けるのがよい。
[0021]ステップ104の間、堆積プロセスをプロセスチャンバ内で行い、基板上に物質を形成する。堆積プロセスは、気相堆積プロセス、例えば、ALDプロセス又はCVDプロセスであってもよく、プラズマ増強型ALD(PE-ALD)プロセス、プラズマ増強型CVD(PE-CVD)プロセス、パルスCVDプロセス、又はそれらの組合わせが挙げられる。一例において、ALDプロセスは、基板を金属前駆物質と酸化ガスに連続してさらして、金属酸化物質を形成する。他の例において、ALDプロセスは、基板を金属前駆物質と、酸化ガスと、シリコン前駆物質と、その酸化ガスに連続してさらして、金属ケイ酸塩物質を形成する。
[0022]堆積ステップの間に堆積される物質は、誘電物質、バリヤ物質、導電物質、核形成/シード物質又は接着物質であってもよい。一実施形態において、堆積物質は、酸素及び/又は窒素と少なくとも一つの追加元素、例えば、ハフニウム、シリコン、タンタル、チタン、アルミニウム、ジルコニウム、ランタン、又はそれらの組合わせであるのがよい。例えば、誘電物質は、酸化ハフニウム、酸化ジルコニウム、酸化タンタル、酸化アルミニウム、酸化ランタン、酸化チタン、酸化シリコン、窒化シリコン、それらの酸窒化物(例えば、HfO)、それらのケイ酸塩(例えば、HfSi)、それらのアルミン酸塩(例えば、HfAl)、それらのシリコン酸窒化物(例えば、HfSi)、それらの誘導体、又はそれらの組合わせを含有するのがよい。一例において、誘電物質は、種々の組成の多層を含有するのがよい。例えば、ラミネート膜は、酸化シリコンを酸化ハフニウムに堆積させてケイ酸ハフニウム物質を形成することによって形成されるのがよい。ケイ酸ハフニウム上に第三層の酸化アルミニウムを堆積させて、更にケイ酸ハフニウムアルミニウム物質を与えることができる。
[0023]他の例において、誘電物質を形成するためのプロセスは、水蒸気を含有する酸化ガスを用いる。水蒸気は、触媒を含有する水蒸気ジェネレータ(WVG)システムへ水素源ガスと酸素源ガスを流すことによって形成することができる。本明細書に用いることができるWVGシステムを用いる前処理プロセスと堆積プロセスは、更に、2005年5月12日出願の米国第2005-0271813号として公開された共同譲渡され同時係属中の米国特許出願第11/127,767号に記載され、この開示内容は本明細書に全体で援用されている。
[0024]プロセスチャンバは、プロセス100のステップ106中に所望による中間処理プロセスにさらされてもよい。プロセスチャンバの内部は、約100℃〜約700℃、好ましくは約150℃〜約400℃、より好ましくは約200℃〜約300℃の範囲内の温度に加熱されるのがよく、約1ミリトール〜約100トール、好ましくは約10ミリトール〜約50トール、より好ましくは約5トール〜約10トールの範囲内の圧力、例えば、約8トールに維持されるのがよい。プロセスチャンバの温度と圧力は、中間処理プロセス全体に一定に維持されても調整されてもよい。処理ガスは、中間処理プロセス中にプロセスチャンバへ加えることができ、前処理ガス(ステップ102)又は反応ガス(ステップ104)として用いられるものと同一のガスを含有しても異なるガスを含有してもよい。それ故、処理ガスは、窒素、アルゴン、ヘリウム、水素、酸素、オゾン、水、アンモニア、シラン、ジシラン、ジボラン、それらの誘導体、それらのプラズマ、又はそれらの組合わせを含有するのがよい。
[0025]バッチプロセスの間の一例において、処理ガスの流量は、約0.1slm〜約30slm、好ましくは約1slm〜約20slm、より好ましくは約5slm〜約10slmの範囲内の流量であるのがよい。中間処理プロセスは、約5分間〜約6時間、好ましくは約10分間〜約2時間、より好ましくは約20分間〜約60分間の範囲内の時間続けるのがよい。
[0026]基板は、通常、ステップ106の間、プロセスチャンバ内に保持される。しかしながら、ステップ106のいかなる部分の間でも基板をプロセスチャンバから取り出すことができる。一例において、基板は、中間処理プロセスを始める前にプロセスチャンバから取り出される。他の例において、基板は、中間処理プロセスを完了した後にプロセスチャンバから取り出される。他の例において、中間処理プロセスの間に基板をプロセスチャンバから取り出し、基板がプロセスチャンバから取り出される前の第一時間の間、プロセスチャンバと基板は処理ガスにさらされ、その後、プロセスチャンバは、第二時間の間、同一又は異なる処理ガスにさらされる。
[0027]一実施形態において、堆積プロセスを停止し、チャンバと基板を処理プロセスにさらし、その後、堆積プロセスを再び開始させる(ステップ108)。それ故、処理プロセスは堆積プロセスとの中間である。ステップ104、106、108のサイクルは、複数のサイクルとして繰り返すことができる堆積/処理プロセスをなして、堆積物質を形成する。中間処理プロセスは、プロセスチャンバ全体に、また、基板上にパーティクルや他の汚染物質を減少させる。一例において、中間処理プロセスは、ALDプロセス中の各ALDサイクルの後に行われるのがよい。他の例において、中間処理プロセスは、複数のALDサイクル後、例えば、10ALDサイクル毎又は20ALDサイクル毎の後に行われるのがよい。他の例において、中間処理プロセスは、CVDプロセスの間に行われるのがよく、CVDプロセスを停止させ、処理プロセスを所定の時間行い、CVDプロセスを再び開始して、基板上に物質を堆積することを続ける。
[0028]他の実施形態において、ステップ106を省略するので、中間処理プロセスを行わず、堆積プロセスがステップ108で終了する。一般に、堆積プロセスは、一旦所定の厚さの堆積物質がステップ104の間に形成されると終了する。
[0029]プロセスチャンバは、プロセス100のステップ110中に後処理プロセスにさらすことができる。プロセスチャンバの内部は、約100℃〜約700℃、好ましくは約150℃〜約400℃、より好ましくは約200℃〜約300℃の範囲内の温度に加熱されるのがよく、約1ミリトール〜約100トール、好ましくは約10ミリトール〜約50トール、より好ましくは約5トール〜約10トールの範囲内の圧力、例えば、約8トールに維持されるのがよい。プロセスチャンバの温度及び圧力は、ステップ110を通じて一定に維持されても調整されてもよい。後処理ガスは、後処理ガスの間にプロセスチャンバへ加えることができ、前処理ガス(ステップ102)、反応ガス(104)又は処理ガス(106)として用いられるのと同一のガスを含有しても異なるガスを含有してもよい。それ故、後処理ガスは、窒素、アルゴン、ヘリウム、水素、酸素、オゾン、水、アンモニア、シラン、ジシラン、ジボラン、それらの誘導体、それらのプラズマ、又はそれらの組合わせを含有するのがよく、約0.1slm〜約30slm、好ましくは約1slm〜約20slm、より好ましくは約5slm〜約10slmの範囲内の流量を持つのがよい。後処理プロセスは、約5分〜約6時間、好ましくは約10分〜約2時間、より好ましくは約20分〜約60分間の範囲内の時間続けるのがよい。
[0030]基板は、ステップ110のいかなる部分の間でもプロセスチャンバから取り出すことができる。一例において、基板は、後処理プロセスを開始する前にプロセスチャンバから取り出される。他の例において、基板は、後処理プロセスを終了させた後にプロセスチャンバから取り出される。他の例において、後処理プロセスの間に基板をプロセスチャンバから取り出し、基板がプロセスチャンバから取り出される前の第一時間の間にプロセスチャンバと基板が後処理ガスにさらされ、その後、第二時間の間にプロセスチャンバが同一又は異なる後処理ガスにさらされる。
[0031]他の例において、図2は、ALDプロセスによって基板に酸化ハフニウムのような堆積物質を形成するためのプロセス200を示す図である。プロセス200は、前処理プロセス(ステップ202)と、ALDサイクル(ステップ204-214)と、後処理プロセス(216)とを含有することができる。一例において、プロセス200は、ALDサイクルを含有するバッチALDプロセス用に構成され、基板をプロセスチャンバのみに又はキャリヤガスと組合わせて導入された第一前駆物質に約1秒〜約90秒間の範囲内の時間さらす(ステップ204)。次に、パージガスをプロセスチャンバへ約1秒間〜約60秒間の範囲内の時間導入して、いかなる残留前駆物質も或いは副生成物もパージ或いは除去する。続いて、基板を、約1秒間〜約90秒間の範囲内の時間、プロセスチャンバのみに又はキャリヤガスと組合わせて導入された第二前駆物質(例えば、O又はHO)にさらす(ステップ208)。その後、パージガスをプロセスチャンバへ約1秒間〜約60秒間の範囲内の時間再び加える(ステップ210)。
[0032]一実施形態において、ALDサイクルは、ステップ204、206、208、210のそれぞれの後に排気ステップを含有するのがよい。プロセスチャンバは、本質的に又は完全に排気されない場合には、排気ステップの間に少なくとも部分的に排気される。排気ステップは、約1秒〜5分間、好ましくは約5秒〜約2分間、より好ましくは約10秒〜約60秒間の範囲内の時間続けるのがよい。プロセスチャンバは、約50ミリトール〜約5トールの範囲内の圧力、例えば、約100ミリトールに排気されるのがよい。
[0033]所望による中間処理プロセス(ステップ212)は、プロセスチャンバ内に残存するいかなる前駆物質ガス、副生成物、微粒子又は他の汚染物質も更に除去するために行われてもよい。中間処理プロセスは、ステップ204、206、208、又は210のいずれか後に又はステップ204、206、208、210のいずれかのサイクル後に行われてもよい。通常、中間処理プロセスは、所定の温度で約1分間〜約20分間、好ましくは約2分間〜約15分間、より好ましくは約3分間〜10分間の範囲内の時間、例えば、約5分間行われる。一例において、中間処理プロセスは、かなり化学的不活性な処理ガス、例えば、窒素又はアルゴンを含有する。他の例において、処理ガスは、オゾン、酸素、水、水素、過酸化物、それらのプラズマ、又はそれらの組合わせを含むのがよい酸化ガスを含有する。他の例において、処理ガスは、水素、ジボラン、シラン、それらのプラズマ、又はそれらの組合わせを含むのがよい還元ガスを含有する。
[0034]各ALDサイクル(ステップ204〜212)は、基板上に物質(例えば、酸化ハフニウム)層を形成する。通常、各堆積サイクルは、約0.1オングストローム〜約10オングストロームの範囲内の厚さを有する層を形成する。個々のデバイスの要求によっては、続いての堆積サイクルは、所望の厚さを有する物質を堆積するのに必要とされてもよい(ステップ214)。そのようにして、堆積サイクル(ステップ204〜214)を、物質の所定の厚さを達成するために繰り返すことができる。
[0035]ステップ102として本明細書に記載されるように、ステップ202の間、プロセスチャンバを前処理プロセスにさらすことができる。一例において、プロセスチャンバは、基板をプロセスチャンバへ装填する前に、前処理プロセスにさらされる。他の例において、プロセスチャンバは、前処理プロセス中、少なくとも一つの基板、好ましくは複数の基板を含有する。複数の前処理プロセスは、ステップ202の間、プロセスチャンバ内で行うことができる。それ故、プロセスチャンバと基板は、各々異なる前処理プロセスにさらすことができる。一例において、空のプロセスチャンバは、基板を装填する前に長時間(例えば、約6-12時間)前処理プロセスにさらされるのがよい。その後、基板は、プロセスチャンバへ装填され、堆積プロセスの前に予備浸漬のような前処理プロセスにさらされる。
[0036]前処理プロセス又は予備浸漬ステップにさらした後に、基板を種々の官能基で停止させることができる。予備浸漬ステップは、全体の前処理プロセスの一部であってもよい。形成することができる官能基としては、ヒドロキシル(OH)、アルコキシル(OR、R=Me、Et、Pr又はBu)、酸素ラジカル及びアミノ(NR又はNR、R=H、Me、Et、Pt又はBu)が挙げられる。前処理ガスとしては、酸素(O)、オゾン(O)、原子酸素(O)、水(HO)、過酸化水素(H)、亜酸化窒素(NO)、酸化窒素(NO)、五酸化二窒素(N)、二酸化窒素(NO)、アンモニア(NH)、ジボラン(B)、シラン(SiH)、ジシラン(Si)、ヘキサクロロジシラン(SiCl)、水素(H)、原子水素、原子N、アルコール、アミン、それらの誘導体、又はそれらの組合わせを挙げることができる。官能基は、基板表面上に結合するために生じる化学前駆物質のベースを与えることができる。前処理中、基板表面は、試薬に約1秒間〜約2分間、好ましくは約5秒間〜約60秒間の範囲内の時間さらされるのがよい。本明細書に用いることができる追加の前処理プロセスと予備浸漬ステップと堆積プロセスは、更に、共同譲渡された米国特許第6,858,547号と、2002年11月21日出願の米国第2003-0232501として公開された共同譲渡され同時係属中の米国特許第10/302,752号に記載され、これらの開示内容は本明細書に全体で援用されている。
[0037]予備浸漬ステップの一例において、基板は、水蒸気ジェネレータ(WVG)システムから生成された水蒸気を含有する酸化ガスにさらされる。予備浸漬プロセスは、続いてさらされる間(例えば、ステップ204)にアミノ型リガンド(例えば、TDEAH、TDMAH、TDMAS又はトリス-DMAS)を含有する前駆物質と反応するヒドロキシル末端官能基を基板表面に与える。WVGシステムを用い、本明細書に用いることができる前処理プロセスと予備浸漬ステップと堆積プロセスは、更に、2005年5月12日出願の米国第2005-0271813号として公告された共同譲渡され同時係属中の英国特許出願第11/127,767号に記載され、この開示内容は本明細書に全体で援用されている。
[0038]プロセス200は種々の物質を形成するために用いることができるが、プロセス200の例は、更に、酸化ハフニウム物質を形成するALDプロセスを提供する。一例において、ALDプロセスは、約1ミリトール〜約100トール、好ましくは約10ミリトール〜約50トール、より好ましくは約5トール〜約10トールの範囲内の圧力、例えば、約8トールに維持されるミニバッチプロセスチャンバ内で行われるのがよい。プロセスチャンバは、通常、約70℃〜約800℃、好ましくは約100℃〜約500℃、より好ましくは約150℃〜約350℃の範囲内の温度に加熱される。
[0039]第一前駆物質(例えば、ハフニウム前駆物質)は、約100標準立方センチメートル毎分(sccm)〜約5slm、好ましくは約500sccm〜約4slm、より好ましくは約1slm〜約3slmの範囲内の流量でプロセスチャンバに導入することができる(ステップ204)。第一前駆物質は、キャリヤガス(例えば、窒素又はアルゴン)とプロセスチャンバへ約1秒間〜約5分間、好ましくは約5秒間〜約2分間、より好ましくは約10秒間〜約90秒間の範囲内の時間導入することができる。一例において、第一前駆物質は、ハフニウム前駆物質、例えば、ハフニウムハロゲン化物(例えば、HfCl)又はハフニウムアミノ化合物である。ハフニウムアミノ化合物は、好ましくは、テトラキス(ジエチルアミノ)ハフニウム((EtN)Hf又はTDEAH)、テトラキス(ジメチルアミノ)ハフニウム((MeN)Hf又はTDMAH)、又はテトラキス(エチルメチルアミノ)ハフニウム((EtMeN)Hf又はTEMAH)を含むテトラキス(ジアルキルアミノ)ハフニウム化合物である。
[0040]第二前駆物質(例えば、酸化ガス)は、約100sccm〜約5slm、好ましくは約500sccm〜約4slm、より好ましくは約1slm〜約3slmの範囲内の流量でプロセスチャンバに導入することができる(ステップ208)。第二前駆物質は、キャリヤガスとプロセスチャンバへ約1秒間〜約5分間、好ましくは約5秒間〜約2分間、より好ましくは約10秒間〜約90秒間の範囲内の時間導入することができる。一例において、第二前駆物質は、酸化ガス、例えば、酸素、オゾン、原子酸素、水、過酸化水素、亜酸化窒素、酸化窒素、五酸化二窒素、二酸化窒素、それらの誘導体、又はそれらの組合わせである。好ましい例において、酸化ガスは、オゾン/酸素(O/O)混合物を含有し、オゾンの濃度は約1原子パーセント(at%)〜約50at%、好ましくは約5at%〜約30at%、より好ましくは約10at%〜約20at%の範囲内である。
[0041]パージガス(例えば、アルゴン又は窒素)は、典型的には、プロセスチャンバへ約100sccm〜約5slm、好ましくは約500sccm〜約4slm、より好ましくは約1slm〜約3slmの範囲内の流量で導入される(ステップ206とステップ210)。パージガスは、約1秒間〜約5分間、好ましくは約5秒間〜約2分間、より好ましくは約1秒〜約90秒間の範囲内の時間導入することができる。適切なキャリヤガス又はパージガスとしては、アルゴン、窒素、ヘリウム、水素、フォーミングガス、又はそれらの組合わせを含むのがよい。
[0042]一実施形態において、水素ガス又はフォーミングガスは、堆積物質からのハロゲン汚染を減少させるために、キャリヤガス、パージガス及び/又は反応ガスを用いることができる。ハロゲン原子を含有する前駆物質(例えば、HfCl、SiCl又はSiCl)は、堆積物質を汚染しやすい。水素は還元体であり、揮発性で除去可能な副生成物としてハロゲン化水素(例えば、HCl)を生成する。それ故、前駆物質化合物(例えば、ハフニウム、シリコン、酸素前駆物質)と組合わせる場合にキャリヤガス又は反応ガスとして水素を用いることができ、他のキャリヤガス(例えば、Ar又はN)を含むこともできる。
[0043]ハフニウムを含有する物質を堆積するのに有用な例示的ハフニウム前駆物質は、リガンド、例えば、ハロゲン化物、アルキルアミノ、シクロペンタジエニル、アルキル、アルコキシド、それらの誘導体、又はそれらの組合わせを含有することができる。ハフニウム前駆物質として有用なハロゲン化ハフニウム化合物としては、HfCl、HfI及びHfBrを挙げることができる。ハフニウム前駆物質として有用なハフニウムアルキルアミノ化合物としては、(RR’N)Hf(ここで、R又はR’は、独立して、水素、メチル、エチル、プロピル又はブチルである。)が挙げられる。本明細書に記載されるハフニウム含有物質を堆積するのに有用なハフニウム前駆物質としては、(EtN)Hf、(EtMe)Hf、(MeEtN)Hf、(BuC)HfCl、(C)HfCl、(EtC)HfCl、(Me)HfCl、(Me)HfCl、(PrC)HfCl、(PrC)HfCl、(BuC)HfMe、(acac)Hf、(hfac)Hf、(tfac)Hf、(thd)Hf、(NO)Hf、(BuO)Hf、(PrO)Hf、(EtO)Hf、(MeO)Hf、又はそれらの誘導体が挙げられる。好ましくは、本明細書の堆積プロセスの間に用いられるハフニウム前駆物質としては、HfCl、(EtN)Hf、(MeN)Hf、及び(EtMeN)Hfが挙げられる。
[0044]シリコン含有物質(例えば、ケイ酸塩)を堆積させるのに有用な例示的なシリコン前駆物質としては、シラン、アルキルアミノシラン、シラノール、又はアルコキシルシランが挙げられる。シリコン前駆物質としては、(MeN)Si、(MeN)SiH、(MeN)SiH、(MeN)SiH、(EtN)Si、(EtN)SiH、(MeEtN)Si、(MeEtN)SiH、Si(NCO)、MeSi(NCO)、SiH、Si、SiCl、SiCl、MeSiCl、HSiCl、MeSiCl、HSiCl、MeSi(OH)、MeSi(OH)、(MeO)Si、(EtO)Si、又はそれらの誘導体が挙げられるのがよい。シリコン前駆物質に有用な他のアルキルアミノシラン化合物としては、(RR’N)4-nSiH(ここで、R又はR’は、独立して、水素、メチル、エチル、プロピル又はブチルであり、n=0-3)が挙げられる。他のアルコキシルシランは、一般化学式(RO)4-nSiL(ここで、R=メチル、エチル、プロピル、又はブチル、L=H、OH、F、Cl、Br、又はI及びそれらの混合物)によって記載されるのがよい。好ましくは、本明細書の堆積プロセスの間に用いられるシリコン前駆物質としては、(MeN)SiH、(EtN)SiH、(MeN)Si、(EtN)Si、又はSiHが挙げられる。例示的窒素前駆物質としては、アンモニア(NH)、窒素(N)、ヒドラジン(例えば、N又はMeN)、アミン(例えば、MeN、MeNH、又はMeNH)、アニリン(例えば、CNH)、有機アジド(例えば、MeN又はMeSiN)、無機アジド(例えば、NaN又はCpCoN)、ラジカル窒素化合物(例えば、N、N、N、NH、又はNH)、それらの誘導体、又はそれらの組合わせが挙げられてもよい。ラジカル窒素化合物は、熱、熱線、又はプラズマによって生成させることができる。
[0045]ALDサイクルはプロセス200の間繰り返され、所定の厚さを持つ堆積物質を形成する。ALDプロセスの間に形成される堆積物質の厚さは、約5オングストローム〜約300オングストローム、好ましくは約10オングストローム〜約200オングストローム、より好ましくは約20オングストローム〜約100オングストロームの範囲内であるのがよい。ある例において、酸化ハフニウムの厚さは、約10オングストローム〜約60オングストローム、好ましくは約30オングストローム〜約40オングストロームの範囲内で堆積されるのがよい。一般に、酸化ハフニウム物質は、実験化学式HfO(ここで、x=2以下である)で形成される。酸化ハフニウムは、分子化学式HfOを有するものであるが、種々のプロセス条件(例えば、タイミング、温度又は圧力)によって、酸化ハフニウムは、より酸化されていないハフニウム、例えば、HfO1.8で形成されてもよい。
[0046]プロセスチャンバは、ステップ110として本明細書の記載されたステップ216の間に後処理プロセスにさらすことができる。一例において、基板は、後処理プロセスを開始する前にプロセスチャンバから取り出される。他の例において、基板は、後処理プロセスを完了した後にプロセスチャンバから取り出される。他の例において、基板は後処理プロセス中にプロセスチャンバから取り出され、基板がプロセスチャンバから取り出される前の第一時間の間にプロセスチャンバ及び基板が後処理ガスにさらされ、その後、プロセスチャンバが、第二時間の間に同一又は異なる後処理ガスにさらされる。
[0047]本明細書に記載される実施形態の間に用いることができる気相堆積プロセス、例えば、原子層堆積(ALD)又は従来の化学気相堆積(CVD)を行うためのバッチプロセスチャンバは、カリフォルニア州、サンタクララにあるAppliedMaterials Inc.から入手でき、共同譲渡された同時係属中の米国特許第6,352,593号及び同第6,321,680号に、2003年1月13日出願の“Methodand Appratusfor Layerby LayerDeposition of Thin Films”と称し、米国第2003-0134038号として公開された同時係属中の米国特許第10/342,151号に、また、2002年8月9日出願の“HighRate Depositionat LowPressure in a Small BatchReactor”と称し、米国第2003-0049372号として公開された共同譲渡された同時係属中の米国特許第10/216,079号に更に開示され、これらの開示内容は、堆積プロセスの間に用いられる装置を記載するために、本明細書に全体で援用されている。本明細書に記載される実施形態によって用いることができる単一ウエハALDチャンバは、2005年5月12日出願の米国第2005-0271812号として公開された共同譲渡された米国特許第6,916,398号、また、共同譲渡された同時係属中の米国特許第11/127,763号に更に開示され、これらの開示内容はいずれも本明細書に全体で援用されている。
[0048]本明細書に用いられる“基板表面”とは、膜処理が行われる基板上に形成されるいかなる基板表面又は物質表面をも意味する。例えば、処理が行われ得る基板表面としては、シリコン、酸化シリコン、歪シリコン、シリコン・オン・インシュレータ(SOI)、炭素ドープされた酸化シリコン、窒化シリコン、ドープされたシリコン、ゲルマニウム、ガリウムヒ素、ガラス、サファイアのような物質、及び金属、金属窒化物、金属合金のような他の物質、及び導電物質が挙げられ、適用に左右される。基板表面上のバリヤ層、金属又は金属窒化物としては、チタン、窒化チタン、窒化タングステン、タンタル、及び窒化タンタルが挙げられる。基板は、種々の大きさ、例えば、200mm又は300mm径ウエハだけでなく、矩形又は正方形枠を持つことができる。特にことわらない限り、本明細書に記載される実施形態や例は、好ましくは200mm径又は300mm径、より好ましくは300mm径を有する基板で行われる。本明細書に記載される実施形態のプロセスは、多くの基板と表面上にハフニウム含有物質を堆積させることができる。本発明の実施形態が有用なものである基板としては、結晶シリコン(例えば、Si<100>又はSi<111>)、酸化シリコン、歪シリコン、シリコンゲルマニウム、ドープされた又はドープされていないポリシリコン、ドープされた又はドープされていないシリコンウエハ、及びパターン形成された又はパターン形成されていないウエハのような半導体ウエハが挙げられるが、これらに限定されない。基板を後処理プロセスにさらし、基板表面を研磨、エッチング、還元、酸化、ヒドロキシル化、アニール、及び/又は焼くことができる。
[0049]本明細書に用いられる“原子層堆積”又は“周期的堆積”とは、基板表面上に物質の層を堆積する二つ以上の反応性化合物の連続導入を意味する。或いは、二つ、三つ又はそれ以上の反応性化合物がプロセスチャンバの反応ゾーンへ導入されてもよい。通常、各反応性化合物は、基板表面上に各化合物が付着及び/又は反応させることを可能にする時間遅延によって分離される。一態様において、第一前駆物質又は化合物Aは、反応ゾーンへパルスされ、続いて第一時間遅延を受ける。次に第二前駆物質又は化合物Bは、反応ゾーンへパルスされ、続いて第二遅延を受ける。各時間の遅延の間、窒素のようなパージガスをプロセスチャンバへ導入して、反応ゾーンをパージするか或いは反応ゾーンからいかなる残留反応化合物又は副生成物をも除去する。或いは、パージガスは堆積プロセス全体に持続的に流すことができるので、反応性化合物のパルス間の時間遅延の間にパージガスだけが流れる。反応性化合物は、或いは、基板表面上に所望の膜又は膜厚が形成されるまでパルスされる。いずれのシナリオにおいても、化合物Aのパルス、パージガス、化合物Bのパルス、パージガスのALDプロセスが一サイクルである。一サイクルは、化合物A又は化合物Bのいずれかから開始することができ、所望の厚さを有する膜を達成するまでサイクルのそれぞれの順序を続けることができる。他の実施形態において、化合物Aを含有する第一前駆物質、化合物Bを含有する第二前駆物質、化合物Cを含有する第三前駆物質は、それぞれ別個にプロセスチャンバへパルスされる。或いは、第一前駆物質のパルスは、第二前駆物質のパルスにやがて重なることがあり、第三の前駆物質のパルスは、第一前駆物質と第二前駆物質のいずれのパルスにもやがて重ならない。或いは、ALDプロセスの間、本明細書に用いられる前述のステップ又は入れ替えのいずれかが分離されもよく、ポンピングステップを含有してもよい。
[0050]本明細書に用いられる“パルス”は、プロセスチャンバの反応ゾーンへ断続的又は非持続的に導入される具体的な化合物の量を意味するものである。各パルス内の具体的な化合物の量は、経時変化させることができ、パルスの持続に左右される。各パルスの持続は、多くの要因、例えば、使われたプロセスチャンバの容積容量、それに結合された真空システム、具体的な化合物自体の揮発性/反応性によって変化し得る。本明細書に用いられる“半反応”は、前駆物質ステップのパルス、続いてパージステップ又はパージガスのパルス、続いてパージステップを意味するものである。
実施例
[0051]実施例1-9は、カリフォルニア州、サンタクララにあるApplied Materials Inc.から入手できるALDバッチプロセスチャンバと、共同譲渡された米国特許第6,352,593号と同第6,321,680号に、2003年1月13日出願の“Methodand Appratusfor Layerby LayerDeposition of Thin Films”と称し米国第2003-0134038号として公開された共同譲渡され同時係属中の米国特許出願第10/342,151号に、また、2002年8月9日出願の“HighRate Depositionat LowPressure in a small BatchReactor”と称し米国第2003-0049372号として公開された共同譲渡され同時係属中の米国特許出願第10/216,079号に記載されたミニバッチプロセスチャンバ内で行うことができ、これらの開示内容は堆積プロセスを行う装置を記載するために本明細書に全体で援用されている。
[0052]実施例1-O によるHfO 堆積-26基板のバッチを、ミニバッチALDチャンバ内のボートのサセプタ上に位置決めする。リアクタを、0.6トールと真空の間に約5slmの窒素フローでサイクルパージする。続いて、プロセスチャンバを、約250℃で約0.6トールの圧力で連続窒素フローを約40分間維持し、5at%O/酸素で約30-60秒間前処理する。その後、基板をハフニウム前駆物質(窒素キャリヤガスにおけるTDMAH)とオゾンに連続してさらすことによってALDプロセスの間に酸化ハフニウム層を形成する。基板を約250℃に加熱し、複数のALDサイクルにさらす。各ALDサイクルは、TDMAHをチャンバへ約30秒間流すステップと、チャンバを約10秒間排気させるステップと、窒素(パージガス)をチャンバへ約15秒間流すステップと、チャンバを約15秒間排気させるステップと、オゾンをチャンバへ約30-60秒間流すステップと、チャンバを約10秒間排気させるステップと、窒素をチャンバへ約10秒間流すステップと、チャンバを約10秒間排気させるステップとを含む。ALDサイクルを合計17回繰り返して、約27オングストロームの厚さを有する酸化ハフニウム層を形成する。その後、プロセスチャンバを、約250℃において約0.6トールの圧力で維持し、中間処理プロセスの間の約5分間窒素とオゾンを含有する処理ガスにさらす。続いて、ALDサイクルの17サイクルと中間処理プロセスを、堆積/処理サイクルとして連続して繰り返す。堆積/処理サイクルを3回行い、約80オングストロームの厚さを有する酸化ハフニウム層を形成する。後処理プロセスの間、チャンバを約20サイクルについて約250℃において0.6トール以下の圧力でオゾンを含有する後処理ガスでサイクルパージし、約0.5slmの窒素フローと約0.6トールで連続してパージする。
[0053]実施例2-H OによるHfO 堆積-26基板のバッチを、ミニバッチALDチャンバ内のボートのサセプタ上に位置決めする。プロセスチャンバを、約200℃において約6トールの圧力で維持し、後処理プロセスの間の約40分間オゾン(15at%オゾン/酸素)を含有する前処理ガスにさらす。その後、基板をハフニウム前駆物質(窒素キャリヤガスにおけるTDEAH)と水蒸気(窒素キャリヤガスにおける)に連続してさらすことによってALDプロセスの間に酸化ハフニウム層を形成する。基板を、約200℃に加熱し、複数のALDサイクルにさらす。各ALDサイクルは、TDEAHをチャンバに約60秒間流すステップと、チャンバを約30秒間排気させるステップと、窒素(パージガス)をチャンバへ約30秒間流すステップと、チャンバを約30秒間排気させるステップと、水をチャンバへ約60秒間流すステップと、チャンバを約30秒間排気させるステップと、窒素をチャンバへ約30秒間流すステップと、チャンバを約30秒間排気させるステップとを含む。ALDサイクルを、合計10回繰り返し、約12オングストロームの厚さを有する酸化ハフニウム層を形成する。その後、プロセスチャンバを約200℃において約6トールの圧力で維持し、中間処理プロセスの間の約5分間窒素を含有する処理ガスにさらす。続いて、ALDサイクルの10サイクルと中間処理プロセスを、堆積/処理サイクルとして連続して繰り返す。堆積/処理サイクルを10回行い、約120オングストロームの厚さを有する酸化ハフニウム層を形成する。後処理プロセスの間、チャンバを約200℃において約6トールの圧力で約40分間維持し、オゾンを含有する後処理プロセスにさらす。
[0054]実施例3-HfO 均一ナノラミネート-26基板のバッチを、ミニバッチALDチャンバ内のボートのサセプタ上に位置決めする。リアクタを、0.6トールと真空の間に約5slmの窒素フローでサイクルパージする。続いて、プロセスチャンバを、約250℃において約0.6トールの圧力で連続窒素フローについて約40秒間維持し、15at%O/酸素で約30-60秒間前処理する。その後、基板をハフニウム前駆物質(窒素キャリヤガスにおけるTDEAH)とオゾンだけでなく、ハフニウム前駆物質と水蒸気に連続してさらすことによって、ALDプロセスの間に酸化ハフニウム層を形成する。基板を約250℃に維持し、複数のALDサイクルにさらす。
[0055]第一ALDサイクルは、TDEAHをチャンバへ約60秒間流すステップと、チャンバを約30秒間排気させるステップと、窒素(パージガス)をチャンバへ約30秒間流すステップと、チャンバを約30秒間排気させるステップと、オゾンをチャンバへ約60秒間流すステップと、チャンバを約30秒間排気させるステップと、窒素をチャンバへ約30秒間流すステップと、チャンバを約30秒間排気させるステップとを含む。ALDサイクルを合計5回繰り返し、約10オングストロームの厚さを有する酸化ハフニウム層を形成する。その後、プロセスチャンバを約300℃において約8トールの圧力で維持し、窒素と15at%オゾンを含有する第一処理ガスに第一中間処理プロセスの間の約5分間さらし、ALDサイクルと第一中間処理プロセスは、第一堆積/処理サイクルとして繰り返すことができる。
[0056]第二ALDサイクルは、TDEAHをチャンバへ約60秒間流すステップと、チャンバを約30秒間排気させるステップと、窒素(パージガス)をチャンバへ約30秒間流すステップと、チャンバを約30秒間排気させるステップと、水蒸気をチャンバへ約60秒間流すステップと、チャンバを約30秒間排気させるステップと、窒素をチャンバへ約30秒間流すステップと、チャンバを約30秒間排気させるステップとを含む。ALDサイクルを合計5回繰り返し、約10オングストロームの厚さを有する酸化ハフニウム層を形成する。その後、プロセスチャンバを約300℃において約8トールの圧力で維持し、窒素を含有する第二処理ガスに第一中間処理プロセスの間の約5分間さらし、ALDサイクルと第二中間処理プロセスを第二堆積/処理サイクルとして繰り返すことができる。
[0057]第一堆積/処理サイクルに続いて第二堆積/処理サイクルを含有するサイクルを6回行い、約120オングストロームの厚さを有する酸化ハフニウムを形成する。後処理プロセスの間、約250℃において約8トールの圧力で約40分間維持し、オゾンを含有する後処理ガスにさらす。
[0058]実施例4-O によるSiO 堆積-26基板のバッチを、ミニバッチALDチャンバ内のボートのサセプタ上に位置決めする。リアクタを、86トールと真空の間に約5slmの窒素フローでサイクルパージする。続いて、プロセスチャンバを、約300℃において約8トールの圧力で窒素の連続フローについて約40秒間維持し、15at%Oで約30-60秒間前処理する。その後、基板をシリコン前駆物質(窒素キャリヤガスにおけるトリス-DMAS)とオゾン(15at%オゾン/酸素)に連続してさらすことによって、ALDプロセスの間に酸化シリコン層を形成する。基板を、約300℃に加熱し、複数のALDサイクルにさらす。各ALDサイクルは、チャンバへのトリス-DMASを約45秒間流すステップと、チャンバを約20秒間排気させるステップと、窒素(パージガス)をチャンバへ約20秒間流すステップと、チャンバを約20秒間排気させるステップと、オゾンをチャンバへ約45秒間流すステップと、チャンバを約20秒間排気させるステップと、窒素をチャンバへ約20秒間流すステップと、チャンバを約20秒間排気させるステップとを含む。ALDサイクルを合計20回繰り返し、約25オングストロームの厚さを有する酸化シリコン層を形成する。その後、プロセスチャンバを約300℃において約8トールの圧力で維持し、窒素を含有する処理ガスに中間処理プロセスの間の約6分間さらす。続いて、ALDサイクルの20サイクルと中間処理プロセスを、堆積/処理サイクルとして連続して繰り返す。堆積/処理サイクルを8回行い、約200オングストロームの厚さを有する酸化シリコン層を形成する。後処理プロセスの間、チャンバを約300℃において約8トールの圧力で約30分間維持し、オゾンを含有する後処理ガスにさらす。
[0059]実施例5-O によるAl 堆積-26基板のバッチを、ミニバッチALDチャンバ内のボートのサセプタ上に位置決めする。プロセスチャンバは、約280℃において約5トールの圧力で維持し、前処理プロセスの間の約30分間オゾン(10at%O/酸素)を含有する前処理ガスにさらす。その後、基板をアルミニウム前駆物質(トリメチルアルミニウム-TMA)とオゾン(10at%オゾン/酸素)に連続してさらすことによって、酸化アルミニウム層をALDプロセスの間に形成する。基板を、約280℃に維持し、複数のALDサイクルにさらす。各ALDサイクルは、TMAをチャンバに約5秒間流すステップと、チャンバを約8秒間排気するステップと、窒素(パージガス)をチャンバへ約6秒間流すステップと、チャンバを約10秒間排気させるステップと、オゾンをチャンバへ約15秒間流すステップと、チャンバを約20秒間排気させるステップと、窒素をチャンバへ約20秒間流すステップと、チャンバを約20秒間排気させるステップとを含む。ALDサイクルを合計15回繰り返し、約20オングストロームの厚さを有する酸化アルミニウム層を形成する。その後、プロセスチャンバを、約300℃において約5トールの圧力で維持し、窒素含有処理ガスへ中間処理プロセスの間の約4分間さらす。続いて、ALDサイクルの15サイクルと中間処理プロセスを、堆積/処理サイクルとして連続して繰り返す。堆積/処理サイクルを6回行い、約120オングストロームの厚さを有する酸化アルミニウム層を形成する。後処理プロセスの間、チャンバを約300℃において約5トールの圧力で約30分間維持し、オゾンを含有する後処理プロセスガスにさらす。
[0060]実施例6-O によるHfSiO 堆積-26基板のバッチを、ミニバッチALDチャンバ内のボートのサセプタ上に位置決めする。プロセスチャンバを、約250℃において約8トールの圧力で維持し、オゾン(15at%オゾン/酸素)を含有する前処理ガスに前処理プロセスの間の約40分間さらす。その後、基板をハフニウム前駆物質(窒素キャリヤガスにおけるTDEAH)と、オゾン(15at%オゾン/酸素)と、シリコン前駆物質(窒素キャリヤにおけるトリス-DMAS)と、オゾンに連続してさらすことによって、ケイ酸ハフニウム層をALDプロセスの間に形成する。基板を約300℃に加熱し、複数のALDサイクルにさらす。各ALDサイクルは、TDEAHをチャンバへ約60秒間流すステップと、チャンバを約30秒間排気させるステップと、窒素(パージガス)をチャンバへ約30秒間流すステップと、チャンバを約30秒間排気させるステップと、オゾンをチャンバへ約60秒間流すステップと、チャンバを約30秒間排気させるステップと、窒素をチャンバへ約30秒間流すステップと、チャンバを約30秒間排気させるステップと、トリス-TMASをチャンバへ約60秒間流すステップと、チャンバを約30秒間排気させるステップと、窒素(パージガス)をチャンバへ約30秒間流すステップと、チャンバを約30秒間排気させるステップと、オゾンをチャンバへ約60秒間流すステップと、チャンバを約30秒間排気させるステップと、窒素をチャンバへ約30秒間流すステップと、チャンバを約30秒間排気させるステップとを含む。ALDサイクルを合計5回繰り返し、約20オングストロームの厚さを有するケイ酸化物層を形成する。その後、プロセスチャンバを、約300℃において約8トールの圧力で維持し、窒素を含有する処理ガスに中間処理プロセスの間の約5分間さらす。続いて、ALDサイクルの5サイクルと中間処理プロセスを、堆積/処理サイクルとして連続して繰り返す。堆積/処理サイクルを6回行い、約120オングストロームの厚さを有するケイ酸ハフニウム層を形成する。後処理プロセスの間、チャンバを約250℃において約8トールの圧力で約40分間維持し、オゾンを含有する後処理プロセスにさらす。
[0061]実施例7-O によるHfSiO (コフロー)堆積-26基板のバッチを、ミニバッチALDチャンバ内のボートのサセプタ上に位置決めする。プロセスチャンバを約250℃において約8トールの圧力で維持し、オゾン(15at%オゾン/酸素)を含有する前処理ガスへ前処理プロセスの間の約40分間さらす。その後、基板をハフニウム/シリコン前駆物質混合物(窒素キャリヤガスにおいてTDEAH/トリス-DMAS(1:1))とオゾン(15at%オゾン/酸素)に連続してさらすことによって、ケイ酸化ハフニウム層をALDプロセスの間に形成する。基板を約300℃に加熱し、複数のALDサイクルにさらす。各ALDサイクルは、TDEAH/トリス-DMAS混合物をチャンバへ約60秒間流すステップと、チャンバを約30秒間排気させるステップと、窒素(パージガス)をチャンバへ約30秒間流すステップと、チャンバを約30秒間排気させるステップと、オゾンをチャンバへ約60秒間流すステップと、チャンバを約30秒間排気させるステップと、窒素をチャンバへ約30秒間流すステップと、チャンバを約30秒間排気させるステップとを含む。ALDサイクルを合計8回繰り返し、約20オングストロームの厚さを有するケイ酸化ハフニウム層を形成する。その後、プロセスチャンバを、約300℃において約8トールの圧力で維持し、窒素を含有する処理ガスに中間処理プロセスの間の約5分間さらす。続いて、ALDサイクルの8サイクルと中間処理プロセスを、堆積/処理サイクルとして連続して繰り返す。堆積/処理サイクルを5回行い、約100オングストロームの厚さを有するケイ酸化ハフニウム層を形成する。後処理プロセスの間、チャンバを約250℃において約8トールの圧力で約40分間維持し、オゾンを含有する後処理プロセスにさらす。
[0062]実施例8-Si Cl とNH によるSiN -ミニバッチALDチャンバを連続アンモニア(NH)フローで約550℃のプロセス温度において処理する。NHの流量は約3.5slmであり、チャンバを約8トールの圧力で約12.5分間維持する。その後、チャンバを約30秒間排気する。続いて、チャンバをヘキサクロロジシラン(HCD)を置換したNとNHで模擬SiNプロセスで処理する。チャンバにいくつかのはだかのシリコンを装填して、パーティクルレベルをモニタする。
[0063]N/NHプロセスの場合、チャンバを以下のプロセスステップで処理する。チャンバを約6.3slmのNフローと約0.4slmのアルゴン(Ar)フローでステップにつき約5秒間持続して5回サイクルパージする。約8トールに固定した圧力において、チャンバを、約6.3slmのNフローと約0.4slmのArフローで約45秒間連続してパージする。チャンバを、約1.3slmのNフローと約0.4slmのArフローで約15秒間排気させる。チャンバを、10模擬ALDSiN(N/NH)サイクルで処理する。チャンバを、約3.5slmのNHフローと約0.75slmのNフローで20回サイクルパージする。パージステップは約15秒間持続し、ポンプステップは約20秒間持続する。チャンバを約6.3slmのNフローと約0.4slmのArフローで連続してパージする。最後に、チャンバをガスフローなしで30秒間排気させる。
[0064]模擬ALDSiNプロセスの場合、一実験において、0.12μmを超えるサイズの加算器はPMスロット24において26であり、PMスロット8において57であった。次に、チャンバを10サイクルSiNプロセスで処理して、チャンバ内のゆるんだいかなるパーティクルも固定する。チャンバのこの前処理の後、製品ウエハによる処理は、パーティクルレベルが規格を超えるまで又はチャンバが8時間超える間アイドリングするまで続けることができる。チャンバがアイドリングしている間、チャンバは模擬ALDSiN(N/N)プロセスに供しなければならない。チャンバ処理後、基板をALDSiNのためのミニバッチALDチャンバ内のボートのサセプタ上に位置決めした。
[0065]ウエハを以下の方法で処理した。チャンバを、ステップにつき約5秒間持続して約6.3slmのNフローと約0.4slmのArフローで5回サイクルパージする。約8トールに固定した圧力において、チャンバと基板を、約6.3slmのNフローと約0.4slmのArフローで約1,765秒間連続してパージする。チャンバとウエハを、約1.3slmのNフローと約0.4slmのArフローで約15秒間排気させる。チャンバとウエハを、任意数のALDSiN(HCD/NH)サイクルで処理する。チャンバとウエハを、約3.5slmのNHフローと約0.75slmのNフローで20回サイクルパージする。パージステップは約15秒間持続し、ポンプステップは約20秒間持続する。チャンバとウエハは、約6.3slmのNフローと約0.4slmのArフローで連続してパージする。最後に、チャンバとウエハをガスフローなしで30秒間排気させる。チャンバ処理とチャンバ/ウエハ処理において、0.2μmを超えるサイズの膜内パーティクル加算器は、典型的には、約100オングストロームの厚さを有するALDSiN膜について50未満である。チャンバ処理とチャンバ/ウエハ処理を含まない0.2μmを超えるサイズの膜内粒子加算器は、典型的には、約100オングストロームのALDSiN膜厚について500を超える。
[0066]実施例9-Si Cl とNH によるSiN (仮定)-ミニバッチALDチャンバを連続NHフローで約550℃のプロセス温度において処理する。NHの流量は約3.5slmであり、チャンバを約8トールの圧力で約12.5分間維持する。その後、チャンバを約30秒間排気する。続いて、チャンバをヘキサクロロジシラン(HCD)とNHを含有するSiNプロセスで処理する。チャンバにいくつかのはだかのシリコンを装填して、パーティクルレベルをモニタする。
[0067]プロセスのNHステップの場合、チャンバを以下のプロセスステップで処理する。チャンバを約6.3slmのHCDフローと約0.4slmのArフローでステップにつき約5秒間持続して5回サイクルパージする。約8トールに固定した圧力において、チャンバを、約6.3slmのHCDフローと約0.4slmのArフローで約45秒間連続してパージする。チャンバを、約1.3slmのHCDフローと約0.4slmのArフローで約15秒間排気させる。チャンバを、10ALDSiN(HCD/NH)サイクルで処理する。チャンバを、約3.5slmのNHフローと約0.75slmのHCDフローで20回サイクルパージする。パージステップは約15秒間持続し、ポンプステップは約20秒間持続する。チャンバを約6.3slmのHCDフローと約0.4slmのArフローで連続してパージする。最後に、チャンバをガスフローなしで30秒間排気させる。
[0068]ALDSiNプロセスの場合、一実験において、0.12μmを超えるサイズの加算器はPMスロット24において26であり、PMスロット8において57であった。次に、チャンバを10サイクルSiNプロセスで処理して、チャンバ内のゆるんだいかなるパーティクルも固定する。チャンバのこの前処理の後、製品ウエハによる処理は、パーティクルレベルが規格を超えるまで又はチャンバが8時間超える間アイドリングするまで続けることができる。チャンバがアイドリングしている間、チャンバはALDSiN(N/N)プロセスに供しなければならない。チャンバ処理後、基板をALDSiNのためのミニバッチALDチャンバ内のボートのサセプタ上に位置決めした。
[0069]ウエハを以下の方法で処理した。チャンバを、ステップにつき約5秒間持続して約6.3slmのHCDフローと約0.4slmのArフローで5回サイクルパージする。約8トールに固定した圧力において、チャンバと基板を、約6.3slmのNフローと約0.4slmのArフローで約1,765秒間連続してパージする。チャンバとウエハを、約1.3slmのHCDフローと約0.4slmのArフローで約15秒間排気させる。チャンバとウエハを、任意数のALDSiN(HCD/NH)サイクルで処理する。チャンバとウエハを、約3.5slmのHCDフローと約0.75slmのNフローで20回サイクルパージする。パージステップは約15秒間持続し、ポンプステップは約20秒間持続する。チャンバとウエハは、約6.3slmのHCDフローと約0.4slmのArフローで連続してパージする。最後に、チャンバとウエハをガスフローなしで30秒間排気させる。チャンバ処理とチャンバ/ウエハ処理において、0.2μmを超えるサイズの膜内パーティクル加算器は、典型的には、約100オングストロームの厚さを有するALDSiN膜について50未満である。チャンバ処理とチャンバ/ウエハ処理を含まない0.2μmを超えるサイズの膜内粒子加算器は、典型的には、約100オングストロームのALDSiN膜厚について500を超える。
[0070]上記は本発明の実施形態に関するが、本発明の他の多くの実施形態もそれらの基本的な範囲から逸脱することなく考案することができ、それらの範囲は以下の特許請求の範囲によって決定される。
図1は、本明細書に記載される実施形態によるプロセス順序を示す図である。 図2は、本明細書に記載される他の実施形態によるプロセス順序を示す図である。
符号の説明
100…プロセス、102、104、106、108、110…ステップ、200…プロセス、202、204、206、208、210、212、214、216…ステップ。

Claims (20)

  1. プロセスチャンバ内で基板上に物質を形成する方法であって、
    プロセスチャンバを前処理プロセスにさらすステップと;
    該プロセスチャンバ内の少なくとも一つの基板をALDプロセスにさらすステップであって、
    ALDサイクル中に該少なくとも一つの基板を連続して少なくとも二つの化学前駆物質にさらす工程、
    該ALDサイクルを所定数のサイクル繰り返す工程、
    各所定数のサイクル後に処理プロセスを行う工程、
    を含む、前記ステップと;
    該プロセスチャンバを後処理プロセスにさらすステップと;
    を含む、前記方法。
  2. 該プロセスチャンバがバッチプロセスチャンバである、請求項1に記載の方法。
  3. 該少なくとも一つの基板が、25以上の基板を含む複数の基板である、請求項2に記載の方法。
  4. 該複数の基板が約100の基板を含む、請求項3に記載の方法。
  5. 該前処理プロセスと該後処理プロセスが、それぞれ独立して、不活性ガス、酸化ガス、窒化ガス、還元ガス、それらのプラズマ、それらの誘導体、及びそれらの組合わせからなる群より選ばれる処理ガスを含む、請求項1に記載の方法。
  6. 該前処理プロセスと該後処理プロセスが、それぞれ独立して、オゾン、水、アンモニア、窒素、アルゴン、水素、それらのプラズマ、それらの誘導体、及びそれらの組合わせからなる群より選ばれる処理ガスを含む、請求項5に記載の方法。
  7. プロセスチャンバ内で基板上に物質を形成する方法であって、
    バッチチャンバを前処理プロセスにさらすステップと;
    該バッチプロセスチャンバ内の複数の基板を該基板上に物質を形成するためのALDプロセスにさらすステップであって、該ALDプロセスが、
    ALDサイクル中に該基板を連続して第一化学前駆物質と第二化学前駆物質にさらす工程、
    該ALDサイクルを繰り返して、所定の厚さを有する該物質の層を形成する工程、
    を含む、前記ステップと;
    該ALDプロセス中に少なくとも一つの処理プロセスを行うステップと;
    該プロセスチャンバを後処理プロセスにさらすステップと;
    を含む、前記方法。
  8. 該少なくとも一つの処理プロセスが所定数のALDサイクル後に行われる、請求項7に記載の方法。
  9. 該少なくとも一つの処理プロセスと該所定数のALDサイクルが、プロセスサイクル中に繰り返される、請求項8に記載の方法。
  10. 該プロセスサイクルを繰り返して該物質を形成する、請求項9に記載の方法。
  11. 該複数の基板が約25以上の基板を含む、請求項10に記載の方法。
  12. 該前処理プロセスと該後処理プロセスが、それぞれ独立して、オゾン、水、アンモニア、窒素、アルゴン、水素、それらのプラズマ、それらの誘導体、及びそれらの組合わせからなる群より選ばれる処理ガスを含む、請求項7に記載の方法。
  13. 該複数の基板が約25以上の基板を含む、請求項12に記載の方法。
  14. 該前処理プロセスと該後処理プロセスが、それぞれ独立して、オゾン、水、アンモニア、窒素、アルゴン、水素、それらのプラズマ、それらの誘導体、及びそれらの組合わせからなる群より選ばれる処理ガスを含む、請求項13に記載の方法。
  15. プロセスチャンバ内で基板上に物質を形成する方法であって、
    バッチプロセスチャンバを前処理プロセスにさらすステップと;
    該バッチプロセスチャンバ内の複数の基板を該基板上にハフニウム含有物質を形成するためのALDプロセスにさらすステップであって、該ALDプロセスが、
    ALDサイクル中に該基板を連続してハフニウム前駆物質と酸化ガスにさらす工程、
    該ALDサイクルを繰り返して、所定の厚さを有するハフニウム含有層を形成する工程、
    を含む、前記ステップと;
    該ALDプロセス中に少なくとも一つの処理プロセスを行うステップと;
    を含む、前記方法。
  16. 該少なくとも一つの処理プロセスが、所定数のALDサイクル後に行われる、請求項15に記載の方法。
  17. 該少なくとも一つの処理プロセスと該所定数のALDサイクルが、プロセスサイクル中に繰り返される、請求項16に記載の方法。
  18. 該プロセスサイクルを繰り返して該物質を形成する、請求項17に記載の方法。
  19. 該複数の基板が約25以上の基板を含む、請求項15に記載の方法。
  20. 該前処理プロセスと後処理プロセスが、それぞれ独立して、オゾン、水、アンモニア、窒素、アルゴン、水素、それらのプラズマ、それらの誘導体、及びそれらの組合わせからなる群より選ばれる処理ガスを含む、請求項19に記載の方法。
JP2008531413A 2005-09-21 2006-09-18 バッチaldリアクタのための処理プロセス Active JP5813281B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/232,455 2005-09-21
US11/232,455 US20070065578A1 (en) 2005-09-21 2005-09-21 Treatment processes for a batch ALD reactor
PCT/US2006/036292 WO2007038050A2 (en) 2005-09-21 2006-09-18 Treatment processes for a batch ald reactor

Publications (2)

Publication Number Publication Date
JP2009509039A true JP2009509039A (ja) 2009-03-05
JP5813281B2 JP5813281B2 (ja) 2015-11-17

Family

ID=37884492

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008531413A Active JP5813281B2 (ja) 2005-09-21 2006-09-18 バッチaldリアクタのための処理プロセス

Country Status (6)

Country Link
US (1) US20070065578A1 (ja)
JP (1) JP5813281B2 (ja)
KR (1) KR20080050510A (ja)
CN (1) CN101553597A (ja)
TW (1) TWI426547B (ja)
WO (1) WO2007038050A2 (ja)

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008066483A (ja) * 2006-09-06 2008-03-21 Tokyo Electron Ltd 酸化膜の形成方法、酸化膜の形成装置及びプログラム
JP2009246365A (ja) * 2008-03-28 2009-10-22 Tokyo Electron Ltd 原子層堆積(ald)法及び化学気相成長(cvd)法を用いた高誘電率膜のその場ハイブリッド堆積
WO2012147680A1 (ja) * 2011-04-25 2012-11-01 東京エレクトロン株式会社 成膜方法
JP2012235125A (ja) * 2011-04-28 2012-11-29 Samsung Electronics Co Ltd 酸化物層の形成方法及びそれを含む半導体素子の製造方法
JP2013175720A (ja) * 2012-01-24 2013-09-05 Fumihiko Hirose 薄膜形成方法および装置
JP2014090181A (ja) * 2013-11-25 2014-05-15 Tokyo Electron Ltd 成膜装置、成膜方法及び記憶媒体
JP2014175320A (ja) * 2013-03-05 2014-09-22 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理装置およびプログラム
JP2014187393A (ja) * 2009-11-20 2014-10-02 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法および基板処理装置
JP2015119045A (ja) * 2013-12-18 2015-06-25 大陽日酸株式会社 窒化ケイ素含有薄膜の形成方法
JP2015519471A (ja) * 2012-04-05 2015-07-09 ダイソン テクノロジー リミテッド 原子層堆積法
JP2015185821A (ja) * 2014-03-26 2015-10-22 東京エレクトロン株式会社 薄膜形成装置の立ち上げ方法、及び、薄膜形成装置
JP2015188028A (ja) * 2014-03-27 2015-10-29 東京エレクトロン株式会社 薄膜形成方法、及び、薄膜形成装置
JP2016018888A (ja) * 2014-07-08 2016-02-01 豊田合成株式会社 半導体装置およびその製造方法
JP2016018907A (ja) * 2014-07-09 2016-02-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP2018048394A (ja) * 2008-09-08 2018-03-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated インサイチュチャンバ処理および堆積プロセス
US9966252B2 (en) 2009-11-20 2018-05-08 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device and substrate processing apparatus
JP2019071497A (ja) * 2019-02-13 2019-05-09 豊田合成株式会社 半導体装置およびその製造方法
JP2022531859A (ja) * 2019-05-03 2022-07-12 アプライド マテリアルズ インコーポレイテッド 材料構造を向上させる処理

Families Citing this family (427)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7037863B2 (en) * 2002-09-10 2006-05-02 Samsung Electronics Co., Ltd. Post thermal treatment methods of forming high dielectric layers over interfacial layers in integrated circuit devices
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7235492B2 (en) * 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
GB0522471D0 (en) * 2005-11-03 2005-12-14 Cavendish Kinetics Ltd Memory element fabricated using atomic layer deposition
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7939455B2 (en) * 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US20100267231A1 (en) * 2006-10-30 2010-10-21 Van Schravendijk Bart Apparatus for uv damage repair of low k films prior to copper barrier deposition
US8465991B2 (en) * 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US10037905B2 (en) * 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
JP2008192686A (ja) * 2007-02-01 2008-08-21 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP5039396B2 (ja) * 2007-02-19 2012-10-03 ローム株式会社 半導体装置の製造方法
US7531452B2 (en) * 2007-03-30 2009-05-12 Tokyo Electron Limited Strained metal silicon nitride films and method of forming
US7494937B2 (en) * 2007-03-30 2009-02-24 Tokyo Electron Limited Strained metal silicon nitride films and method of forming
US8636019B2 (en) * 2007-04-25 2014-01-28 Edwards Vacuum, Inc. In-situ removal of semiconductor process residues from dry pump surfaces
CN101308794B (zh) * 2007-05-15 2010-09-15 应用材料股份有限公司 钨材料的原子层沉积
US7928019B2 (en) * 2007-08-10 2011-04-19 Micron Technology, Inc. Semiconductor processing
JP5098882B2 (ja) * 2007-08-31 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
JP4959733B2 (ja) * 2008-02-01 2012-06-27 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8076237B2 (en) * 2008-05-09 2011-12-13 Asm America, Inc. Method and apparatus for 3D interconnect
JP5344873B2 (ja) * 2008-08-28 2013-11-20 三菱電機株式会社 炭化珪素半導体装置の製造方法
US20100062149A1 (en) * 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
CN102224571A (zh) * 2008-11-21 2011-10-19 国立大学法人长冈技术科学大学 基板处理方法以及基板处理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8071452B2 (en) * 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US9159551B2 (en) 2009-07-02 2015-10-13 Micron Technology, Inc. Methods of forming capacitors
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
WO2011057114A2 (en) * 2009-11-09 2011-05-12 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Methods of making and deposition methods using hafnium- or zirconium-containing compounds
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
CN102687252A (zh) * 2009-12-30 2012-09-19 应用材料公司 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法
WO2011109148A2 (en) * 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
US8563095B2 (en) * 2010-03-15 2013-10-22 Applied Materials, Inc. Silicon nitride passivation layer for covering high aspect ratio features
JP5423529B2 (ja) * 2010-03-29 2014-02-19 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
KR101147727B1 (ko) * 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US20120213940A1 (en) * 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
PL2714263T3 (pl) * 2011-06-03 2020-06-29 Northwestern University Kompozycja katalizatora metalicznego
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
WO2013048872A1 (en) * 2011-09-26 2013-04-04 Applied Materials, Inc. Pretreatment and improved dielectric coverage
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI498450B (zh) * 2012-11-22 2015-09-01 Nat Applied Res Laboratories Closed flow channel reaction tank system for manufacturing catalyst or support material
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) * 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
EP3022329A4 (en) * 2013-07-16 2017-03-22 3M Innovative Properties Company Sheet coating method
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
WO2015132443A1 (en) * 2014-03-03 2015-09-11 Picosun Oy Protecting an interior of a gas container with an ald coating
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) * 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6225837B2 (ja) 2014-06-04 2017-11-08 東京エレクトロン株式会社 成膜装置、成膜方法、記憶媒体
JP6363408B2 (ja) * 2014-06-23 2018-07-25 東京エレクトロン株式会社 成膜装置および成膜方法
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9548188B2 (en) 2014-07-30 2017-01-17 Lam Research Corporation Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
WO2016067159A1 (en) 2014-10-28 2016-05-06 Semiconductor Energy Laboratory Co., Ltd. Functional panel, method for manufacturing the same, module, data processing device
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
JP6354539B2 (ja) * 2014-11-25 2018-07-11 東京エレクトロン株式会社 基板処理装置、基板処理方法、記憶媒体
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) * 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10309011B2 (en) * 2015-07-29 2019-06-04 Korea Research Institute Of Standards And Science Method for manufacturing two-dimensional transition metal dichalcogemide thin film
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9935005B2 (en) * 2015-11-13 2018-04-03 Applied Materials, Inc. Techniques for filling a structure using selective surface modification
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10153156B2 (en) * 2016-12-15 2018-12-11 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma enhanced atomic layer deposition
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10600648B2 (en) * 2017-04-20 2020-03-24 Lam Research Corporation Silicon-based deposition for semiconductor processing
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
SG11202008268RA (en) 2018-03-19 2020-10-29 Applied Materials Inc Methods for depositing coatings on aerospace components
US10668511B2 (en) * 2018-03-20 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of cleaning process chamber
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
WO2019209401A1 (en) 2018-04-27 2019-10-31 Applied Materials, Inc. Protection of components from corrosion
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US10985010B2 (en) * 2018-08-29 2021-04-20 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10971357B2 (en) * 2018-10-04 2021-04-06 Applied Materials, Inc. Thin film treatment process
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
DE102019101061B4 (de) * 2019-01-16 2022-02-17 Infineon Technologies Ag Verfahren zum ausbilden einer kontaktstruktur, verfahren zum ausbilden eines chipgehäuses und chipgehäuse
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20210143943A (ko) * 2019-04-19 2021-11-29 램 리써치 코포레이션 원자층 증착 동안 급속 플러시 퍼징
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11542597B2 (en) 2020-04-08 2023-01-03 Applied Materials, Inc. Selective deposition of metal oxide by pulsed chemical vapor deposition
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
WO2022005696A1 (en) 2020-07-03 2022-01-06 Applied Materials, Inc. Methods for refurbishing aerospace components
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11846021B2 (en) * 2020-09-30 2023-12-19 Uchicago Argonne, Llc Antimicrobial coatings
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003318174A (ja) * 2002-04-19 2003-11-07 Sony Corp 原子層蒸着法を用いた薄膜形成方法
JP2004031394A (ja) * 2002-06-21 2004-01-29 Fujitsu Ltd 半導体装置の製造方法
JP2005039146A (ja) * 2003-07-18 2005-02-10 Sharp Corp 気相成長装置および気相成長方法
WO2005034195A2 (en) * 2003-09-30 2005-04-14 Aviza Technology, Inc. Growth of high-k dielectrics by atomic layer deposition
WO2005036593A2 (en) * 2003-09-30 2005-04-21 Tokyo Electron Limited Deposition of silicon-containing films from hexachlorodisilane
JP2005159316A (ja) * 2003-10-30 2005-06-16 Tokyo Electron Ltd 半導体装置の製造方法及び成膜装置並びに記憶媒体
WO2005076338A1 (de) * 2004-02-03 2005-08-18 Infineon Technologies Ag Verwendung von gelösten hafniumalkoxiden bzw. zirkoniumalkoxiden als ausgangsmaterialien für hafniumoxid- und hafniumoxynitridschichten bzw. zirkoniumoxid- und zirkoniumoxynitridschichten

Family Cites Families (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5294286A (en) * 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
DE3743938C2 (de) * 1987-12-23 1995-08-31 Cs Halbleiter Solartech Verfahren zum Atomschicht-Epitaxie-Aufwachsen einer III/V-Verbindungshalbleiter-Dünnschicht
US5225366A (en) * 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5483919A (en) * 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5178681A (en) * 1991-01-29 1993-01-12 Applied Materials, Inc. Suspension system for semiconductor reactors
JP2764472B2 (ja) * 1991-03-25 1998-06-11 東京エレクトロン株式会社 半導体の成膜方法
DE4202158C1 (ja) * 1992-01-27 1993-07-22 Siemens Ag, 8000 Muenchen, De
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
JP3181171B2 (ja) * 1994-05-20 2001-07-03 シャープ株式会社 気相成長装置および気相成長方法
FI97731C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI100409B (fi) * 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97730C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
US6313035B1 (en) * 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6335280B1 (en) * 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
US6043177A (en) * 1997-01-21 2000-03-28 University Technology Corporation Modification of zeolite or molecular sieve membranes using atomic layer controlled chemical vapor deposition
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
JPH10308283A (ja) * 1997-03-04 1998-11-17 Denso Corp El素子およびその製造方法
US6013553A (en) * 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
KR100385946B1 (ko) * 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6352593B1 (en) * 1997-08-11 2002-03-05 Torrex Equipment Corp. Mini-batch process chamber
US6167837B1 (en) * 1998-01-15 2001-01-02 Torrex Equipment Corp. Apparatus and method for plasma enhanced chemical vapor deposition (PECVD) in a single wafer reactor
US6352594B2 (en) * 1997-08-11 2002-03-05 Torrex Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6348376B2 (en) * 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100269328B1 (ko) * 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
KR100275727B1 (ko) * 1998-01-06 2001-01-15 윤종용 반도체 장치의 커패시터 형성방법
US6042654A (en) * 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
US6015917A (en) * 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
TW437017B (en) * 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
KR100267885B1 (ko) * 1998-05-18 2000-11-01 서성기 반도체 박막증착장치
US6025627A (en) * 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
DE19843151C2 (de) * 1998-09-21 2001-03-08 Alfing Montagetechnik Gmbh Bearbeitungsvorrichtung mit mindestens einem Bearbeitungswerkzeug
KR100327328B1 (ko) * 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR100347379B1 (ko) * 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
US6524952B1 (en) * 1999-06-25 2003-02-25 Applied Materials, Inc. Method of forming a titanium silicide layer on a substrate
US6984415B2 (en) * 1999-08-20 2006-01-10 International Business Machines Corporation Delivery systems for gases for gases via the sublimation of solid precursors
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6753556B2 (en) * 1999-10-06 2004-06-22 International Business Machines Corporation Silicate gate dielectric
US7094284B2 (en) * 1999-10-07 2006-08-22 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of high dielectric constant and ferroelectric metal oxide thin films and method of using same
US6475276B1 (en) * 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
EP1233983A2 (en) * 1999-11-22 2002-08-28 Human Genome Sciences, Inc. Kunitz-type protease inhibitor polynucleotides, polypeptides, and antibodies
KR100705926B1 (ko) * 1999-12-22 2007-04-11 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
US6348420B1 (en) * 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
WO2001066832A2 (en) * 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
KR100427423B1 (ko) * 2000-05-25 2004-04-13 가부시키가이샤 고베 세이코쇼 Cvd용 인너튜브
EP1292970B1 (en) * 2000-06-08 2011-09-28 Genitech Inc. Thin film forming method
KR100332314B1 (ko) * 2000-06-24 2002-04-12 서성기 박막증착용 반응용기
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
KR100545706B1 (ko) * 2000-06-28 2006-01-24 주식회사 하이닉스반도체 반도체 소자 제조방법
DE10034003A1 (de) * 2000-07-07 2002-01-24 Infineon Technologies Ag Grabenkondensator mit Isolationskragen und entsprechendes Herstellungsverfahren
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
KR100396879B1 (ko) * 2000-08-11 2003-09-02 삼성전자주식회사 동일 물질로 이루어진 이중막을 포함하는 다중막으로캡슐화된 캐패시터를 구비한 반도체 메모리 소자 및 그의제조 방법
KR101027485B1 (ko) * 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6348386B1 (en) * 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
KR20040008193A (ko) * 2001-05-30 2004-01-28 에이에스엠 아메리카, 인코포레이티드 저온 로딩 및 소성
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
JP4680429B2 (ja) * 2001-06-26 2011-05-11 Okiセミコンダクタ株式会社 テキスト音声変換装置における高速読上げ制御方法
US20030010421A1 (en) * 2001-07-11 2003-01-16 Coffin Joseph H. Method for fabricating structural materials from used tires
US20030017697A1 (en) * 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US6548906B2 (en) * 2001-08-22 2003-04-15 Agere Systems Inc. Method for reducing a metal seam in an interconnect structure and a device manufactured thereby
US6674138B1 (en) * 2001-12-31 2004-01-06 Advanced Micro Devices, Inc. Use of high-k dielectric materials in modified ONO structure for semiconductor devices
AU2003221212A1 (en) * 2002-03-26 2003-10-08 Matsushita Electric Industrial Co., Ltd. Semiconductor device and production method therefor
ATE397275T1 (de) * 2002-06-10 2008-06-15 Imec Inter Uni Micro Electr Transistoren und speicherkondensatoren enthaltend eine hfo2-zusammensetzung mit erhöhter dielektrizitätskonstante
KR100476926B1 (ko) * 2002-07-02 2005-03-17 삼성전자주식회사 반도체 소자의 듀얼 게이트 형성방법
KR100505668B1 (ko) * 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US6723658B2 (en) * 2002-07-15 2004-04-20 Texas Instruments Incorporated Gate structure and method
US7105891B2 (en) * 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US6955211B2 (en) * 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
KR100468852B1 (ko) * 2002-07-20 2005-01-29 삼성전자주식회사 캐패시터 구조체 형성 방법
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6921062B2 (en) * 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US7449385B2 (en) * 2002-07-26 2008-11-11 Texas Instruments Incorporated Gate dielectric and method
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6921702B2 (en) * 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US6919251B2 (en) * 2002-07-31 2005-07-19 Texas Instruments Incorporated Gate dielectric and method
US20040029321A1 (en) * 2002-08-07 2004-02-12 Chartered Semiconductor Manufacturing Ltd. Method for forming gate insulating layer having multiple dielectric constants and multiple equivalent oxide thicknesses
US7186630B2 (en) * 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
KR100542736B1 (ko) * 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6960538B2 (en) * 2002-08-21 2005-11-01 Micron Technology, Inc. Composite dielectric forming methods and composite dielectrics
WO2004102648A2 (en) * 2003-05-09 2004-11-25 Asm America, Inc. Reactor surface passivation through chemical deactivation
WO2004113585A2 (en) * 2003-06-18 2004-12-29 Applied Materials, Inc. Atomic layer deposition of barrier materials
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US20070037412A1 (en) * 2005-08-05 2007-02-15 Tokyo Electron Limited In-situ atomic layer deposition

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003318174A (ja) * 2002-04-19 2003-11-07 Sony Corp 原子層蒸着法を用いた薄膜形成方法
JP2004031394A (ja) * 2002-06-21 2004-01-29 Fujitsu Ltd 半導体装置の製造方法
JP2005039146A (ja) * 2003-07-18 2005-02-10 Sharp Corp 気相成長装置および気相成長方法
WO2005034195A2 (en) * 2003-09-30 2005-04-14 Aviza Technology, Inc. Growth of high-k dielectrics by atomic layer deposition
WO2005036593A2 (en) * 2003-09-30 2005-04-21 Tokyo Electron Limited Deposition of silicon-containing films from hexachlorodisilane
JP2005159316A (ja) * 2003-10-30 2005-06-16 Tokyo Electron Ltd 半導体装置の製造方法及び成膜装置並びに記憶媒体
WO2005076338A1 (de) * 2004-02-03 2005-08-18 Infineon Technologies Ag Verwendung von gelösten hafniumalkoxiden bzw. zirkoniumalkoxiden als ausgangsmaterialien für hafniumoxid- und hafniumoxynitridschichten bzw. zirkoniumoxid- und zirkoniumoxynitridschichten

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008066483A (ja) * 2006-09-06 2008-03-21 Tokyo Electron Ltd 酸化膜の形成方法、酸化膜の形成装置及びプログラム
JP2009246365A (ja) * 2008-03-28 2009-10-22 Tokyo Electron Ltd 原子層堆積(ald)法及び化学気相成長(cvd)法を用いた高誘電率膜のその場ハイブリッド堆積
JP2018048394A (ja) * 2008-09-08 2018-03-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated インサイチュチャンバ処理および堆積プロセス
US9966251B2 (en) 2009-11-20 2018-05-08 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device and substrate processing apparatus
JP2014187393A (ja) * 2009-11-20 2014-10-02 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法および基板処理装置
US9966252B2 (en) 2009-11-20 2018-05-08 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device and substrate processing apparatus
WO2012147680A1 (ja) * 2011-04-25 2012-11-01 東京エレクトロン株式会社 成膜方法
US9034774B2 (en) 2011-04-25 2015-05-19 Tokyo Electron Limited Film forming method using plasma
JP2012235125A (ja) * 2011-04-28 2012-11-29 Samsung Electronics Co Ltd 酸化物層の形成方法及びそれを含む半導体素子の製造方法
KR101895398B1 (ko) 2011-04-28 2018-10-25 삼성전자 주식회사 산화물 층의 형성 방법 및 이를 포함하는 반도체 소자의 제조 방법
JP2013175720A (ja) * 2012-01-24 2013-09-05 Fumihiko Hirose 薄膜形成方法および装置
JP2015519471A (ja) * 2012-04-05 2015-07-09 ダイソン テクノロジー リミテッド 原子層堆積法
US9520282B2 (en) 2013-03-05 2016-12-13 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP2014175320A (ja) * 2013-03-05 2014-09-22 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理装置およびプログラム
JP2014090181A (ja) * 2013-11-25 2014-05-15 Tokyo Electron Ltd 成膜装置、成膜方法及び記憶媒体
JP2015119045A (ja) * 2013-12-18 2015-06-25 大陽日酸株式会社 窒化ケイ素含有薄膜の形成方法
JP2015185821A (ja) * 2014-03-26 2015-10-22 東京エレクトロン株式会社 薄膜形成装置の立ち上げ方法、及び、薄膜形成装置
JP2015188028A (ja) * 2014-03-27 2015-10-29 東京エレクトロン株式会社 薄膜形成方法、及び、薄膜形成装置
JP2016018888A (ja) * 2014-07-08 2016-02-01 豊田合成株式会社 半導体装置およびその製造方法
JP2016018907A (ja) * 2014-07-09 2016-02-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP2019071497A (ja) * 2019-02-13 2019-05-09 豊田合成株式会社 半導体装置およびその製造方法
JP2022531859A (ja) * 2019-05-03 2022-07-12 アプライド マテリアルズ インコーポレイテッド 材料構造を向上させる処理
JP7297932B2 (ja) 2019-05-03 2023-06-26 アプライド マテリアルズ インコーポレイテッド 材料構造を向上させる処理

Also Published As

Publication number Publication date
KR20080050510A (ko) 2008-06-05
WO2007038050A3 (en) 2009-04-16
JP5813281B2 (ja) 2015-11-17
TWI426547B (zh) 2014-02-11
US20070065578A1 (en) 2007-03-22
CN101553597A (zh) 2009-10-07
WO2007038050A2 (en) 2007-04-05
WO2007038050A8 (en) 2008-04-17
TW200721272A (en) 2007-06-01

Similar Documents

Publication Publication Date Title
JP5813281B2 (ja) バッチaldリアクタのための処理プロセス
US7972978B2 (en) Pretreatment processes within a batch ALD reactor
KR102434954B1 (ko) 금속 표면들 상에 블로킹 층들을 증착시키기 위한 방법들
KR101427142B1 (ko) 금속 규산염 막의 원자층 증착
TWI265207B (en) Preparation of metal silicon nitride films via cyclic deposition
CN1926668B (zh) 在高介电常数的介电材料上的硅的氮氧化物层的形成
KR101540534B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
JP5307513B2 (ja) Ald法又はcvd法による金属含有膜の調製
KR101461310B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 컴퓨터 판독가능한 기록 매체
US20150162185A1 (en) Atomic layer deposition of silicon carbon nitride based materials
JP2009508335A (ja) トリス(ジメチルアミド)シランを含むハフニウムシリケート材料の気相堆積
US20040198069A1 (en) Method for hafnium nitride deposition
KR20080011236A (ko) 유전체 물질의 플라즈마 처리
JP2008500741A (ja) 高誘電率誘電体材料の安定化
KR20150121217A (ko) SiCN 또는 SiCON을 포함하는 필름의 저온 원자층 증착
JP2009004786A (ja) パルスcvdとaldの併用による薄膜の堆積方法
TW201214561A (en) Method of manufacturing semiconductor device and substrate processing apparatus
KR20130107227A (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
KR101361673B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
KR20220062103A (ko) Peald 나이트라이드 막들
WO2014152826A1 (en) Deposition of films using disiloxane precursors

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090805

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101013

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120515

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120813

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120820

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120911

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120919

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120925

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121010

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121017

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121031

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130709

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131008

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20131105

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140304

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20140317

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20140516

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150413

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150709

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150916

R150 Certificate of patent or registration of utility model

Ref document number: 5813281

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250