JP2015519471A - 原子層堆積法 - Google Patents

原子層堆積法 Download PDF

Info

Publication number
JP2015519471A
JP2015519471A JP2015503940A JP2015503940A JP2015519471A JP 2015519471 A JP2015519471 A JP 2015519471A JP 2015503940 A JP2015503940 A JP 2015503940A JP 2015503940 A JP2015503940 A JP 2015503940A JP 2015519471 A JP2015519471 A JP 2015519471A
Authority
JP
Japan
Prior art keywords
deposition
substrate
chamber
delay
cycle
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2015503940A
Other languages
English (en)
Inventor
アマートゥンガ,ゲハン・アンジール・ジョセフ
チョイ,ヨンジン
シバレッディ,サイ・ギリドハール
ブラウン,ネイサン・チャールズ
コリス,チャールズ・アンソニー・ニールド
Original Assignee
ダイソン テクノロジー リミテッド
ダイソン テクノロジー リミテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ダイソン テクノロジー リミテッド, ダイソン テクノロジー リミテッド filed Critical ダイソン テクノロジー リミテッド
Publication of JP2015519471A publication Critical patent/JP2015519471A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Fixed Capacitors And Capacitor Manufacturing Machines (AREA)

Abstract

原子層堆積プロセスを使用して、基材上に材料を堆積する方法であって、前記堆積プロセスが、第1の堆積ステップと、前記第1の堆積ステップに後続する第2の堆積ステップと、前記第1の堆積ステップおよび前記第2の堆積ステップ間の少なくとも1分の遅延とを含む、方法。各堆積ステップは、複数の堆積サイクルを含む。選択した1回の堆積サイクルの終わりで、基材を収容する処理チャンバーにパージガスを供給する時間を延長することによって、遅延を堆積プロセスに導入する。

Description

本発明は、原子層堆積法を使用して基材を被覆する方法に関する。
原子層堆積法(ALD)は、各堆積サイクルを通じて、所与の量の材料を堆積する薄膜堆積技術である。したがって、被膜厚さの制御が容易である。一マイナス面は、被膜が積層される速度である。
ALDは、材料の個別または部分的単層の逐次堆積に基づく。膜が堆積される表面を種々の前駆体に順次曝露した後、成長反応器のパージングを行なって残留する化学的に活性の原料ガスまたは副産物をすべて除去する。成長表面が前駆体に晒されると、その前駆体の単層によって完全に飽和される。単層の厚さは、その前駆体の成長表面との反応性によって決まる。これは、優れたコンフォーマリティおよび均一性、ならびに容易で正確な膜厚さの制御など、多数の利点をもたらす。
ALDには、熱強化型ALDとプラズマ強化型ALD(PEALD)の2つのタイプがある。ALDは、二元反応に基づく化学蒸着(CVD)に非常に類似している。ALDの作り方は、二元反応に基づくCVD法を見つけ、次いで異なる2種の反応物を個別に順次適用するものである。ALDでは、反応が様々な温度で自然に生じ、プラズマまたはラジカルの助けなしに実行できるので熱ALDと呼ばれる。単元素膜は、熱ALDプロセスを使用して堆積するのは難しいが、プラズマまたはラジカル強化型ALDを使用して堆積できる。熱ALDは、より速く、より良好なアスペクト比の膜を生成する傾向があり、そのため熱ALDプロセスとPEALDプロセスとを組み合わせることが公知である。プラズマ中のラジカルまたは他のエネルギー種が、熱エネルギーのみの使用では不可能な反応を誘導するのを助ける。単元素材料に加え、複合材もプラズマALDを使用して堆積できる。重要な一利点は、プラズマALDが熱ALDよりかなり低い温度で膜を堆積できる点である。酸素プラズマALDも、疎水性表面上に金属酸化物をコンフォーマルに堆積することができる。
ALDにおいて、膜の成長は周期的な様式で起こる。図18を参照すると、最も単純な場合、1サイクルは4つの段階からなる。プロセス開始時点で、チャンバーは基本真空600にあり、次いで、堆積プロセス全体を通して、不活性ガス(アルゴンまたは窒素)の流れが絶えず堆積チャンバー内に導入され、一定の基本圧力610が築かれる。このガス流は、パージサイクル中、パージガスとしても役立つ。堆積サイクルは以下の通りである。
(i) 第1の前駆体の曝露620により、堆積チャンバー内の圧力に鋭いピークが生じる、
(ii) ガス流によるパージ630、または反応チャンバーの排気、
(iii) 第2の前駆体の曝露640により、堆積チャンバー内の圧力に鋭いピークが生じる、
(iv) パージまたは排気650。
堆積サイクルを、所望の膜の厚さが得られるのに必要なだけ繰り返す。
第1の態様によれば、本発明は、基材上に材料を堆積する方法であって、
基材を設けるステップと、
原子層堆積法を使用して基材上に被膜を堆積するステップと
を含み、前記堆積法が、第1の堆積ステップ、堆積休止、後続して第2の堆積ステップを含む、
方法を提供する。
堆積ステップは、複数の堆積サイクルを含む。各堆積サイクルは、被膜の層を作るのに必要なすべての堆積段階を含む。例えば、酸化物を生成するために、各堆積サイクルは、金属前駆体および酸化前駆体それぞれのための1つまたは複数の堆積段階を含み、一例として、酸化ハフニウムを生成するために、ハフニウムおよび酸化前駆体それぞれに1つの堆積段階がある。被膜は、休止または遅延によって分けられる2つの堆積ステップによって生成されてきたと見なすことができる。すなわち、被膜は、多数の堆積サイクル完了、休止、次いで多数の堆積サイクルを含む第2のセット完了によって生成される。
休止は、堆積プロセス中の中断または遅延であり、これは、基材上に堆積される材料のある特性に好都合であることが分かっている。遅延は、好ましくは少なくとも1分の継続時間を有する。したがって、第2の態様において、本発明は、原子層堆積プロセスを使用して基材上に材料を堆積する方法であって、前記堆積プロセスが、第1の堆積ステップと、前記第1の堆積ステップに後続する第2の堆積ステップと、前記第1の堆積ステップおよび前記第2の堆積ステップ間の少なくとも1分間の遅延とを含む、方法を提供する。
第1および第2の堆積ステップ間の遅延または休止は、パージまたは曝露の段階とは異なる。パージは、毎回、曝露段階の後に続き、一原子層(すなわち金属酸化物)が形成してもしなくても堆積チャンバーを排気しなければならない。一方、遅延は、原子層の堆積が1回完了した後のみ起こり、連続する堆積プロセスの流れを中断またはそれに介入する。したがって、遅延は堆積サイクル中の段階の1つではないことから、遅延はパージ段階と区別され得る。同様に、遅延は、反応物がチャンバー内に導入される曝露段階から区別され得るが、その理由は、この段階で圧力が増加し、さらにこれは堆積サイクル中の段階の1つだからである。さらに、遅延または休止中、チャンバー内の温度は維持されることが好ましい。すなわち、遅延または休止での温度条件は、堆積ステップのそれと実質的に類似している。遅延または休止は、最後の被覆基材の温度が上昇する堆積後アニーリングステップではなく、むしろ2つの堆積ステップ間または2セットの堆積サイクル間の中間ステップである。
処理チャンバー内の基本圧力を一定に維持することによって、例えば第1の堆積ステップおよび第2の堆積ステップ間で少なくとも1分間、基材が置かれた処理チャンバー内のアルゴンガスの流れを一定に維持することによって、堆積法に遅延を導入することが好ましく、これにより、第3の態様において、本発明は、原子層堆積プロセスを使用して基材上に材料を堆積する方法であって、前記堆積プロセスが、第1の堆積ステップと、前記第1の堆積ステップに後続する第2の堆積ステップと、前記第1の堆積ステップおよび前記第2の堆積ステップ間で、ある時間の間、チャンバー内を実質的に一定の圧力に維持するステップとを含む、方法を提供する。
前記チャンバー内を実質的に一定の圧力に維持するステップの継続時間は、好ましくは少なくとも1分であり、好ましくは1分から120分の範囲であり、より好ましくは、10分から90分の範囲である。各堆積ステップは、好ましくは、複数の連続堆積サイクルを含む。各堆積ステップは、好ましくは、少なくとも50回の堆積サイクルを含み、少なくとも1つの堆積ステップは、少なくとも100回の堆積サイクルを含むことができる。一実施例では、各堆積ステップは、200回の連続堆積サイクルを含む。堆積ステップ間の遅延の継続時間は、好ましくは、各堆積サイクルの継続時間よりも長い。各堆積サイクルの継続時間は、好ましくは40〜50秒の範囲である。
堆積ステップ間の遅延は、連続堆積サイクル間のいずれの遅延よりも長い継続時間を持つ。連続堆積サイクル間に実質的な遅延がないことが好ましいが、いずれの事象においても、連続堆積サイクル間の任意の遅延に、堆積ステップ間の休止の導入が加えられることが好ましい。連続堆積サイクル間で任意の継続時間の遅延がある事象において、本発明は、選択された2つの堆積サイクル間の遅延が選択的に延長されると見なしてよい。
各堆積サイクルは、好ましくは、基材を収容する処理チャンバーに前駆体を供給することによって開始する。各堆積サイクルは、好ましくは、処理チャンバーにパージガスを供給することによって終了する。
各堆積サイクルは、好ましくは、第1の堆積ステップおよび第2の堆積ステップ間の遅延よりも短い第2の時間にわたってチャンバー内にパージガスを導入することによって終了する。堆積ステップ間の遅延は、選択された1回の堆積サイクルの最後で、パージガスが処理チャンバーに供給される時間が延長されることによってもたらされると見なしてよい。この選択された堆積サイクルは、堆積プロセスのスタート近くで、堆積サイクルの終わり近くで、または堆積プロセスの実質的に途中で起こり得る。
第4の態様において、本発明は、基材上に材料を堆積する方法を提供するが、ここで複数の原子層堆積サイクルが処理チャンバー内に置かれた基材上で実施されて、基材上に被膜を堆積し、各堆積サイクルは、複数の前駆体をチャンバー内に順次導入するステップと、各前駆体をチャンバー内に導入した後、パージガスを、ある時間の間、チャンバーに導入するステップとを含み、最後の堆積サイクルより前に実施される、選択された1回の堆積サイクルの場合、次の堆積サイクルの開始直前にパージガスがチャンバーに供給される時間は、他の各堆積サイクルでかかるその時間よりも長い。選択された1回の堆積サイクルの場合、前記時間は、少なくとも1分が好ましく、1〜120分の範囲が好ましい。より長い堆積サイクル間の前記時間の間、パージガスの圧力は、実質上、チャンバー内にあることが好ましい。
少なくとも1回の堆積サイクルは、プラズマ強化型原子層堆積サイクルであることが好ましい。
好ましくは、基材は、構造化基材である。例えば、基材は、複数のカーボンナノチューブ(CNT)を含んでもよく、各カーボンナノチューブは約50〜60nmの直径を持つ。構造化基材は、規則的配列またはランダム配列で提供され得る。あるいは、基材は非構造化基材であってもよい。
基材は、シリコンまたはCNTを含むことができる。堆積プロセスによって形成される薄膜または被膜は、好ましくは、金属酸化物、例えば酸化ハフニウムまたは酸化チタンである。
各堆積サイクルは、好ましくは、(i)前駆体を処理チャンバーに導入するステップと、(ii)パージガスを使用して処理チャンバーをパージするステップと、(iii)第2の前駆体として酸素源を処理チャンバーに導入するステップと、(iv)パージガスを使用して、処理チャンバーをパージするステップとを含む。酸素源は、酸素およびオゾンのうちの1つであってもよい。パージガスは、アルゴン、窒素またはヘリウムであってもよい。酸化ハフニウムを堆積するために、アルキルアミノハフニウム化合物前駆体を使用することができる。各堆積サイクルは、好ましくは、同じ温度、好ましくは200〜300℃の範囲、例えば250℃で基材を用いて実施する。各堆積ステップは、好ましくは、少なくとも100回の堆積サイクルを含む。例えば、各堆積ステップは、25〜50nmの範囲の厚さを持つ酸化ハフニウム被膜を生成するために200回の堆積サイクルを含むことがある。堆積サイクルがプラズマ強化型堆積サイクルである場合、上のステップ(iii)は、好ましくは、酸化前駆体をチャンバーに供給する前に、例えばアルゴンまたはアルゴンと1種もしくは複数の他のガス、例えば窒素、酸素および水素との混合物からプラズマストライクを行なうステップも含む。
ALDプロセスに休止または遅延を導入すると、堆積物の電気的性質に有益になることが見出された。ALDプロセスに休止または遅延を導入することによって驚くほど改善されることが分かった電気的性質の1つは、酸化物材料の誘電率である。改善された別の電気的性質は、堆積物の漏れ電流である。
堆積ステップは、PEALDの第1の堆積ステップと、後続して熱ALDの第2の堆積ステップとを含めてもよい。CNTなどのいくつかの基材は、該材料にとって疎水性であり、そのため酸素前駆体を用いるPEALDが少なくともいくつかのサイクルに使用されることが好ましい。
本発明の第5の態様は、上記の方法を使用して作製される被覆基材を提供する。
本発明の第6の態様は、上記の方法を使用して作製される被覆基材を含むコンデンサを提供する。
本発明の第1の態様に関連する上記の特徴は、本発明の第2から第6の各態様に同等に適用可能であり、その逆も同様である。
ここで、添付の図面を参照して、本発明を実施例によって説明していく。
酸化ハフニウムの連続および不連続PEALDでの電圧に対する誘電率のグラフである。 酸化ハフニウムの連続および不連続PEALDでの電圧に対する漏れ電流密度のグラフである。 シリコン代替基材を使用する酸化ハフニウムの連続および不連続PEALDでの電圧に対する誘電率のグラフである。 シリコン代替基材を使用する酸化ハフニウムの連続および不連続熱ALDでの電圧に対する誘電率のグラフである。 酸化チタン被膜のキャパシタンスに与える種々の休止長さの影響を例示するための電圧に対する誘電率のグラフである。 酸化チタン被膜の電圧に対する誘電正接のグラフである。 酸化チタン被膜のキャパシタンスに与える種々の休止長さの影響を例示するための電圧に対する漏れ電流密度のグラフである。 異なる二酸化チタン誘電体層の光子エネルギーに対する屈折率のグラフである。 酸化ハフニウム層がPEALDによって生成される、アルミニウム/酸化ハフニウム/シリコンコンデンサの電圧に対するキャパシタンスのグラフである。 酸化ハフニウム層が熱ALDによって生成される、アンチモンドープシリコン基材を使用するアルミニウム/酸化ハフニウム/シリコンコンデンサの電圧に対するキャパシタンスのグラフである。 図11aは遅延時間の関数としての酸化ハフニウム被膜の比誘電率を例示するグラフである。図11bは遅延時間の関数としての酸化ハフニウム被膜の固定電荷密度(Q)を例示するグラフである。図11cは遅延時間の関数としての酸化ハフニウム被膜のΔkおよびΔQの変化を例示するグラフである。 連続PEALD酸化ハフニウム被膜のTEM画像を示す図である。 高倍率で見た図12の酸化ハフニウム被膜を示す図である。 高倍率で見た図12の酸化ハフニウム被膜を示す図である。 60分の遅延を有する不連続PEALD酸化ハフニウム被膜のTEM画像を示す図である。 高倍率で見た図14の酸化ハフニウム被膜を示す図である。 高倍率で見た図14の酸化ハフニウム被膜を示す図である。 さらに高倍率で見た図14の酸化ハフニウム被膜を示す図である。 酸化ハフニウム被膜の漏れ電流密度に与える種々の休止長さの影響を例示するためのPEALDにより製作された酸化ハフニウム被膜の電場に対する漏れ電流密度のグラフである。 熱ALDプロセスの概略的グラフである。 PEALDプロセスの概略的グラフである。
本発明は、原子層堆積プロセスを利用して、基材上に薄膜または皮膜を形成する。以下の実施例は、トランジスタおよびコンデンサの製造に使用される高誘電率の誘電材料であってもよい誘電材料の被膜を基材上に形成する方法を説明する。原子層堆積プロセスは、複数の堆積サイクルを含む。本実施例では、各堆積サイクルは、プラズマ強化型原子層堆積(PEALD)サイクルであり、(i)前駆体を、基材が置かれたチャンバーに導入するステップと、(ii)パージガスによってチャンバーをパージして、余剰の前駆体をすべてチャンバーから除去するステップと、(iii)チャンバー内でプラズマストライクを行ない、酸化前駆体をチャンバーに供給して基材の表面上に吸着した前駆体と反応させて、基材上に原子層を形成させるステップと、(iv)パージガスによってチャンバーをバージして、余剰の酸化前駆体をすべてチャンバーから除去するステップとを含む。
図1、図2および図3は、PEALDを使用してそれぞれのシリコン基材上にそれぞれ堆積される2つの酸化ハフニウム被膜の誘電率および漏れ電流密度それぞれの電圧に伴う変化を例示するグラフである。
各PEALDプロセスは、Cambridge Nanotech Fiji200プラズマALDシステムを使用して行なった。図19も参照して、基材は、ALDシステムの処理チャンバー内に位置しており、該チャンバーを、堆積プロセス中、0.3〜0.5mbarの範囲の圧力まで排気700し、基材は、堆積プロセス中、約250℃の温度に維持した。アルゴンをパージガスとして選択し、第1の堆積サイクルが開始する前に、少なくとも30秒間、流量200sccmで、チャンバー710に供給した。
各堆積サイクルは、ハフニウム前駆体720、720aを堆積チャンバーに供給することによって開始する。ハフニウム前駆体は、テトラキスジメチルアミノハフニウム(TDMAHf、Hf(N(CH)だった。ハフニウム前駆体を、0.25秒間、パージガスに加えた。ハフニウム前駆体をチャンバーに導入した後、アルゴンガス流が、さらに5秒間、パージ730、730aして、余剰ハフニウム前駆体をすべてチャンバーから除去した。次いで、アルゴンパージガスを使用してプラズマストライク740、740aを行なった。プラズマ出力レベルは、300Wだった。プラズマを5秒間安定化した後、プラズマに、流量20sccmで20秒間継続して、酸素を供給750、750aした。プラズマ出力をオフに切り替えて酸素流を止め、アルゴンガス流がさらに5秒間パージ760、760aして余剰の酸化前駆体をすべてチャンバーから除去し、堆積サイクルを終了させた。
各被膜は、各種の堆積プロセスを使用して形成された。第1の堆積プロセスは、一堆積サイクルの終わりと次の堆積サイクルの初めとの間に実質的に遅延がない400回の連続堆積サイクルを含む標準のPEALDプロセスだった。第2の堆積プロセスは、第1の堆積ステップと、第2の堆積ステップと、第1の堆積ステップおよび第2の堆積ステップ間の遅延とを含む、不連続PEALDプロセスだった。第1の堆積ステップは、200回の連続堆積サイクルを含み、ここでも一堆積サイクルの終わりと次の堆積サイクルの初めとの間に実質的に遅延がなかった。第2の堆積ステップは、さらに200回の連続堆積サイクルを含み、ここでも一堆積サイクルの終わりと次の堆積サイクルの初めとの間に実質的に遅延がなかった。第1の堆積ステップの最後の堆積サイクルの終わり775と第2の堆積ステップの最初の堆積サイクルの初め780との間の遅延は、30分だった。遅延中、チャンバー内の圧力は0.3〜0.5mbarの範囲に維持され710a、基材は約250℃の温度で維持され、アルゴンパージガスが200sccmでチャンバーに連続的に運ばれた。堆積ステップ間のこの遅延は、選択された堆積サイクルの終わりで、パージガスがチャンバーに供給される間の時間の延長と見なすこともできる。両方の堆積プロセスによって生成された被膜の厚さは、約36nmだった。
図1を参照すると、標準のPEALDプロセスの電圧に伴う誘電率の変化を10に示し、一方不連続PEALDプロセスの電圧に伴う誘電率の変化は20で示される。不連続プロセスは、2Vで26の値の誘電率を有する被膜を生成した。これらの実施例に使用したシリコン基材は、ヒ素でドープされたシリコンウエハであり、0.005ohm cmの抵抗率を有していた。
図2は、同じ酸化ハフニウム被膜の電圧に伴う漏れ電流密度の変化を例示する。連続プロセスを使用して形成された被膜の漏れ電流密度の変化が110で示される一方、不連続プロセスを使用して形成された被膜の漏れ電流密度の変化は120で示される。従来の連続プロセスを使用して形成された被膜の漏れ電流は、不連続プロセスを使用して形成されたものより低かった。
図3は、図1および図2に対して使用されたものとは異なるシリコン基材上の酸化ハフニウム被膜の誘電率に与える異なる遅延継続時間の影響を示す。本実施例において、シリコンは、アンチモンでドープされたシリコンウエハであり、0.1ohm cmの抵抗率を有していた。図1および図2と同じ条件下でPEALDプロセスを実施したが、連続プロセス35、および30分の遅延を有するもの55に加えて、1分の遅延45および60分の遅延65を200回のサイクルの後に含めてさらなる実験を実施した。このさらに最適化されたシリコン基材を用いた、遅延を設けた被膜の−2〜+2vの誘電率は、連続または標準のプロセスより常に高い。遅延時間によって改善度が増すが、利益は直線的でない。すなわち、2vで、連続プロセスは誘電率23の被膜を生成し、1分の遅延で約24の誘電率を有する被膜を生成し、30分の遅延で27の誘電率を有する被膜を生成し、60分の遅延でほぼ28の誘電率を有する被膜を生成する。
図4は、熱ALDを使用してアンチモンドープシリコン基材上に堆積した酸化ハフニウム被膜の電圧に伴う誘電率の変化を例示するグラフである。
各熱ALDプロセスは、Cambridge Nanotech Fiji 200プラズマALDシステムを使用して行なった。ここで図18を参照すると、基材はALDシステムの処理チャンバー内に置かれ、堆積プロセス中、該チャンバーは0.3〜0.5mbarの範囲の圧力まで排気され600、基材は、堆積プロセス中、約250℃の温度に維持されていた。アルゴンをパージガスとして選択し、第1の堆積サイクルの開始前に少なくとも30秒間、流量200sccmでチャンバーに供給した610。
各堆積サイクルは、堆積チャンバーにハフニウム前駆体を供給620、620a、620bすることによって開始する。ハフニウム前駆体は、テトラキスジメチルアミノハフニウム(TDMAHf、Hf(N(CH)だった。ハフニウム前駆体を、0.25秒間、パージガスに加えた。ハフニウム前駆体をチャンバーに導入した後、アルゴンガス流がさらに5秒間パージし630、630a、630b、余剰のハフニウム前駆体をすべてチャンバーから除去した。次いで、第2の前駆体である水を、0.06秒間、チャンバー内に導入した640、640a、640b。次いで、アルゴンガス流がさらに5秒間、パージし650、650a、650b、余剰の酸化前駆体をすべてチャンバーから除去し、堆積サイクルを終了させた。
各被膜は、各種の堆積プロセスを使用して形成された。ここで図4および図18を参照すると、第1の堆積プロセスは、400回の連続堆積サイクルを含み、一堆積サイクルの終わりと次の堆積サイクルの初めとの間に実質的には遅延がない標準の熱ALDプロセス135だった。第2の堆積プロセスは、第1の堆積ステップと、第2の堆積ステップと、第1の堆積ステップおよび第2の堆積ステップ間の遅延とを含む、不連続熱ALDプロセスだった。第1の堆積ステップは、200回の連続堆積サイクルを含み、ここでも一堆積サイクルの終わりと次の堆積サイクルの初めとの間に実質的には遅延がなかった。第2の堆積ステップは、さらに200回の連続堆積サイクルを含み、ここでも一堆積サイクルの終わりと次の堆積サイクルの初めとの間に実質的には遅延がなかった。第1の堆積ステップの最後の堆積サイクルの終わり670と第2の堆積ステップの第1の堆積サイクルの初め680との間の遅延は、1分、30分および60分のうちの1つだった。遅延中、チャンバー内の圧力を0.3〜0.5mbarの範囲で維持し610a、基材を約250℃の温度で維持し、アルゴンパージガスをチャンバーに200sccmで連続的に運んだ。この堆積ステップ間の遅延も、選択した堆積サイクルの終わりでパージガスがチャンバーに供給される間の時間の延長と見なしてよい。両方の堆積プロセスによって生成した各被膜の厚さは約36nmだった。
図18を参照すると、第1の堆積ステップの最後から2番目の堆積サイクル620、630、640、650の後に直接、第1の堆積ステップの最後の堆積サイクル620a、630a、640a、650aがある。そして、遅延670から680が第1および第2の堆積ステップ間に導入され、これは、本発明によれば、1〜120分の範囲内にあることが好ましく、次いで第2の堆積ステップの第1のサイクル620b、630b、640b、650bが開始する。
図4のグラフは、遅延を設けた被膜の誘電率−2〜+2vが、連続または標準プロセスより常に高いことを示す。遅延時間に伴って改善度が増すが、利益は直線的でない。すなわち、2vで、連続プロセスは誘電率22の被膜を生成し、1分の遅延で約25の誘電率を有する被膜を生成し、30分の遅延で約28の誘電率を有する被膜を生成し、60分の遅延で29の誘電率を有する被膜を生成した。
熱ALDおよびPEALD両方でアンチモンドープシリコン基材上に生成された酸化ハフニウム被膜は、休止をALDプロセスに導入すると、誘電率に同様の改善を示した。熱ALDは、プラズマ段階がないため、サイクル時間がやや短くなり、そのため所与の遅延時間に対し、熱ALDはより経済的なプロセスである。
図5は、異なる遅延継続時間がシリコン基材上の酸化チタン被膜の誘電率に与える影響を示す。酸化チタン被膜を形成するために使用される堆積サイクルは、ハフニウム前駆体がチタンイソプロポキシド前駆体に置き換わる以外は上記のものと同一だった。
4つの二酸化チタン被膜が、各シリコン基材上に、各種堆積プロセスをそれぞれ使用して、形成された。第1の堆積プロセスは、400回の連続堆積サイクルを含み、一堆積サイクルの終わりと次の堆積サイクルの初めとの間に実質的には遅延がない標準のPEALDプロセスであり、得られた被膜の誘電率の電圧に伴う変化を図3の30に示す。第2の堆積プロセスは、第1の堆積ステップと、第2の堆積ステップと、第1の堆積ステップおよび第2の堆積ステップ間の遅延とを含む、不連続PEALDプロセスだった。第1の堆積ステップは、200回の連続堆積サイクルを含み、ここでも一堆積サイクルの終わりと次の堆積サイクルの初めとの間に実質的には遅延がなかった。第2の堆積ステップは、さらに200回の連続堆積サイクルを含み、ここでも一堆積サイクルの終わりと次の堆積サイクルの初めとの間に実質的には遅延がなかった。第1の堆積ステップの最後の堆積サイクルと第2の堆積ステップの第1の堆積サイクルとの間の遅延は10分だった。遅延中、チャンバー内の圧力を0.3〜0.5mbarの範囲で維持し、基材を約250℃の温度で維持し、アルゴンパージガスをチャンバーに200sccmで運んだ。得られた被膜の誘電率の電圧に伴う変化を図3の40に示す。第3の堆積プロセスは、第2の堆積プロセスに類似していたが、30分の遅延を有しており、得られた被膜の誘電率の電圧に伴う変化を図3の50に示す。第4の堆積プロセスは、第2の堆積プロセスに類似していたが、60分の遅延を有しており、得られた被膜の誘電率の電圧に伴う変化を図3の60に示す。負電圧での不連続プロセスのグラフは非常に類似しており、誘電率は、連続堆積プロセスのゼロ電圧レベルより高い。正電圧では、第2の堆積プロセスを使用して生成された被膜が最も高い誘電率を有していた。
図6は、これらの4つの酸化チタン被膜の誘電正接の電圧に伴う変化を示す。第1から第4の堆積プロセスをそれぞれ使用して生成された被膜の誘電正接の電圧に伴う変化を、それぞれ図6の130、140、150および160に示す。負電圧では、標準堆積プロセスを使用して生成された被膜に見られた誘電正接は低かった。
PEALDおよび熱ALD両方の酸化ハフニウム被膜の誘電正接の変化を調べた。どちらの場合も、−2から+2v両端までの電圧範囲で誘電正接はゼロに近く、0.1未満だった。この低値は、酸化ハフニウムの漏れ電流が非常に低いため、完全に近いコンデンサ挙動を持つ完全に近い誘電体である事実に起因する。
図7は、これらの4つの酸化チタン被膜の漏れ電流密度の電圧に伴う変化を示す。第1から第4の堆積プロセスをそれぞれ使用して生成された被膜の漏れ電流密度の電圧に伴う変化を、それぞれ図7の230、240、250および260に示す。負電圧では、連続性の第1の堆積プロセスを使用して形成された被膜に見られた漏れ電流密度が最も低かった。
図8は、分光偏光解析法を使用した、4つの酸化チタン被膜の屈折率を示す。TiOは、エピタキシャルアナターゼ相中の半導体Ga化合物で通常見られる、バンドギャップエネルギー(約3eV)を超えた後の高エネルギー領域(偏光解析法)で見られる別個の2つのピーク特性で知られる。2つのピーク特性の原因は、エピタキシャルアナターゼ膜の高密度微結晶性のためである。340、350および360にそれぞれ示す不連続性の第2から第4の堆積プロセスを使用して形成された被膜の屈折率は、2つのピーク特性を示すが、一方で330に示す連続性の第1の堆積プロセスを使用して形成された被膜の屈折率は、1つのピークのみ示す。
図9は、4つの異なるアルミニウム/酸化ハフニウム/シリコンコンデンサのキャパシタンスの電圧に伴う変化を示す。各金属−絶縁体−半導体(Al/HfO/n−Si)コンデンサ構造は、PEALDによる酸化ハフニウムで被覆されたアンチモンドープシリコン基材の上部にアルミニウムのドットを適用することによって作製した。ドットは直径0.5mmで、アルミニウムを蒸発させることによって作製した。4つの酸化ハフニウム被覆シリコン基材は、4つの異なる堆積プロセスを使用して形成した。第1の酸化ハフニウム被覆シリコン基材は、図1〜図3を基本として、上記の第1の酸化ハフニウム堆積プロセスを使用して形成し、その被覆基材を使用して形成したコンデンサのキャパシタンスの電圧に伴う変化を図9の430に示す。第2の酸化ハフニウム被覆シリコン基材は、上記の第2の酸化ハフニウム堆積プロセスを使用して形成したが、持続時間10分の代わりに1分の遅延を設けた。その被覆基材を使用して形成したコンデンサの電圧に伴うキャパシタンスの変化を、図9の440に示す。第3の酸化ハフニウム被覆シリコン基材は、上記の第2の酸化ハフニウム堆積プロセスを使用して形成したが、継続時間10分の代わりに30分の遅延を設けた。その被覆基材を使用して形成したコンデンサの電圧に伴うキャパシタンスの変化を、図9の450に示す。第4の酸化ハフニウム被覆シリコン基材は、上記の第2の酸化ハフニウム堆積プロセスを使用して形成したが、継続時間10分の代わりに60分の遅延を設けた。その被覆基材を使用して形成したコンデンサの電圧に伴うキャパシタンスの変化を、図9の460に示す。グラフは、4つの被膜のキャパシタンス−電圧特性が、ごく僅かなヒステリシスしか示さないことと、堆積ステップ間の遅延の存在がコンデンサのキャパシタンスに増加をもたらすことを例示する。第4の堆積プロセスを使用して形成した被膜のキャパシタンスの増加が最高であるが、遅延継続時間が長くなるに従い、キャパシタンスの変化は小さくなる。
図10は、アンチモンドープシリコン基材を使用するアルミニウム/酸化ハフニウム/シリコンコンデンサの、電圧に対するキャパシタンスのグラフである。
各金属−絶縁体−半導体(Al/HfO/n−Si)コンデンサ構造は、熱ALDによって生成された酸化ハフニウム被覆アンチモンドープシリコン基材の上部にアルミニウムのドットを適用することによって作製した。ドットは直径0.5mmで、アルミニウムを蒸発させることによって作製した。4つの酸化ハフニウム被覆シリコン基材は、4つの異なる堆積プロセスを使用して形成した。第1の酸化ハフニウム被覆シリコン基材は、図4を基本として、上記の第1の酸化ハフニウム堆積プロセスを使用して形成し、その被覆基材を使用して形成したコンデンサのキャパシタンスの電圧に伴う変化を図10の435に示す。第2の酸化ハフニウム被覆シリコン基材は、上記の第2の酸化ハフニウム堆積プロセスを使用して形成したが、持続時間10分の代わりに1分の遅延を設けた。その被覆基材を使用して形成したコンデンサのキャパシタンスの電圧に伴う変化を、図10の445に示す。第3の酸化ハフニウム被覆シリコン基材は、上記の第2の酸化ハフニウム堆積プロセスを使用して形成したが、継続時間10分の代わりに30分の遅延を設けた。その被覆基材を使用して形成したコンデンサのキャパシタンスの電圧に伴う変化を、図10の455に示す。第4の酸化ハフニウム被覆シリコン基材は、上記の第2の酸化ハフニウム堆積プロセスを使用して形成したが、継続時間10分の代わりに60分の遅延を設けた。その被覆基材を使用して形成したコンデンサのキャパシタンスの電圧に伴う変化を、図10の465に示す。グラフは、4つの被膜のキャパシタンス−電圧特性が、ごく僅かなヒステリシスしか示さないことと、堆積ステップ間の遅延の存在がコンデンサのキャパシタンスに増加をもたらすことを例示する。第4の堆積プロセスを使用して形成した被膜のキャパシタンスの増加が最高であるが、遅延継続時間が長くなるに従い、キャパシタンスの変化は小さくなる。
図11aは、図9に関して論じた、すなわちPEALD酸化ハフニウム被膜で形成した4つのコンデンサの、遅延継続時間の関数としての比誘電率のグラフを示す。比誘電率の値は、C−V曲線の蓄積領域から抜き出した。比誘電率は、遅延の継続時間の延長に伴って増加する。熱ALD被覆酸化ハフニウムを使用して作製したコンデンサについても同じ抜き取りを行ない、同様のグラフが得られた。図11bは、遅延の継続時間の関数としての、4つのコンデンサの固定電荷密度(Q)のグラフを示す。堆積プロセス中の遅延中、(HfO被膜が、しばらくアルゴンガスに晒されたため)200番目の単層上に酸素空孔(または欠乏)が形成することがあり、これにより固定電荷密度が増加すると考えられる。ここでも、熱ALD被覆酸化ハフニウムによって生成されたコンデンサは、遅延が導入されると、同様の固定電荷密度の増加を示した。図11cは、遅延の継続時間の関数としての、4つの異なるコンデンサのΔk(=k遅延−k連続)およびΔQ(=Qf遅延−Qf連続)のグラフを示す。いくつかの構造欠陥が生じたが、各堆積ステップ中で形成された200個のHfO層の間の界面準位密度の方が、HfOおよびシリコン間のそれよりも低いことがある。これは、HfO被膜に微細構造変化をもたらし得、結果としてHfOの誘電率が高くなる。
次の一連の図は、異なる酸化ハフニウム被膜のTEM画像を示す。画像はすべて、小さなプローブが試料の端から端にわたってラスタ走査され、サンプルから出る電子放射線を、遠視野(フラウンホーファー回折面)で、小立体角にわたって集める、走査型透過電子顕微鏡法による高角度環状暗視野像(STEM−HAADF)を使用して撮影された。画像強度は、試料の厚さ、原子番号または密度に応じて増強する。この調査には2つの顕微鏡を使用した。300kVで操作されるFEI Titan3およびプローブ形成レンズ中の収差補正装置により、照射角度が18ミリラジアンになり、(回折限界的)プローブサイズが0.7Åになった。しかし、有限プローブ電流(80pA)により、これは約0.92Åまで増加する。ここでの測定は、1.02Å、すなわち予想より約10%幅広を出した。最終的に、無収差補正STEM(FEI Tecnai F20ST)を、エネルギー分散型X線写像に使用した。ここでのプローブサイズは、1.3nAのプローブ電流によって、ずっと幅広な約1nmだった。
膜の標本を用意するために、集束イオンビーム顕微鏡FEI Quanta単一ビームを使用した。連続的に成長したPEALDハフニア膜由来のラメラ(図12および図13)、および誘電率(k)が高い60分の遅延によって中断されたPEALDシーケンス由来の別のラメラ(図14、図15および図16)のサンプルは、Gaイオンビームミリングおよび精密研磨によって得られた。電子ビームが透過するまでこれらの標本を薄くした。2つのリフトアウト膜を一緒に同じOmniprobe TEM支持「グリッド」上に置き、これによりサンプルの変更なしに、すなわち真空条件および電子光学条件の変更なしに、2つのサンプルを調べることが可能になった。
両方のサンプルは、約10μmの幅であり、最後に電子透過領域になるように薄くした。両方の膜は、シリコン基材が[110]方向に沿って配向されるように傾けることができた。すべてのSTEM画像化は、ハフニアの成長面が(001)Siだったと仮定して、この条件で行なわれた。
図12は、シリコン基材500上に白金が上塗り520された連続PEALD酸化ハフニウム被膜510のTEM画像を示す。ハフニア膜510は、適度に平らで、コントラストは均一である。ハフニア膜の厚さは約36nmで、外見上、Si−HfO界面は界面粗さが少なく、HfO−Pt界面はより粗い。後者界面の細い暗線は、有意な合金化またはこの境界を越える拡散がないことを示唆する。
図13aおよび図13bは、高倍率で見た図12の酸化ハフニウム被膜510を示す。全体的に、ハフニア膜は、おそらくFIBミリングに起因する非晶質層を示唆するいくらかのランダムなコントラストも共存する大粒サイズ(10〜30nm)の多結晶だった。いくつかの結晶粒は、電子ビームに向かって好適に配向されて、各粒中にストリング格子コントラストをもたらした。格子視程の急落は、粒状膜と整合する。
図14は、60分の遅延を有する不連続PEALDによりシリコン基材505上に白金を上塗り525した酸化ハフニウム被膜515のTEM画像を示す。ハフニア膜の厚さは、ここでも約36nmだった。このサンプルで最も明らかな違いは、Si−HfO界面から約20〜25nmのやや暗色の外観だった。この暗領域550は、膜に沿って極めて不均一な細い暗帯である。いくつかの場所では黒ずみが濃く、他は薄かった。二次相、すなわち沈殿物は確認されず、脱着性材料の存在下で形成し得た空隙も孔もなかった。遅延は、連続的成長を中断またはそれに介入し、TEM画像で見られる暗帯550によって示されるように、結晶構造に少量の不規則を導入する。
図15aおよび図15bは、高倍率で見た図14の酸化ハフニウム被膜を示す。粒度はEPALDハフニア膜のそれ、すなわち10〜30nmに類似していた。
図16は、濃い灰色の帯550を示す、さらに高倍率で見た図14の酸化ハフニウム被膜を示す。濃い灰色の帯は、さらに後方散乱があることを示し、すなわち、200回サイクルで、またはPEALDプロセスの半ばを過ぎたところで休止または遅延があるせいで形成されると考えられる結晶学的歪みによってこの領域内の伝達は低減される。
図17は、酸化ハフニウム被膜の漏れ電流密度に与える異なる休止長さの影響を説明するためのPEALDによって生成された酸化ハフニウム被膜の電場に対する漏れ電流密度のグラフを示す。4つの異なるプロセスを、図1から図3について詳述した条件下で実施した。第1の連続プロセス235、1分の遅延を有するプロセス245、30分の遅延を有する別のプロセス255、および60分の遅延を有する最後のプロセス265。各遅延は、200回のサイクルの後で行なった。グラフから、各曲線の間にはほとんど差がないことが分かる。これは、誘電率の増加が各被膜の漏れ電流密度の差を原因としないことを意味する。したがって、遅延または休止が導入される際の増加は、純粋に、遅延中に起こる被膜の構造変化に起因する。この構造変化は、濃い灰色の帯550として目で確認することができる。
上記のTEM解析に基づいて、連続膜および中断膜間に結晶性の有意な変化はない。2つの膜の厚さに有意な差はない。しかし、中断膜は、連続的に堆積された膜よりもやや粗い。重要なことには、STEM ADFで得られた中断膜の中心に向かう暗帯があった。これらの暗帯は、膜がその領域内で低密度である、またはその領域内の化学組成が低原子番号(Z)元素をより高い画分で有することを意味することができる。ハフニアが多数の点欠陥(HfまたはO部位のいずれかでの空位)を有するという考えが最有力である。中断(ALDサイクルを休止する)中、ハフニア膜がその構造内に空位を導入することが示唆される。高誘電率は、暗帯が見える膜の中間領域でのこれらの点欠陥における偏向中心の増加に起因し得る。
要約すれば、HfOは、立方構造(k約29)または正方構造(k約70)の方が、単斜晶系のもの(k約20)よりも高い誘電率を示すことが公知である。HfOの立方相および正方相は準安定性であり、一般的に、単斜相から正方相または正方相から立方相への変換を実現するために高温(約2700℃)を要する。しかし、HfOの立方相および正方相は、希土類金属の添加によって安定化させることができる。例えば、Ce−ドープHfOは、安定化立方相または正方相を示し、誘電率32を示した[P.R.Chalkerら、Appl.Phys.Lett.93、182911(2008)]。一方、上で論じたALDプロセスにおける非常にシンプルな改変は、ドーピング技術と同程度に誘電率を増強することができる。電気に関する結果は、kが20だった連続的に堆積された膜よりも、約30の値を持つ中断膜の誘電率の方が、少なくとも50パーセント高かったことを示した。2つの膜の漏れ電流は、同じ桁(10−8A/cm)だった。透過電子顕微鏡法やX線解析のような物理的特性化技術を実施して、2種の膜の特性における変化の原因を理解した。高解像度TEMは、プロセスの中断に対応する膜の中心の暗帯を示した。EDX解析は、空位中への拡散を示す中間点領域でのGaシグナルのピークを示した。したがって、これらの帯は、中断中のアニーリングによる欠陥および形態変化に起因する。X線解析は、両方の膜が単斜晶系であるため、高誘電率の立方相の存在を一切示さなかった。すなわち、中断膜の空位関連不均一性が、偏向中心の増加による誘電率の向上の原因となり得る。
したがって、ALDプロセス(熱強化型およびプラズマ強化型の両方)中の堆積サイクル間に遅延を加えると、従来のALDによって形成される酸化物のそれより高い誘電率を持つ高品質の酸化物の形成がもたらされる。

Claims (27)

  1. 原子層堆積プロセスを使用して基材上に材料を堆積する方法であって、前記堆積プロセスが、第1の堆積ステップと、前記第1の堆積ステップに後続する第2の堆積ステップと、前記第1の堆積ステップおよび第2の前記堆積ステップ間の遅延とを含む、方法。
  2. 遅延が少なくとも1分間である、請求項1に記載の方法。
  3. 基材が置かれた処理チャンバー内で一定圧力を維持することによって、遅延を堆積プロセスに導入する、請求項1または請求項2に記載の方法。
  4. チャンバー内で原子層堆積プロセスを使用して基材上に材料を堆積する方法であって、前記堆積プロセスが、第1の堆積ステップと、前記第1の堆積ステップに後続する第2の堆積ステップと、前記第1の堆積ステップおよび前記第2の堆積ステップの間の時間にチャンバー内を実質的に一定の圧力に維持するステップとを含む、方法。
  5. チャンバー内で一定のアルゴン流を維持することによって、実質的に一定の圧力が維持される、請求項3または請求項4に記載の方法。
  6. 前記時間の継続時間が少なくとも1分である、請求項4に記載の方法。
  7. 前記時間の継続時間が1〜120分の範囲である、前記請求項のいずれかに記載の方法。
  8. 前記時間の継続時間が10〜90分の範囲である、前記請求項のいずれかに記載の方法。
  9. 各堆積ステップが複数の堆積サイクルを含む、前記請求項のいずれかに記載の方法。
  10. 各堆積ステップが少なくとも50回の堆積サイクルを含む、請求項9に記載の方法。
  11. 少なくとも1つの堆積ステップが、少なくとも100回の堆積サイクルを含む、請求項9または請求項10に記載の方法。
  12. 各堆積サイクルが、基材上に材料を形成するための前駆体を、基材を収容するチャンバーに導入することによって開始される、請求項9から11のいずれかに記載の方法。
  13. 各堆積サイクルが、第1の堆積ステップおよび第2の堆積ステップ間の継続時間よりも短い第2の時間にわたって、パージガスをチャンバー内に導入することによって終了される、請求項12に記載の方法。
  14. 基材上に材料を堆積する方法であって、複数の原子層堆積サイクルを処理チャンバー内に置かれた基材上で実施して基材上に被膜を堆積し、各堆積サイクルが、複数の前駆体をチャンバー内に順次導入するステップと、各前駆体をチャンバー内に導入した後、パージガスをある時間にわたってチャンバーに導入するステップとを含み、最終の堆積サイクルの前に実施される選択された1回の堆積サイクルでは、後続する堆積サイクルが開始される直前の、パージガスがチャンバーに供給される時間の継続時間が、他の堆積サイクルそれぞれの時間の継続時間よりも長い、方法。
  15. 選択された1回の堆積サイクルで、前記時間の継続時間が少なくとも1分である、請求項14に記載の方法。
  16. 選択された1回の堆積サイクルで、前記時間の継続時間が1〜120分の範囲である、請求項14または請求項15に記載の方法。
  17. 選択された1回の堆積サイクルが、堆積プロセスの実質的に途中で起こる、請求項14から16のいずれかに記載の方法。
  18. 少なくとも1回の堆積サイクルが、プラズマ強化型原子層堆積サイクルである、請求項9から17のいずれかに記載の方法。
  19. 各堆積サイクルがプラズマ強化型原子層堆積サイクルである、請求項9から18のいずれかに記載の方法。
  20. 基材が構造化基材である、前記請求項のいずれかに記載の方法。
  21. 基材が複数のカーボンナノチューブを含む、前記請求項のいずれかに記載の方法。
  22. 被膜が誘電材料を含む、前記請求項のいずれかに記載の方法。
  23. 被膜が金属酸化物を含む、前記請求項のいずれかに記載の方法。
  24. 被膜が、酸化ハフニウムおよび酸化チタンのうち1つを含む、前記請求項のいずれかに記載の方法。
  25. 前記請求項のいずれかに記載の方法を使用して作製される被覆基材。
  26. 請求項1から24のいずれかに記載の方法を使用して作製される被覆基材を含むコンデンサ。
  27. 図14から16を参照して、本明細書に実質的に前述した被覆基材。
JP2015503940A 2012-04-05 2013-04-03 原子層堆積法 Pending JP2015519471A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
GB1206096.8 2012-04-05
GBGB1206096.8A GB201206096D0 (en) 2012-04-05 2012-04-05 Atomic layer deposition
PCT/GB2013/050873 WO2013150299A1 (en) 2012-04-05 2013-04-03 Atomic layer deposition

Publications (1)

Publication Number Publication Date
JP2015519471A true JP2015519471A (ja) 2015-07-09

Family

ID=46160371

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015503940A Pending JP2015519471A (ja) 2012-04-05 2013-04-03 原子層堆積法

Country Status (8)

Country Link
US (1) US20150091134A1 (ja)
EP (1) EP2834390A1 (ja)
JP (1) JP2015519471A (ja)
KR (2) KR20160128451A (ja)
CN (1) CN104379807A (ja)
GB (3) GB201206096D0 (ja)
TW (1) TWI557268B (ja)
WO (1) WO2013150299A1 (ja)

Families Citing this family (268)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9318319B2 (en) * 2014-08-27 2016-04-19 Ultratech, Inc. Radical-enhanced atomic layer deposition using CF4 to enhance oxygen radical generation
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
KR102065243B1 (ko) * 2017-05-01 2020-01-10 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
GB2574401B (en) * 2018-06-04 2022-11-23 Dyson Technology Ltd A Device
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
GB2574400B (en) * 2018-06-04 2022-11-23 Dyson Technology Ltd A Device
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10535523B1 (en) 2018-08-30 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Formation and in-situ etching processes for metal layers
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
CN110079788A (zh) * 2019-05-05 2019-08-02 中国科学院上海光学精密机械研究所 一种基于peald的紫外减反射薄膜的镀制方法
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004296537A (ja) * 2003-03-25 2004-10-21 Rohm Co Ltd 成膜装置
US20070037412A1 (en) * 2005-08-05 2007-02-15 Tokyo Electron Limited In-situ atomic layer deposition
JP2008124474A (ja) * 2002-03-18 2008-05-29 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP2009509039A (ja) * 2005-09-21 2009-03-05 アプライド マテリアルズ インコーポレイテッド バッチaldリアクタのための処理プロセス
JP4681886B2 (ja) * 2003-01-17 2011-05-11 富士通セミコンダクター株式会社 半導体装置
JP2011096850A (ja) * 2009-10-29 2011-05-12 Nagoya Univ 半導体デバイスおよび製造方法
JP2011129877A (ja) * 2009-11-20 2011-06-30 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI118474B (fi) * 1999-12-28 2007-11-30 Asm Int Laite ohutkalvojen valmistamiseksi
KR100519376B1 (ko) * 2001-06-12 2005-10-07 주식회사 하이닉스반도체 반도체 소자의 확산 방지막 형성 방법
US7166876B2 (en) * 2004-04-28 2007-01-23 Taiwan Semiconductor Manufacturing Company, Ltd. MOSFET with electrostatic discharge protection structure and method of fabrication
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7413982B2 (en) * 2006-03-29 2008-08-19 Eastman Kodak Company Process for atomic layer deposition
US7927942B2 (en) * 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
TWI465599B (zh) * 2008-12-29 2014-12-21 K C Tech Co Ltd 原子層沉積裝置

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008124474A (ja) * 2002-03-18 2008-05-29 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP4681886B2 (ja) * 2003-01-17 2011-05-11 富士通セミコンダクター株式会社 半導体装置
JP2004296537A (ja) * 2003-03-25 2004-10-21 Rohm Co Ltd 成膜装置
US20070037412A1 (en) * 2005-08-05 2007-02-15 Tokyo Electron Limited In-situ atomic layer deposition
JP2009509039A (ja) * 2005-09-21 2009-03-05 アプライド マテリアルズ インコーポレイテッド バッチaldリアクタのための処理プロセス
JP2011096850A (ja) * 2009-10-29 2011-05-12 Nagoya Univ 半導体デバイスおよび製造方法
JP2011129877A (ja) * 2009-11-20 2011-06-30 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置

Also Published As

Publication number Publication date
GB2511443B (en) 2016-12-14
KR20140144222A (ko) 2014-12-18
GB2511443A (en) 2014-09-03
US20150091134A1 (en) 2015-04-02
EP2834390A1 (en) 2015-02-11
WO2013150299A1 (en) 2013-10-10
GB201408654D0 (en) 2014-07-02
CN104379807A (zh) 2015-02-25
GB2503074A (en) 2013-12-18
GB2503074B (en) 2016-12-14
GB201306001D0 (en) 2013-05-15
KR20160128451A (ko) 2016-11-07
TWI557268B (zh) 2016-11-11
TW201346062A (zh) 2013-11-16
GB201206096D0 (en) 2012-05-16

Similar Documents

Publication Publication Date Title
JP2015519471A (ja) 原子層堆積法
JP5184357B2 (ja) バナジウム酸化物薄膜の製造方法
Wilk et al. Correlation of annealing effects on local electronic structure and macroscopic electrical properties for HfO 2 deposited by atomic layer deposition
Senzaki et al. Atomic layer deposition of hafnium oxide and hafnium silicate thin films using liquid precursors and ozone
US8921799B2 (en) Tunable resistance coatings
JP5883263B2 (ja) 半導体デバイスで使用する金属−絶縁体−金属キャパシタの製造方法
Weinreich et al. TEMAZ/O3 atomic layer deposition process with doubled growth rate and optimized interface properties in metal–insulator–metal capacitors
Lee et al. UV-enhanced atomic layer deposition of ZrO2 thin films at room temperature
Lee et al. Leakage current suppression in spatially controlled Si-doped ZrO2 for capacitors using atomic layer deposition
Onaya et al. Correlation between ferroelectricity and ferroelectric orthorhombic phase of HfxZr1− xO2 thin films using synchrotron x-ray analysis
Chan Won et al. Effect of process temperature on the structural and electrical properties of atomic layer deposited ZrO2 films using tris (dimethylamino) cyclopentadienyl zirconium precursor
JP2016014128A (ja) 二次電池及びそれに用いる構造体
Reklaitis et al. A comparative study on atomic layer deposited oxide film morphology and their electrical breakdown
Barbé et al. Silicon nanocrystals on amorphous silicon carbide alloy thin films: Control of film properties and nanocrystals growth
Strnad et al. Texture and phase variation of ALD PbTiO3 films crystallized by rapid thermal anneal
US20220172948A1 (en) Methods For Graphene Formation
Le et al. Epitaxial growth by atomic layer deposition and properties of high-k barium strontium titanate on Zintl-templated Ge (001) substrates
US20220246714A1 (en) Low temperature route for epitaxial integration of perovskites on silicon
Papadatos et al. Characterization of ruthenium and ruthenium oxide thin films deposited by chemical vapor deposition for CMOS gate electrode applications
Shimizu et al. Characterization of sol–gel derived and crystallized ZrO2 thin films
Bharadwaj et al. Effect of RF sputtering process parameters on silicon nitride thin film deposition
US20240072104A1 (en) Method and systems for forming device structures including high-k dielectric layers and related device structures
Le The atomic layer deposition of ultrahigh-k oxides
Chen et al. Growing low-temperature, high-quality silicon-dioxide films by neutral-beam enhanced atomic-layer deposition
Plokhikh Atomic Layer Deposition and Solid Phase Epitaxy of BiFeO 3 and BaTiO 3 Thin Films

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20151015

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20151015

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20160112

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160415

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20160606

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20161003

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20161017

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20161111