KR101369355B1 - 에피택셜 층 형성 동안에 형태를 제어하는 방법 - Google Patents

에피택셜 층 형성 동안에 형태를 제어하는 방법 Download PDF

Info

Publication number
KR101369355B1
KR101369355B1 KR1020097003879A KR20097003879A KR101369355B1 KR 101369355 B1 KR101369355 B1 KR 101369355B1 KR 1020097003879 A KR1020097003879 A KR 1020097003879A KR 20097003879 A KR20097003879 A KR 20097003879A KR 101369355 B1 KR101369355 B1 KR 101369355B1
Authority
KR
South Korea
Prior art keywords
epitaxial layer
substrate
layer formation
heating
epitaxial
Prior art date
Application number
KR1020097003879A
Other languages
English (en)
Other versions
KR20090037481A (ko
Inventor
이환 김
앤드류 엠. 램
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20090037481A publication Critical patent/KR20090037481A/ko
Application granted granted Critical
Publication of KR101369355B1 publication Critical patent/KR101369355B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • H01L21/02661In-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy

Abstract

본 발명의 제 1 태양은 기판상에 에피택셜 층을 선택적으로 형성하는 방법을 제공한다. 그 방법은 기판을 800℃ 미만의 온도로 가열하는 단계; 및 에피택셜 필름 형성 동안에 실란 및 디클로로실란 모두를 실리콘 소오스들로서 이용하는 단계를 포함한다. 수많은 다른 태양들이 제공된다.

Description

에피택셜 층 형성 동안에 형태를 제어하는 방법{METHODS OF CONTROLLING MORPHOLOGY DURING EPITAXIAL LAYER FORMATION}
본원은 2006년 7월 31일자로 출원된 미국 가특허출원 일련번호 제 60/820,956 호를 우선권으로 청구하며, 이로써 그 미국 가특허출원은 본 명세서에서 그 전체가 참조로 포함된다.
관련 출원들의 상호 참조
본원은 다음의 공동 계류중인 출원들과 관련되며, 이로써 다음의 공동 계류중인 출원들 각각은 본 명세서에서 그 전체가 참조로 포함된다.
2004년 12월 1일자로 출원된 미국 특허출원 일련번호 제 11/001,774 호(서류 번호 제 9618 호); 및
2005년 9월 14일자로 출원된 미국 특허출원 일련번호 제 11/227,974 호(서류 번호 제 9618/P01 호).
본 발명은 반도체 소자 제조에 관한 것으로서, 보다 구체적으로 에피택셜 층 형성 동안에 형태를 제어하는 방법들에 관한 것이다.
보다 작은 트랜지스터들이 제조됨에 따라, 울트라 쉘로우(ultra shallow) 소오스/드레인 접합부들이 생산에 있어 더 큰 난제가 되고 있다. 일반적으로, 100 nm 이하(sub-100nm)의 CMOS(complementary metal-oxide semiconductor) 소자들은 접합부 깊이가 30 nm 미만이 될 것을 요구한다. 종종, 실리콘-함유 물질들(예를 들어, Si, SiGe 및 SiC)의 에피층들(epilayers)을 접합부들 내에 형성하는데 선택적인 에피택셜 증착이 이용된다. 일반적으로, 선택적인 에피택셜 증착은 유전체 영역들 상에서의 성장 없이 실리콘 모우트(moat)들 상에서의 에피층들의 성장을 허용한다. 양극성 소자들(bipolar devices)의 베이스 층 증착, 콘택 플러그들(contact plugs), 상승된(elevated) 소오스/드레인들, 또는 소오스/드레인 연장부들과 같은 반도체 소자들 내에서 선택적인 에피택시가 이용될 수 있다.
일반적으로, 선택적인 에피택시 프로세스는 증착 반응 및 에칭 반응을 수반한다. 증착 및 에칭 반응들은 에피택셜 층에 대해서 그리고 다결정 층에 대해서 상대적으로 상이한 반응 레이트들로 동시에 발생된다. 증착 프로세스 동안에, 에피택셜 층이 단결정 표면 상에 형성되는 한편, 다결정 층은 이미 존재하는 다결정 층 및/또는 비정질 층과 같은 적어도 제 2 층 상에 증착된다. 그러나 증착된 다결정 층은 일반적으로 에피택셜 층보다 더 빠른 레이트로 에칭된다. 그에 따라, 에칭제 가스(etchant gas)의 농도를 변화시킴으로써, 순수한(net) 선택적인 프로세스가 에피택시 물질의 증착 및 다결정 물질의 제한된 증착 또는 다결정 물질의 비-증착을 초래한다. 예를 들어, 선택적인 에피택시 프로세스는 단결정 실리콘 표면 상에 실리콘-함유 물질의 에피층의 형성을 초래할 수 있는데 반해, 스페이서(spacer) 영역 상에는 어떤 증착물도 남아있지 않다.
실리콘-함유 물질들의 선택적인 에피택시 증착은 상승된 소오스/드레인 및 소오스/드레인 연장부 피쳐들의 형성 동안에, 예를 들어, 실리콘-함유 MOSFET(metal oxide semiconductor field effect transistor) 소자들의 형성 동안에 유용한 기술이 되었다. 리세스형(recessed) 소오스/드레인 피쳐를 만들기 위해 실리콘 표면을 에칭함으로써 그리고 그에 후속하여 에칭된 표면을 실리콘 게르마늄(SiGe) 물질과 같이 선택적으로 성장된 에피층으로 충진함으로써, 소오스/드레인 연장부 피쳐들(extension features)이 제조된다. 선택적인 에피택시는 인-시츄(in-situ) 도핑을 이용한 거의 완전한 도펀트 활성화를 허용하여, 사후 어닐링 프로세스가 생략되게 한다. 그에 따라, 접합부 깊이가 실리콘 에칭 및 선택적인 에피택시에 의해서 정확하게 규정될 수 있다. 다른 한편으로, 울트라 쉘로우 소오스/드레인 접합부는 증대된 직렬 저항을 불가피하게 초래한다. 또한, 실리사이드 형성 동안의 접합부 소모가 직렬 저항을 훨씬 더 증가시킨다. 접합부 소모를 보상하기 위해서, 상승된 소오스/드레인이 접합부 상에서 에피택셜하게 그리고 선택적으로 성장된다. 통상적으로, 상승된 소오스/드레인 층은 비-도핑된 실리콘이다.
그러나 현재의 선택적인 에피택시 프로세스들은 몇 가지 단점들을 가진다. 지금의 에피택시 프로세스들 동안에 선택도를 유지하기 위해서, 선구물질들의 화학적 농도들뿐만 아니라 반응 온도들도 증착 프로세스를 통해서 반드시 조절(regulate)되고 조정(adjust)되어야 한다. 만약, 충분하지 못한 실리콘 선구물질이 공급(administer)된다면, 에칭 반응이 우세할(dominate) 수 있고 전체 프로세스가 느려진다. 또한, 기판 피쳐들의 유해한 과도 에칭(over etching)이 일어날 수도 있다. 만약, 충분하지 못한 에칭제 선구물질이 공급된다면, 증착 반응이 우세할 수 있어서, 기판 표면에 걸쳐 단결정 및 다결정 물질들을 형성하기 위한 선택도를 감소시킨다. 또한, 현재의 선택적인 에피택시 프로세스들은 일반적으로 약 800℃, 1,000℃ 또는 더 높은 온도와 같은 높은 반응 온도를 필요로 한다. 그러한 높은 온도들은 열 예산(thermal budget) 고려사항들 및 있을 수 있는(possible) 기판 표면에 대한 제어되지 않는 질화 반응들로 인해 제조 프로세스 동안 바람직하지 못하다.
그에 따라, 선택적인 도펀트들과 함께 실리콘 및 실리콘-함유 화합물들을 선택적으로 그리고 에피택셜하게 증착하기 위한 프로세스를 가질 필요가 있다. 또한, 빠른 증착 레이트, 매끄러운 표면 형태를 갖고, 약 800℃ 또는 그 미만, 그리고 바람직하게는 약 700℃ 또는 그 미만과 같은 프로세스 온도를 유지하면서, 다양한 원소 농도들을 갖는 실리콘-함유 화합물들을 형성하기 위해, 그 프로세스는 변통성이 있어 한다(versatile).
본 발명의 제 1 태양은 기판상에 에피택셜 층을 선택적으로 형성하는 방법을 제공한다. 그 방법은 기판을 약 800℃ 미만의 온도로 가열하는 단계 및 선택적인 에피택셜 필름 형성 동안에 실란 및 디클로로실란 모두를 실리콘 소오스들로서 이용하는 단계를 포함한다.
본 발명의 다른 태양에서, 기판 상에 에피택셜 층을 선택적으로 형성하는 방법이 제공된다. 그 방법은 교호되는(alternated) 적어도 하나의 증착 단계 및 적어도 하나의 에칭 단계를 포함한다. 그 방법은 기판을 약 800℃ 미만의 온도로 가열하는 단계를 포함한다. 증착 단계는 실란 및 디클로로실란 모두를 실리콘 소오스들로서 이용한다. 실리콘 소오스 가스들의 각각은 약 5 내지 50 Torr의 챔버 압력에서 약 10 sccm 내지 100 sccm의 레이트로 유입된다. 에칭 단계는 염화수소 및 염소 중 적어도 하나를 유입하는 단계를 포함한다.
본 발명의 다른 태양에서, 기판상에 에피택셜 층을 형성하는 방법이 제공된다. 그 방법은 (1) 기판을 약 800℃ 미만의 온도로 가열하는 단계; 및 (2) 선택적인 에피택셜 필름 형성 프로세스 동안에 실란 및 디클로로실란 모두를 실리콘 소오스들로서 이용함으로써 에피택셜 층을 형성하도록 기판 상에서 선택적인 에피택셜 필름 형성 프로세스를 실시하는 단계를 포함한다. 실란 대 디클로로실란의 비율은 1 보다 크다. 수많은 다른 태양들이 제공된다.
이하의 상세한 설명, 첨부된 청구항들 및 첨부 도면들로부터, 본 발명의 다른 피쳐들 및 태양들이 더욱 충분하게 자명해질 것이다.
도 1은 본 발명에 따라 에피택셜 필름을 형성하기 위한 제 1의 예시적인 방법의 흐름도이다.
도 2는 본 발명에 따라 에피택셜 필름을 형성하기 위한 제 2의 예시적인 방법의 흐름도이다.
유전체 필름들로 패턴화된 실리콘 기판 상에서의 선택적인 에피택셜 성장 프로세스 동안에, 단-결정 반도체의 형성은 노출된 실리콘 표면들 상에서만 이루어진다(예를 들어, 유전체 표면들 상에서는 이루어지지 않는다). 선택적인 두께는 유전체 표면들 상에서 필름 성장 또는 핵형성의 개시에 앞서서 실리콘 표면들 상에서 얻어지는 최대 필름 두께로서 규정된다.
선택적인 에피택셜 성장 프로세스들은 동시적인 에칭-증착 프로세스들은 물론 교호적인 가스 공급 프로세스들을 포함할 수 있다. 동시적인 에칭-증착 프로세스에서, 에칭제 종(etchant species) 및 증착 종 양자는 동시에 유입된다. 이로써, 에피택셜 층의 형성 동안에 에피택셜 층이 동시에 증착되고 에칭된다.
2004년 12월 1일자로 출원된 미국 특허출원 일련번호 제 11/001,774 호(서류 번호 제 9618 호)는 기판 상에 에피택셜 층을 형성하기 위한 교호적인 가스 공급(AGS) 프로세스를 설명한다. AGS 프로세스 동안에, 에피택셜 증착 프로세스가 기판 상에서 실시되고, 그리고 이어서 에칭 프로세스가 기판 상에서 실시된다. 에칭 프로세스가 후속하는 에피택셜 증착 프로세스의 사이클은 원하는 두께의 에피택셜 층이 형성될 때까지 반복된다.
800℃ 미만의 증착 온도들에서의 선택적인 실리콘 에피택시를 위한 대안적인 선구물질은 실란(SiH4)이다. 그러한 더 낮은 온도들에서, SiH4 는 디클로로실란(DCS)보다 더 높은 성장 레이트를 갖는다. 그러나 본원 발명자들은 SiH4 -기반(based) 프로세스가 형태 문제들(예를 들어, 표면 조도(surface roughness) 또는 피팅(pitting))을 유발할 수도 있다는 것을 관찰하였다.
본 발명의 적어도 하나의 실시예에서, SiH4의 이용과 관련하여 관찰된 형태학 문제들은 SiH4 및 DCS 모두를 이용함으로써(예를 들어, 필름 성장 동안에 SiH4와 DCS를 혼합함으로써) 감소 및/또는 제거될 수 있다. 이러한 접근법은 필름의 표면 상의 확산 메카니즘을 변화시켜서 보다 강력한(greater) 형태학적 제어를 허용하는 것으로 여겨진다.
일부 실시예들에서, 본 발명은 다른 선택적인 에피택셜 프로세스들과 이용될 수 있으나, 본 발명은 2004년 12월 1일자로 출원된 미국 특허출원 일련번호 제 11/001,774 호(서류 번호 제 9618 호)에 설명된 AGS 프로세스와 이용될 수 있다.
(예를 들어, AGS 프로세스 동안에) 실리콘 소오스로서 단지 SiH4 만을 갖는 선택적인 프로세스를 이용하여 형성된 실리콘 에피택셜 필름들은 거칠고 피팅된 표면들을 가지는 것으로 밝혀졌다. (예를 들어, AGS 프로세스 동안에) 실리콘 소오스들로서 SiH4 및 DCS 모두를 갖는 선택적인 프로세스를 이용하여 형성된 실리콘 에피택셜 필름들은 개선된 필름 형태, 예를 들어 개선된 표면 평활도(smoothness)(예를 들어, 피팅이 없는)를 가지는 것으로 밝혀졌다. 증착 후(post-deposition) 평활화(smoothing) 단계와 같은 다른 접근법들과 달리, SiH4 및 DCS의 이용은 추가적인 프로세스 단계들이 없이도 (예를 들어, 에피택셜 필름 형성 동안에) 필름 형태의 인-시츄 제어를 가능하게 한다.
일부 실시예들에서, 전술한 바와 같은 실리콘 소오스를 이용할 수 있는 프로세스의 일 예는 약 10 sccm 내지 약 100 sccm의 실란을 포함할 수 있다. 또한, 실리콘 소오스는 약 10 sccm 내지 약 100 sccm의 디클로로실란을 포함할 수 있다. 이러한 예에서, AGS 프로세스에서의 증착 사이클 동안에, 약 2 내지 250 초의 증착 시간, 보다 바람직하게는 약 5 내지 10 초의 증착 시간, 그리고 약 700℃ 내지 약 750℃ 범위의 온도와 함께 약 5 Torr 내지 약 50 Torr 범위의 챔버 압력이 이용될 수 있다. 일부 실시예들에서, 2:1, 3:1, 4:1, 5:1, 7:1, 10:1 등(SiH4 : DCS)과 같은, 1 보다 큰 SiH4 대 DCS의 비율이 이용될 수 있다. 증착 사이클 이후에, 예를 들어, 에칭제로서 약 50 sccm 내지 약 500 sccm의 염화 수소(HCl), 약 2 초 내지 250 초, 보다 바람직하게는 약 5 초 내지 10초의 증착 시간을 갖는 약 5 Torr 내지 약 100 Torr의 챔버 압력, 그리고 약 700℃ 내지 약 750℃ 범위의 온도로, 에칭제 프로세스가 이용될 수 있다. 에칭 사이클 이후에, 약 700℃ 내지 약 750℃ 범위의 온도에서 약 5 내지 약 50 Torr의 압력으로 약 10초 동안 퍼지 사이클이 실시될 수 있을 것이다. 다른 프로세스 시간들, 온도들 및/또는 유량들이 증착, 에칭 및/또는 퍼징 동안에 이용될 수 있다. 예를 들어, 2005년 9월 14일자로 출원된 미국 특허출원 일련번호 제 11/227,974 호(서류 번호 제 9618/P01 호)에 설명된 바와 같이, 염소(Cl2) 또는 Cl2 와 HCl의 조합이 각각의 에칭 단계 동안에 이용될 수 있다.
도 1은 본 발명에 따라 에피택셜 필름을 형성하기 위한 제 1 의 예시적인 방법(100)의 흐름도이다. 도 1을 참조하면, 단계(101)에서, 기판이 프로세스 챔버내로 로딩되고 약 800℃ 또는 그 미만의 온도로 가열된다. 일부 실시예들에서, 보다 낮은 온도 범위, 예를 들어, 750℃ 미만, 700℃ 미만 또는 650℃ 미만의 온도가 에피택셜 필름 형성 동안에 이용될 수 있다.
단계(102)에서, 기판 상에 에피택셜 필름을 형성하도록, 적절한 캐리어 가스 및/또는 도펀트(들)와 함께 실란 및 디클로로실란이 프로세스 챔버 내로 유입된다. 일부 실시예들에서, HCl, Cl2, HCl과 Cl2의 조합 등과 같은 하나 또는 그 초과의 에칭제 가스들이 실리콘 소오스 가스들로서 동시에(예를 들어, 동시적인 증착-에칭 프로세스 동안에) 유입될 수 있다. 다른 실시예들에서, 별개의 에칭제 단계가 증착 후에 (예를 들어, AGS 프로세스 동안에) 이용될 수 있다. 증착 및 에칭은 원하는 에피택셜 필름 두께가 얻어질 때까지 계속된다. 일부 실시예들에서, 2:1, 3:1, 4:1, 5:1, 7:1, 10:1 등(SiH4 : DCS)과 같은, 1 보다 큰 SiH4 대 DCS의 비율이 이용될 수 있다. 다른 실리콘 소오스 비율들이 이용될 수도 있다.
도 2는 본 발명에 따른 에피택셜 필름을 형성하기 위한 제 2 의 예시적인 방법(200)의 흐름도이다. 도 2를 참조하면, 단계(201)에서, 기판이 프로세스 챔버내로 로딩되고 약 800℃ 또는 그 미만의 온도로 가열된다. 일부 실시예들에서, 750℃ 미만, 700℃ 미만 또는 650℃ 미만과 같은 더욱 낮은 온도 범위가 에피택셜 필름 형성 동안에 이용될 수 있다.
단계(202)에서, 기판 상에 에피택셜 필름을 형성하도록, 적절한 캐리어 가스 및/또는 도펀트(들)와 함께 실란 및 디클로로실란이 프로세스 챔버내로 유입된다. 일부 실시예들에서, 약 10 sccm 내지 약 100 sccm의 디클로로실란이 이용될 수 있는 동안, 약 10 sccm 내지 약 100 sccm의 실란이 이용될 수 있다. 약 5 Torr 내지 약 50 Torr의 압력이 이용될 수 있다. 증착은 약 2 내지 250 초 동안, 보다 바람직하게는 약 5 내지 10 초 동안 실시될 수 있다. 일부 실시예들에서, 2:1, 3:1, 4:1, 5:1, 7:1, 10:1 등(SiH4 : DCS)과 같은, 1보다 큰 SiH4 대 DCS의 비율이 이용될 수 있다. 다른 유량들, 압력들, 온도들, 시간들 및/또는 SiH4 : DCS 비율들이 이용될 수 있다.
단계(203)에서, 단계(202) 동안에 증착된 물질을 에칭하도록, HCl 및/또는 Cl2 과 같은 에칭제 가스가 적절한 캐리어 가스와 함께 프로세스 챔버내로 유입된다. 예를 들어, 기판은 약 5 Torr 내지 약 100 Torr의 챔버 압력에서, 약 2 내지 250 초 동안, 보다 바람직하게는 약 5 내지 10 초 동안 에칭제로서 약 50 sccm 내지 약 500 sccm의 염화수소(HCl)를 이용하여 에칭될 수 있다. 다른 에칭제들, 유량들, 압력들 및/또는 시간들이 이용될 수 있다.
단계(204)에서, 에칭 사이클 이후에, 퍼지 사이클이 약 2 내지 250 초 동안, 보다 바람직하게는 약 5 내지 10 초 동안 실시될 수 있다. 다른 퍼지 시간들이 이용될 수 있다.
단계(205)에서, 원하는 에피택셜 필름 두께에 도달하였는지 여부에 대한 결정이 이루어진다. 만약 도달하였다면, 프로세스는 단계(206)에서 종료되고; 그렇지 않은 경우에, 기판 상에 추가적인 에피택셜 물질을 증착하기 위해서 프로세스는 단계(202)로 복귀된다.
전술한 설명은 단지 본 발명의 예시적인 실시예들을 개시한다. 본 발명의 범주에 포함되는 전술한 장치 및 방법들에 대한 변경들은 당업자들에게 쉽게 자명할 것이다. 예를 들어, 750℃ 미만, 700℃ 미만, 또는 650℃ 미만과 같은 보다 낮은 온도 범위가 에피택셜 필름 형성 동안에 이용될 수 있다.
따라서, 본 발명의 예시적인 실시예들과 관련하여 본 발명이 개시되었으나, 다른 실시예들도 다음의 청구항들에 의해 정의된 바와 같은 본 발명의 사상 및 범주내에 포함될 수 있음이 이해되어야 한다.

Claims (24)

  1. 에피택셜 층을 형성하는 방법으로서:
    기판을 제공하는 단계;
    상기 기판을 800℃ 미만의 온도로 가열하는 단계; 및
    선택적인 에피택셜 필름 형성 프로세스 동안에 실란 및 디클로로실란 모두를 실리콘 소오스로서 이용함으로써 상기 에피택셜 층을 형성하도록, 상기 기판 상에서 상기 선택적인 에피택셜 필름 형성 프로세스를 실시하는 단계를 포함하는,
    에피택셜 층 형성 방법.
  2. 제 1 항에 있어서,
    상기 기판을 가열하는 단계는, 상기 기판을 750℃ 미만의 온도로 가열하는 단계를 포함하는,
    에피택셜 층 형성 방법.
  3. 제 1 항에 있어서,
    상기 기판을 가열하는 단계는, 상기 기판을 700℃ 미만의 온도로 가열하는 단계를 포함하는,
    에피택셜 층 형성 방법.
  4. 제 1 항에 있어서,
    상기 선택적인 에피택셜 필름 형성 프로세스를 실시하는 단계는:
    실란 및 디클로로실란을 유동시키는 단계; 및
    염화수소(HCl) 및 염소(Cl2) 중 하나 이상을 포함하는 에칭 가스를 유동시키는 단계를 포함하는,
    에피택셜 층 형성 방법.
  5. 제 1 항에 있어서,
    상기 선택적인 에피택셜 필름 형성 프로세스를 실시하는 단계는 에칭 단계를 수반하는 증착 단계를 실시하는 단계를 포함하고,
    상기 증착 단계를 실시하는 단계는 실란의 유동 및 디클로로실란의 유동을 제공하는 단계를 포함하는,
    에피택셜 층 형성 방법.
  6. 제 5 항에 있어서,
    상기 증착 단계를 실시하는 단계는, 최대 10초 동안 실란 및 디클로로실란을 유동시키는 단계를 포함하는,
    에피택셜 층 형성 방법.
  7. 제 5 항에 있어서,
    상기 에칭 단계를 실시하는 단계는, 염화수소(HCl) 및 염소(Cl2) 중 하나 이상을 포함하는 에칭 가스를 유동시키는 단계를 포함하는,
    에피택셜 층 형성 방법.
  8. 제 7 항에 있어서,
    상기 에칭 단계는, 최대 10초 동안 에칭 가스를 유동시키는 단계를 포함하는,
    에피택셜 층 형성 방법.
  9. 제 5 항에 있어서,
    상기 에칭 단계에 후속하는 퍼징(purging) 단계를 더 포함하는,
    에피택셜 층 형성 방법.
  10. 에피택셜 층을 형성하는 방법으로서:
    기판을 제공하는 단계;
    상기 기판을 800℃ 미만의 온도로 가열하는 단계; 및
    하나 이상의 증착 단계와 하나 이상의 에칭 단계를 포함하는 선택적인 에피택셜 필름 형성 프로세스를 실시하는 단계를 포함하며,
    상기 증착 단계와 에칭 단계는 교호되며;
    상기 증착 단계는 실란 및 디클로로실란 각각을 5 내지 50 Torr의 증착 압력 압력에서 10 내지 100 sccm의 유량(flow rate)으로 유동시키는 단계를 포함하며; 그리고
    상기 에칭 단계는 염화수소 및 염소 중 하나 이상을 유동시키는 단계를 포함하는,
    에피택셜 층 형성 방법.
  11. 제 10 항에 있어서,
    상기 선택적인 에피택셜 필름 형성 프로세스는 상기 하나 이상의 에칭 단계에 후속하는 퍼징 단계를 더 포함하는,
    에피택셜 층 형성 방법.
  12. 제 10 항에 있어서,
    상기 기판을 가열하는 단계는, 상기 기판을 750℃ 미만의 온도로 가열하는 단계를 포함하는,
    에피택셜 층 형성 방법.
  13. 제 10 항에 있어서,
    상기 기판을 가열하는 단계는, 상기 기판을 700℃ 미만의 온도로 가열하는 단계를 포함하는,
    에피택셜 층 형성 방법.
  14. 에피택셜 층을 형성하는 방법으로서:
    기판을 제공하는 단계;
    상기 기판을 800℃ 미만의 온도로 가열하는 단계; 및
    선택적인 에피택셜 필름 형성 프로세스 동안에 실란 및 디클로로실란 모두를 실리콘 소오스로서 이용함으로써 상기 에피택셜 층을 형성하도록, 상기 기판 상에서 상기 선택적인 에피택셜 필름 형성 프로세스를 실시하는 단계를 포함하며,
    상기 실란 대 상기 디클로로실란의 비율이 1 보다 큰,
    에피택셜 층 형성 방법.
  15. 제 14 항에 있어서,
    상기 실란 대 상기 디클로로실란의 비율이 2 보다 큰,
    에피택셜 층 형성 방법.
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
KR1020097003879A 2006-07-31 2007-07-30 에피택셜 층 형성 동안에 형태를 제어하는 방법 KR101369355B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US82095606P 2006-07-31 2006-07-31
US60/820,956 2006-07-31
PCT/US2007/017053 WO2008033186A1 (en) 2006-07-31 2007-07-30 Methods of controlling morphology during epitaxial layer formation

Publications (2)

Publication Number Publication Date
KR20090037481A KR20090037481A (ko) 2009-04-15
KR101369355B1 true KR101369355B1 (ko) 2014-03-04

Family

ID=39184089

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097003879A KR101369355B1 (ko) 2006-07-31 2007-07-30 에피택셜 층 형성 동안에 형태를 제어하는 방법

Country Status (7)

Country Link
US (1) US7588980B2 (ko)
JP (1) JP5175285B2 (ko)
KR (1) KR101369355B1 (ko)
CN (1) CN101496150B (ko)
DE (1) DE112007001813T5 (ko)
TW (1) TWI390606B (ko)
WO (1) WO2008033186A1 (ko)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8501594B2 (en) * 2003-10-10 2013-08-06 Applied Materials, Inc. Methods for forming silicon germanium layers
US20100120235A1 (en) * 2008-11-13 2010-05-13 Applied Materials, Inc. Methods for forming silicon germanium layers
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
EP1945836A4 (en) * 2005-10-05 2009-12-02 Applied Materials Inc METHOD AND DEVICE FOR FORMING AN EPITACTIC FILM
TW200805458A (en) * 2006-03-24 2008-01-16 Applied Materials Inc Carbon precursors for use during silicon epitaxial film formation
WO2007117583A2 (en) * 2006-04-07 2007-10-18 Applied Materials Inc. Cluster tool for epitaxial film formation
US7674337B2 (en) * 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
CN103981568A (zh) * 2006-07-31 2014-08-13 应用材料公司 形成含碳外延硅层的方法
US7897495B2 (en) * 2006-12-12 2011-03-01 Applied Materials, Inc. Formation of epitaxial layer containing silicon and carbon
US9064960B2 (en) * 2007-01-31 2015-06-23 Applied Materials, Inc. Selective epitaxy process control
JP4635062B2 (ja) * 2008-03-11 2011-02-16 株式会社東芝 半導体装置の製造方法
KR101776926B1 (ko) * 2010-09-07 2017-09-08 삼성전자주식회사 반도체 소자 및 그 제조 방법
CN103779278A (zh) * 2012-10-22 2014-05-07 中芯国际集成电路制造(上海)有限公司 Cmos管的形成方法
JP5931780B2 (ja) * 2013-03-06 2016-06-08 東京エレクトロン株式会社 選択エピタキシャル成長法および成膜装置
KR102422158B1 (ko) 2015-12-23 2022-07-20 에스케이하이닉스 주식회사 반도체장치 및 그 제조 방법
JP6640596B2 (ja) * 2016-02-22 2020-02-05 東京エレクトロン株式会社 成膜方法
US10446393B2 (en) * 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
JP6489198B1 (ja) * 2017-12-25 2019-03-27 株式会社Sumco エピタキシャルウェーハの汚染評価方法および該方法を用いたエピタキシャルウェーハの製造方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020013761A (ko) * 2000-08-10 2002-02-21 야마나까 마모루, 스즈키 히로시 탄화 규소 및 이의 제조 방법
KR20040008193A (ko) * 2001-05-30 2004-01-28 에이에스엠 아메리카, 인코포레이티드 저온 로딩 및 소성

Family Cites Families (125)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3675619A (en) 1969-02-25 1972-07-11 Monsanto Co Apparatus for production of epitaxial films
NL187942C (nl) 1980-08-18 1992-02-17 Philips Nv Zenerdiode en werkwijze ter vervaardiging daarvan.
US5294286A (en) 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
JPH0639357B2 (ja) 1986-09-08 1994-05-25 新技術開発事業団 元素半導体単結晶薄膜の成長方法
US5607511A (en) 1992-02-21 1997-03-04 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
US5112439A (en) 1988-11-30 1992-05-12 Mcnc Method for selectively depositing material on substrates
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
AU5977190A (en) 1989-07-27 1991-01-31 Nishizawa, Junichi Impurity doping method with adsorbed diffusion source
JP2880322B2 (ja) 1991-05-24 1999-04-05 キヤノン株式会社 堆積膜の形成方法
US5227330A (en) * 1991-10-31 1993-07-13 International Business Machines Corporation Comprehensive process for low temperature SI epit axial growth
US5480818A (en) 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
JP2917694B2 (ja) 1992-04-02 1999-07-12 日本電気株式会社 化合物半導体気相成長方法及びその装置
JPH0750690B2 (ja) 1992-08-21 1995-05-31 日本電気株式会社 ハロゲン化物を用いる半導体結晶のエピタキシャル成長方法とその装置
US5273930A (en) 1992-09-03 1993-12-28 Motorola, Inc. Method of forming a non-selective silicon-germanium epitaxial film
US5236545A (en) 1992-10-05 1993-08-17 The Board Of Governors Of Wayne State University Method for heteroepitaxial diamond film development
JP3255469B2 (ja) 1992-11-30 2002-02-12 三菱電機株式会社 レーザ薄膜形成装置
JP3265042B2 (ja) 1993-03-18 2002-03-11 東京エレクトロン株式会社 成膜方法
JPH0729897A (ja) 1993-06-25 1995-01-31 Nec Corp 半導体装置の製造方法
US5372860A (en) 1993-07-06 1994-12-13 Corning Incorporated Silicon device production
JPH07109573A (ja) 1993-10-12 1995-04-25 Semiconductor Energy Lab Co Ltd ガラス基板および加熱処理方法
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US6335280B1 (en) 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
US6055927A (en) 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
US5849092A (en) 1997-02-25 1998-12-15 Applied Materials, Inc. Process for chlorine trifluoride chamber cleaning
TW417249B (en) 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6118216A (en) 1997-06-02 2000-09-12 Osram Sylvania Inc. Lead and arsenic free borosilicate glass and lamp containing same
KR100385946B1 (ko) 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6042654A (en) 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
US6514880B2 (en) 1998-02-05 2003-02-04 Asm Japan K.K. Siloxan polymer film on semiconductor substrate and method for forming same
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6797558B2 (en) 2001-04-24 2004-09-28 Micron Technology, Inc. Methods of forming a capacitor with substantially selective deposite of polysilicon on a substantially crystalline capacitor dielectric layer
US6159852A (en) 1998-02-13 2000-12-12 Micron Technology, Inc. Method of depositing polysilicon, method of fabricating a field effect transistor, method of forming a contact to a substrate, method of forming a capacitor
JP2002505532A (ja) 1998-03-06 2002-02-19 エーエスエム アメリカ インコーポレイテッド 高段差被覆性を伴うシリコン堆積方法
US6019839A (en) * 1998-04-17 2000-02-01 Applied Materials, Inc. Method and apparatus for forming an epitaxial titanium silicide film by low pressure chemical vapor deposition
JP4214585B2 (ja) 1998-04-24 2009-01-28 富士ゼロックス株式会社 半導体デバイス、半導体デバイスの製造方法及び製造装置
US6025627A (en) 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
FR2779572B1 (fr) 1998-06-05 2003-10-17 St Microelectronics Sa Transistor bipolaire vertical a faible bruit et procede de fabrication correspondant
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
JP4204671B2 (ja) 1998-09-11 2009-01-07 三菱電機株式会社 半導体装置の製造方法
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
JP4556329B2 (ja) 1999-04-20 2010-10-06 ソニー株式会社 薄膜形成装置
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6489241B1 (en) 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
FR2801420B1 (fr) 1999-11-23 2002-04-12 St Microelectronics Sa Transistor bipolaire vertical a faible bruit basse frequence et gain en courant eleve, et procede de fabrication correspondant
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
US6291319B1 (en) 1999-12-17 2001-09-18 Motorola, Inc. Method for fabricating a semiconductor structure having a stable crystalline interface with silicon
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
EP1123991A3 (en) 2000-02-08 2002-11-13 Asm Japan K.K. Low dielectric constant materials and processes
US6492283B2 (en) 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
AU2001245388A1 (en) * 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6458718B1 (en) 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
EP2293322A1 (en) * 2000-06-08 2011-03-09 Genitech, Inc. Method for forming a metal nitride layer
KR100373853B1 (ko) 2000-08-11 2003-02-26 삼성전자주식회사 반도체소자의 선택적 에피택시얼 성장 방법
US6461909B1 (en) 2000-08-30 2002-10-08 Micron Technology, Inc. Process for fabricating RuSixOy-containing adhesion layers
JP5290488B2 (ja) * 2000-09-28 2013-09-18 プレジデント アンド フェロウズ オブ ハーバード カレッジ 酸化物、ケイ酸塩及びリン酸塩の気相成長
KR100378186B1 (ko) 2000-10-19 2003-03-29 삼성전자주식회사 원자층 증착법으로 형성된 박막이 채용된 반도체 소자 및그 제조방법
US6613695B2 (en) * 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
KR100869326B1 (ko) 2000-11-30 2008-11-18 에이에스엠 인터내셔널 엔.브이. 자기장치용 박막
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
KR20020049875A (ko) 2000-12-20 2002-06-26 윤종용 반도체 메모리 소자의 강유전체 커패시터 및 그 제조방법
KR100393208B1 (ko) 2001-01-15 2003-07-31 삼성전자주식회사 도핑된 다결정 실리콘-저매니움막을 이용한 반도체 소자및 그 제조방법
US6426265B1 (en) * 2001-01-30 2002-07-30 International Business Machines Corporation Incorporation of carbon in silicon/silicon germanium epitaxial layer to enhance yield for Si-Ge bipolar technology
JP4866534B2 (ja) * 2001-02-12 2012-02-01 エーエスエム アメリカ インコーポレイテッド 半導体膜の改良された堆積方法
US20020117399A1 (en) * 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US6576535B2 (en) 2001-04-11 2003-06-10 Texas Instruments Incorporated Carbon doped epitaxial layer for high speed CB-CMOS
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US20030072884A1 (en) * 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
KR20030035152A (ko) 2001-10-30 2003-05-09 주식회사 하이닉스반도체 반도체웨이퍼 제조방법
US6743681B2 (en) * 2001-11-09 2004-06-01 Micron Technology, Inc. Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride
US6590344B2 (en) 2001-11-20 2003-07-08 Taiwan Semiconductor Manufacturing Co., Ltd. Selectively controllable gas feed zones for a plasma reactor
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6696332B2 (en) * 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
JP3914064B2 (ja) * 2002-02-28 2007-05-16 富士通株式会社 混晶膜の成長方法及び装置
US6723658B2 (en) * 2002-07-15 2004-04-20 Texas Instruments Incorporated Gate structure and method
US7105891B2 (en) * 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US7449385B2 (en) * 2002-07-26 2008-11-11 Texas Instruments Incorporated Gate dielectric and method
US6919251B2 (en) * 2002-07-31 2005-07-19 Texas Instruments Incorporated Gate dielectric and method
US7186630B2 (en) * 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
KR100542736B1 (ko) * 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US7199023B2 (en) * 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US6759286B2 (en) * 2002-09-16 2004-07-06 Ajay Kumar Method of fabricating a gate structure of a field effect transistor using a hard mask
US6998305B2 (en) 2003-01-24 2006-02-14 Asm America, Inc. Enhanced selectivity for epitaxial deposition
JP3872027B2 (ja) 2003-03-07 2007-01-24 株式会社東芝 クリーニング方法及び半導体製造装置
US7605060B2 (en) * 2003-03-28 2009-10-20 Nxp B.V. Method of epitaxial deoposition of an n-doped silicon layer
CN100454200C (zh) 2003-06-09 2009-01-21 喜开理株式会社 相对压力控制系统和相对流量控制系统
JP2005167064A (ja) 2003-12-04 2005-06-23 Sharp Corp 不揮発性半導体記憶装置
US7045432B2 (en) * 2004-02-04 2006-05-16 Freescale Semiconductor, Inc. Method for forming a semiconductor device with local semiconductor-on-insulator (SOI)
US7071117B2 (en) * 2004-02-27 2006-07-04 Micron Technology, Inc. Semiconductor devices and methods for depositing a dielectric film
US7230274B2 (en) 2004-03-01 2007-06-12 Cree, Inc Reduction of carrot defects in silicon carbide epitaxy
KR100532509B1 (ko) 2004-03-26 2005-11-30 삼성전자주식회사 SiGe를 이용한 트렌치 커패시터 및 그 형성방법
US7396743B2 (en) * 2004-06-10 2008-07-08 Singh Kaushal K Low temperature epitaxial growth of silicon-containing films using UV radiation
US7361563B2 (en) 2004-06-17 2008-04-22 Samsung Electronics Co., Ltd. Methods of fabricating a semiconductor device using a selective epitaxial growth technique
KR100607409B1 (ko) * 2004-08-23 2006-08-02 삼성전자주식회사 기판 식각 방법 및 이를 이용한 반도체 장치 제조 방법
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7235492B2 (en) * 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7816236B2 (en) * 2005-02-04 2010-10-19 Asm America Inc. Selective deposition of silicon-containing films
EP1945836A4 (en) * 2005-10-05 2009-12-02 Applied Materials Inc METHOD AND DEVICE FOR FORMING AN EPITACTIC FILM
TW200805458A (en) * 2006-03-24 2008-01-16 Applied Materials Inc Carbon precursors for use during silicon epitaxial film formation
CN103981568A (zh) * 2006-07-31 2014-08-13 应用材料公司 形成含碳外延硅层的方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020013761A (ko) * 2000-08-10 2002-02-21 야마나까 마모루, 스즈키 히로시 탄화 규소 및 이의 제조 방법
KR20040008193A (ko) * 2001-05-30 2004-01-28 에이에스엠 아메리카, 인코포레이티드 저온 로딩 및 소성

Also Published As

Publication number Publication date
KR20090037481A (ko) 2009-04-15
CN101496150A (zh) 2009-07-29
TWI390606B (zh) 2013-03-21
CN101496150B (zh) 2012-07-18
US20080026549A1 (en) 2008-01-31
US7588980B2 (en) 2009-09-15
TW200816280A (en) 2008-04-01
JP5175285B2 (ja) 2013-04-03
JP2009545884A (ja) 2009-12-24
DE112007001813T5 (de) 2009-07-09
WO2008033186A1 (en) 2008-03-20

Similar Documents

Publication Publication Date Title
KR101369355B1 (ko) 에피택셜 층 형성 동안에 형태를 제어하는 방법
KR101160930B1 (ko) 카본-함유 실리콘 에피택셜 층을 형성하는 방법
TWI613705B (zh) 在低溫下生長薄磊晶膜的方法
CN101069264B (zh) 具有选择性气体供应的选择性外延工艺
TWI512792B (zh) 選擇性磊晶製程控制
JP4918043B2 (ja) シリコンエピタキシャル膜形成方法
TWI405248B (zh) 沉積摻雜碳之磊晶半導體層之方法、沉積半導體材料的方法與裝置及在反應腔室中之基板上形成電晶體設備之方法
JP2005536054A (ja) アモルファスケイ素含有膜の堆積
US20120003819A1 (en) Methods and apparatus for selective epitaxy of si-containing materials and substitutionally doped crystalline si-containing material
KR20130044312A (ko) 시클로헥사실란을 이용한 박막 및 이의 제조방법
EP2030227A2 (en) Methods and systems for selectively depositing si-containing films using chloropolysilanes
KR100434698B1 (ko) 반도체소자의 선택적 에피성장법
JP2018522412A (ja) 単結晶シリコン上でのエピタキシャル3C−SiCの成長
TWI774716B (zh) 製造半導體元件的方法
US9012328B2 (en) Carbon addition for low resistivity in situ doped silicon epitaxy
US20070254450A1 (en) Process for forming a silicon-based single-crystal portion
KR20200073452A (ko) 저온 실리콘 절연막 증착 방법
Murota et al. Atomically controlled processing for future Si-based devices

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20161229

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180212

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190207

Year of fee payment: 6