KR20060021940A - 박막 형성 방법 및 박막 형성 장치 - Google Patents

박막 형성 방법 및 박막 형성 장치 Download PDF

Info

Publication number
KR20060021940A
KR20060021940A KR1020067001207A KR20067001207A KR20060021940A KR 20060021940 A KR20060021940 A KR 20060021940A KR 1020067001207 A KR1020067001207 A KR 1020067001207A KR 20067001207 A KR20067001207 A KR 20067001207A KR 20060021940 A KR20060021940 A KR 20060021940A
Authority
KR
South Korea
Prior art keywords
gas
thin film
source
source gas
supplying
Prior art date
Application number
KR1020067001207A
Other languages
English (en)
Other versions
KR100601821B1 (ko
Inventor
히데아키 야마사키
유미코 카와노
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20060021940A publication Critical patent/KR20060021940A/ko
Application granted granted Critical
Publication of KR100601821B1 publication Critical patent/KR100601821B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • C23C16/0218Pretreatment of the material to be coated by heating in a reactive atmosphere
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명은 ALD법을 이용한 박막 형성 방법 및 박막 형성 장치에 관한 것으로, ALD법에 의한 복수 종의 원료 가스를 한 종류씩 복수 회에 걸쳐 공급하는 성막에 앞서, 복수 종의 원료 가스를 동시에 공급하는 전처리를 실시하여, 성막에서의 인큐베이션 타임의 저감 및 작업 처리량의 향상을 도모한다.

Description

박막 형성 방법 및 박막 형성 장치 {THIN FILM FORMING METHOD AND THIN FILM FORMING DEVICE}
도 1은 종래의 ALD법에 의한 성막을 실시하기 위한 흐름도.
도 2는 종래의 ALD법 및 본 발명에 따른 극도로 얇은 박막의 형성 방법을 실시했을 때의 원료 가스 공급 사이클 수와 성막 두께의 관계를 도시하기 위한 그래프.
도 3은 본 발명에 따른 극도로 얇은 박막의 형성 방법을 실시하기 위한 진공 처리 장치를 도시한 개략적인 구성도.
도 4는 본 발명의 한 가지 실시예에 따른 W2N 박막의 형성 방법을 실시하기 위한 흐름도.
도 5는 본 발명의 한 가지 실시예에 따른 박막 형성 방법을 실시했을 때의 웨이퍼 온도와 1 사이클당 성막 두께의 관계를 도시한 그래프.
도 6은 본 발명의 한 가지 실시예에 따른 박막 형성 방법을 실시했을 때의 WF6 가스 또는 NH3 가스의 공급량과 1 사이클당 성막 두께의 관계를 도시한 도면.
도 7은 본 발명의 한 가지 실시예에 따른 박막 형성 방법을 실시하기 위한 흐름도.
도 8은 본 발명의 한 가지 실시예에 따른 박막 형성 방법을 실시하기 위한 진공 처리 장치를 도시한 개략적인 구성도.
도 9는 본 발명의 한 가지 실시예에 따른 TiN 박막 형성 방법을 실시하기 위한 흐름도.
본 발명은 박막 형성 방법 및 박막 형성 장치에 관한 것으로, 특히 원료 가스를 교대로 공급함으로써 성막을 행하는 박막 형성 방법 및 박막 형성 장치에 관한 것이다.
최근의 반도체 집적 회로의 미세화, 고집적화에 따라, 기판(예컨대, 반도체 기판) 상에 형성하는 절연막 및 금속 배선막 등에 대해서는 박막화, 복잡한 형상에 대한 피복성이 좋은 성막, 웨이퍼 전체에 대해 거시적으로 균일한 성막, 나노미터 레벨의 미시적으로 평활한 성막 등이 요구되고 있다. 그러나, 종래의 화학적 기상 성장법(CVD법)으로는, 상기 요구 중 일부를 만족시킬 수 없는 상황에 있다. 한편, 이러한 요구를 만족시키는 성막 방법으로서 ALD(Atomic Layer Deposition)법이 주목받고 있다. 이 ALD법은, 성막 시에 원료 가스를 한 종류씩 교대로 공급함으로써, 원료 가스의 반응 표면에 대한 흡착을 경유하여 원자층·분자층 레벨에서 성막을 행하고, 이들 공정을 반복하여 소정 두께의 박막을 얻는 방법이다.
구체적으로는, 제1 원료 가스를 기판 상에 공급하여 그 흡착층을 기판 상에 형성한다. 그 후에, 제2 원료 가스를 기판 상에 공급하여 반응시킨다. 이 방법에 따르면, 제1 원료 가스가 기판에 흡착된 후 제2 원료 가스와 반응하기 때문에 성막 온도의 저온화를 도모할 수 있다.
또한, 홀에 성막하는 데에 있어서는, 종래의 CVD법에서 문제가 되었던, 원료 가스가 홀 상부에서 반응 소비됨에 따른 피복성 저하를 피할 수도 있다.
또한, 흡착층의 두께는, 일반적으로 원자, 분자의 단일층이거나 많아도 2, 3층이지만, 그 온도와 압력으로 결정되어, 흡착층을 만드는 데에 필요 이상의 원료 가스가 공급되면 배출된다고 하는 자기 정합성을 가지고 있기 때문에, 극도로 얇은박막의 두께를 제어하는 데에 좋다. 또한, 한 번의 성막이 원자층, 분자층 레벨로 행해지기 때문에, 반응이 완전히 진행되기 쉽고, 막 중에 불순물이 잔류하기 어려워져서 바람직하다.
그러나, 전술한 바와 같이 ALD법은 양호한 막 특성을 얻을 수 있는 반면, 한 번의 성막이 원자층, 분자층 레벨로 행해짐에 따라 총 성막 시간이 장시간화되는 문제가 있었다.
특히, 최초의 수회 사이클 동안 실질적으로 성막이 이루어지지 않는 잠복 기간(인큐베이션 타임)이 있어, 작업 처리량이 심각하게 저하되었다.
본 발명은 전술한 종래 기술의 문제점을 해결하는 개량된 박막 형성 방법 및 박막 형성 장치를 제공하는 것을 총괄적인 목적으로 하고 있다.
본 발명의 보다 상세한 목적은 ALD법의 인큐베이션 타임을 짧게 하여 작업 처리량이 높은 박막 형성 방법 및 박막 형성 장치를 실현하는 것이다.
위와 같은 목적을 달성하기 위해, 본 발명에서는 복수 종의 원료 가스를 기판 상에서 반응시켜 그 기판 상에 박막을 형성하는 박막 형성 방법에 있어서, 상기 복수 종의 원료 가스를 동시에 공급하는 공정과, 이 공정의 실시 후에, 상기 복수 종의 원료 가스를 한 종류씩 복수 회에 걸쳐 공급함으로써 박막을 형성하는 공정을 포함하는 것을 특징으로 한다.
이와 같이, 이른바 ALD법에 의한 복수 종의 원료 가스를 한 종류씩 복수 회에 걸쳐 공급하는 성막에 앞서, 복수 종의 원료 가스를 동시에 공급하는 전처리를 실시함으로써, 인큐베이션 타임을 짧게 하여 작업 처리량의 향상을 도모할 수 있다.
또한, 상기 발명에 있어서, 상기 복수 종의 원료 가스를 한 종류씩 복수 회에 걸쳐 공급할 때, 반응하는 2 종류의 원료 가스의 공급 사이에 진공 배기 또는 제3종 가스에 의한 치환을 행하는 것이 바람직하다. 이에 따라, 반응하는 2 종류의 원료 가스의 공급 사이에서 불필요한 반응이 생기는 것을 방지할 수 있다.
또한, 상기 발명에 있어서 상기 원료 가스는 WF6 가스, NH3 가스, SiH4 가스 인 것이 바람직하다. 이에 따라, 기판 상에는 WNx의 박막이 형성된다.
또한, 상기 발명에 있어서 상기 복수 종의 원료 가스를 동시에 공급하는 시간의 하한은 0.1 sec이며, 그 상한은 그 가스 구성으로 2.0 nm 두께의 성막이 행해 지는 것과 동일한 시간인 것이 바람직하다. 이와 같이 설정함으로써 인큐베이션 타임을 유효하게 단축할 수 있다.
또한, 본 발명은 복수 종의 원료 가스를 기판 상에서 반응시켜 그 기판 상에 박막을 형성하는 박막 형성 장치에 있어서, 상기 복수 종의 원료 가스를 동시에 공급하는 수단과, 상기 복수 종의 원료 가스를 한 종류씩 복수 회에 걸쳐 공급함으로써 박막을 형성하는 수단과, 상기 복수 종의 원료 가스를 한 종류씩 복수 회에 걸쳐 공급할 때, 반응하는 2 종류의 원료 가스의 공급 사이에 진공 배기 또는 제3종 가스에 의한 치환을 행하는 수단을 마련한 것을 특징으로 한다.
상기 발명에 따른 장치에 의하면, 이른바 ALD법에 의한 복수 종의 원료 가스를 한 종류씩 복수 회에 걸쳐 공급하는 성막에 앞서, 복수 종의 원료 가스를 동시에 공급하는 전처리를 실시하는 것이 가능해져, 성막 시에 인큐베이션 타임을 짧게 하여 작업 처리량의 향상을 도모할 수 있다.
이하, 본 발명의 실시예를 도면에 기초하여 설명한다.
도 3은 본 발명의 한 가지 실시예인 박막 형성 방법을 실시하기 위한 박막 형성 장치(이하, 진공 처리 장치라고 부른)를 도시한 개략적인 구성도이다. 우선, 박막 형성 방법의 설명에 앞서, 박막 형성을 행하기 위한 진공 처리 장치에 대해 설명한다.
이 진공 처리 장치는 가스 공급원(10A∼10C), 샤워 헤드(20), 샤워 헤드 히터(21), 챔버(30), 챔버 히터(31), 서셉터(32), 지지 부재(33), 배기관(40), 밸브(41), 진공 펌프(42), 그리고 전원(50) 등으로 구성되어 있다.
가스 공급원(10A∼10C)은 샤워 헤드(20)에 마련된 가스 유입구(22∼24), 도입 통로(25, 26) 등을 통해 챔버(30) 내로 가스를 공급한다. 즉, 가스 공급원(10A∼10C)은 챔버(30) 내에서 반도체 웨이퍼(W)에 소정의 성막 처리를 실시하기 위한 가스를 각각 공급한다. 구체적으로, 가스 공급원(10A)은 원료 가스인 WF6 가스를 공급하고, 가스 공급원(10B)은 원료 가스인 NH3 가스를 공급하며, 가스 공급원(10C)은 원료 가스인 SiH4(실란) 가스를 공급한다.
샤워 헤드(20)는 챔버(30)의 상벽 중앙부를 관통하여 설치되어 있다. 본 실시예에서는, 일체화하여 형성된 상하 3단의 블록체(20A, 20B, 20C)로 구성된 샤워 헤드(20)를 도시하였다. 이 샤워 헤드(20)에 마련된 가스 유로(25)[통로(25A, 25B, 25C)로 이루어짐]에는 가스 공급원(10A)으로부터 도시하지 않는 질량 유량 제어기 등을 통하여 소정 유량으로 WF6 가스가 공급된다.
또한, 샤워 헤드(20)에 마련된 가스 통로(26)[통로(26A, 26B, 26C)로 이루어짐]에는, 가스 공급원(10B, 10C)으로부터 도시하지 않는 질량 유량 제어기 등을 통하여 소정 유량으로 NH3 가스 및 SiH4 가스가 공급된다. 이 때, 각 가스 유로(25, 26)는 각 가스를 챔버(30) 내로 거의 균일하게 공급하도록 구성되어 있다.
이 때, WF6를 공급하는 가스 유로(25)와, NH3 가스 및 SiH4 가스를 공급하는 가스 유로(26)를 나눈 이유는, 샤워 헤드(20) 내에서의 WF6과 NH3 또는 SiH4와의 반응을 억제하기 위해서이다. 또한, SiH4와 NH3의 반응을 억제해야 하는 경우에는 가 스 유로(26)를 추가로 분할하는 구성으로 해도 좋다.
또한, 도시하지는 않았지만, 샤워 헤드(20)에는 전처리용 및 성막용 가스를 희석하는 Ar이나 N2 등의 불활성 가스를 챔버(30) 내로 공급하기 위한 가스 유입구나 유로도 형성되어 있고, 이 가스 유입구는 질량 유량 제어기 등을 개재시킨 상태로 불활성 가스 가스 공급원에 접속되어 있다.
샤워 헤드 히터(21)는 샤워 헤드(20)의 상면에 설치되어 샤워 헤드(20)의 온도를 제어하고, 샤워 헤드(20) 내를 통과하는 가스를 가온하거나, NH4F 등의 저증기압 반응 부생성물이 샤워 헤드(20)에 부착되는 것을 방지한다. 또한, 챔버(30)는 반도체 웨이퍼(W)에 소정의 처리를 실시하기 위한 처리실이다.
서셉터(32)는 지지 부재(33)에 의해 고정되어 챔버(30) 내에 설치되며, 도시하지 않는 반송 기구에 의해 반입된 처리 대상 반도체 웨이퍼(W)를 얹어 놓는다. 또한, 서셉터(32)는 반도체 웨이퍼(W)를 서셉터(32)의 중앙으로 안내하기 위한 가이드 링(34)과, 반도체 웨이퍼(W)의 온도를 제어하기 위한 스테이지 히터(35)를 내부에 구비하고 있다. 스테이지 히터(35)에는 챔버(30)의 밖에 설치한 전원(50)으로부터 전력이 공급된다.
배기관(40)은 챔버(30)의 바닥부에 설치되어, 배기 가스 유량을 조절하는 밸브(41)를 통해 진공 펌프(42)에 접속되어 있다. 진공 펌프(42)에 의해 배기관(40)을 통해 챔버(30) 내의 가스를 배기함으로써, 챔버(30) 내부를 진공 펌프(42)의 배기 능력과, 챔버(30), 배기관(40), 밸브(41)의 컨덕턴스에 의해 정해진 도달 진공 도 상태로 만들거나 소정 압력으로 유지할 수 있다.
계속해서, 본 발명자들이 상기 진공 처리 장치를 이용하여 실시한 박막 형성 방법에 대해 설명한다.
본 발명자들은 ALD법에 의한 성막 방법에 대해, 예컨대 도 1에 도시한 바와 같은 흐름도에 따라서 실행하여 성막을 실시하고, 특히 그 성막 초기의 거동에 대해서 조사하였다. 이하, 도 1에 도시한 박막 형성 처리의 각 처리에 대해 설명한다.
(단계 100) 반도체 웨이퍼(W)를 챔버(30) 내로 반입하여, 미리 소정 온도, 예컨대 450도로 가열한 서셉터(32)에 얹어 놓는다.
(단계 110) Ar 또는 N2의 불활성 가스를 샤워 헤드(20)에 공급하면서, 챔버(30)를, 예컨대 400 Pa로 유지하도록 배기하고 반도체 웨이퍼(W)에 서셉터(32)의 열이 전달되게 하여 승온한다.
(단계 120) 가스 공급을 정지하고, 챔버(30)를, 예컨대 20 Pa 이하까지의 진공이 되도록 배기한다.
(단계 130) WF6 가스에 약간의 Ar, N2를 혼합한 것을 샤워 헤드(20)에 공급하면서, 챔버(30)를 소정의 압력으로 유지하도록 배기하여, 예컨대 WF6을 분압 ×시간 = 470 Pa·sec가 되도록 공급한다.
(단계 140) 가스 공급을 정지하고, 챔버(30)를, 예컨대 20 Pa 이하까지의 진공이 되도록 배기한다.
(단계 150) NH3 가스에 약간의 Ar, N2를 혼합한 것을 샤워 헤드(20)에 공급하면서, 챔버(30)를 소정 압력으로 유지하도록 배기하여, 예컨대 웨이퍼(W) 상에 대략 균등하게 NH3을 470 Pa·sec가 되도록 공급한다.
(단계 160) 가스 공급을 정지하고, 챔버(30)를, 예컨대 20 Pa 이하까지의 진공이 되도록 배기한다.
(단계 170) (단계 130)부터 (단계 160)까지를 소정 횟수 반복한다.
상기 처리를 실시함으로써 성막되는 박막을 조사한 결과, ALD법으로 질화텅스텐막(W2N막)을 형성하는 경우에는, 도 2의 일점 쇄선 B로 나타낸 바와 같이 성막 초기의 10 사이클은 실질적으로 성막이 행해지지 않은 것, 즉 10 사이클에 해당하는 인큐베이션 타임(T)이 존재한다는 것을 알았다.
따라서, 본 발명자들은 이 인큐베이션 타임(T)을 줄이기 위한 전처리에 대해 여러 가지 검토를 거듭하여, 복수 종의 원료 가스를 동시에 공급하는 전처리를 실시함으로써, 도 2에 실선 A로 나타낸 바와 같이 이 인큐베이션 타임(T)을 0으로 하여 최초의 1 사이클째로부터 성막할 수 있다는 것을 발견하였다.
도 4는 본 발명에 따라서 개량한 박막 형성 방법의 흐름도이다. 본 발명에서는, 단계 110과 단계 120 사이의 단계 115에서 복수 종의 원료 가스를 동시에 공급하는 전처리를 실시하는 것을 특징으로 한다. 이하, 도 4에 도시한 박막 형성 처리의 각 처리에 대해 설명한다. 또한, 도 4에서는 먼저 설명한 도 1에 도시한 처리와 동일 처리에 대해서는 동일한 숫자를 부여하였다.
(단계 100) 반도체 웨이퍼(W)를 챔버(30) 내에 반입하고, 미리 소정의 온도, 예컨대 450℃로 가열한 서셉터(32)에 얹어 놓는다.
(단계 110) Ar 또는 N2의 불활성 가스를 샤워 헤드(20)에 공급하면서, 챔버(30)를, 예컨대 400 Pa로 유지하도록 배기하고 반도체 웨이퍼(W)에 서셉터(32)의 열이 전달되게 하여 승온한다.
(단계 115) 약간의 Ar, N2를 혼합하여 이하의 분압으로 조정한 WF6 가스와 NH3 가스를 동시에 7.5 sec 공급하는 것으로 이루어지는 전처리를 실시한다. 이 처리 시간은, 이 유량 구성으로 W2N막이 1 nm 성막되는 시간에 해당한다. 또한, 이 때 WF6 가스의 분압은 0.2 Pa, NH3 가스의 분압은 102 Pa이다.
(단계 120) 가스 공급을 정지하고, 챔버(30)를, 예컨대 20 Pa 이하까지의 진공이 되도록 배기한다.
(단계 130) WF6 가스에 약간의 Ar, N2를 혼합한 것을 샤워 헤드(20)에 공급하면서, 챔버(30)를 소정의 압력으로 유지하도록 배기하고, 예컨대 WF6을 분압 ×시간 = 470 Pa·sec가 되도록 공급한다.
(단계 140) 가스 공급을 정지하고, 챔버(30)를, 예컨대 20 Pa 이하까지의 진공이 되도록 배기한다.
(단계 150) NH3 가스에 약간의 Ar, N2를 혼합한 것을 샤워 헤드(20)에 공급하면서, 챔버(30)를 소정 압력으로 유지하도록 배기하고, 예컨대 웨이퍼(W) 상에 대 략 균등하게 NH3을 470 Pa·sec가 되도록 공급한다.
(단계 160) 가스 공급을 정지하고, 챔버(30)를, 예컨대 20 Pa 이하까지의 진공이 되도록 배기한다.
(단계 170) (단계 130)부터 (단계 160)까지의 처리를 소정 횟수 반복한다.
여기서, 도 1에 도시한 흐름도에 따른 박막 형성 방법과, 도 4에 도시한 본 발명에 따른 박막 형성 방법을 비교하면서 설명한다.
도 4에 도시한 본 발명에 따른 박막 형성 방법에 따르지 않고, 도 1의 흐름도에 따라서 성막한 경우에는, (단계 130)부터 (단계 160)까지를 30 사이클 반복한 경우, 최초 10 사이클은 성막하지 않고 그 후의 20 사이클에서 1 사이클당 0.64 nm의 속도로 성막했기 때문에, 총 막 두께는 12.8 nm가 되었다.
한편, 본 발명에 따라서 도 4의 흐름도를 따라 성막한 경우에는, (단계 130)부터 (단계 160)까지를 마찬가지로 30 사이클 반복한 경우, 최초의 1 사이클로부터 성막했기 때문에, 총 막 두께가 20.1 nm로 되어 작업 처리량이 개선되었다.
그런데, 상기 실시예에 있어서는, ALD법에 의해 W2N막을 형성하는 경우 WF6 가스와 NH3 가스의 공급 사이에서 진공 배기를 행하는 예를 들었다. 그런데, 본 발명은 이 예에 한정되는 것이 아니라, 진공 배기를 행하는 대신에 WF6 가스 또는 NH3 가스를 소정 가스에 의해 압출하는, 이른바 퍼지 처리를 실시하여도 좋다. 구체적으로는, WF6 가스 또는 NH3 가스의 공급을 정지한 후, 예컨대 500 sccm 이상의 대유 량의 Ar, N2 등의 불활성 가스 또는 H2를 공급하여, 챔버(30) 내의 WF6 가스 또는 NH3 가스를 압출하는 것이다.
여기서, 퍼지 가스로서 H2를 이용하는 것은, 특히 W2N막을 산화시키고 싶지 않은 경우에 유효하다. 또한, 진공 배기, 퍼지, 진공 배기를 한 셋트로서 운용하더라도, 총 성막 시간이 길어지는 문제는 있기는 하지만 WF6 가스 또는 NH3 가스의 잔류를 제거하는 효과가 높아져서 좋다.
또한, 상기 실시예에서는, ALD법으로 W2N막을 형성하는 조건으로서 웨이퍼 온도 370℃, 1 사이클의 WF6 가스 공급, NH3 가스 공급을 모두 470 Pa·sec로 하고, 1 사이클당 W2N막의 성막량이 약 0.6 내지 0.7 nm인 예를 들었다. 그러나, 본 발명자들은 이 W2N막의 형성 방법으로서 여러 가지를 검토한 결과, 도 5, 도 6에 도시한 바와 같은 이하의 관계를 발견하였다.
도 5는 1 사이클당 성막 두께의 웨이퍼 온도 의존성을 보여주고 있다. 이 도면에 도시된 바와 같이, 웨이퍼 온도 300℃ 내지 450℃의 범위에서는 1 사이클당 성막 두께가 웨이퍼 온도에 상관 없이 일정하다. 그런데, 웨이퍼 온도가 450℃를 넘으면, 1 사이클당 성막 두께가 감소하는 현상이 발생하였다. 이 1 사이클당 성막 두께가 웨이퍼 온도에 상관없이 일정한 영역은, 반응이 원료의 흡착을 율속 과정으로서 진행하고 있는 것을 보여주는 것이며, 제어성이 좋고 피복성이 우수한 W2N막을 얻을 수 있는 영역으로서 바람직하다.
또한, 도 6은 1 사이클당 성막 두께의 WF6 가스 또는 NH3 가스의 공급량 의존성을 보여주고 있으며, 웨이퍼 온도 370℃에서 실험한 예를 도시한 것이다. 이 도면으로부터, 가스 공급량이 200 Pa·sec 이상이며, 1 사이클당 성막 두께가 포화되어 있다는 것을 알 수 있다. 이 영역은 반응이 원료의 흡착을 율속 과정으로서 진행하고 있는 것을 보여주는 것이며, 제어성이 좋고 피복성이 우수한 W2N막을 얻을 수 있는 영역으로서 바람직하다.
또한, 상기 실시예에서는 복수 종의 원료 가스를 동시에 공급하는 전처리(즉, 도 4의 단계 115의 처리)의 시간이 7.5 sec인 예를 들었다. 그러나, 이 전처리 시간은 이것에 한정되는 것이 아니다.
도 4의 단계 115에서 실시되는 이른바 전처리는, 대상 표면(웨이퍼의 표면)을 개질하여, 그 후의 ALD법에 의한 성막 시에 원료 가스를 흡착하기 쉽게 하는 효과가 있다. 즉, 비록 약간의 시간이라도, 복수 종의 원료 가스를 동시에 공급하여 생긴 혼합체가 대상 표면에 도달하면 개질의 효과가 있기 때문에, 처리 시간의 하한은 원료 가스의 혼합체가 대상 표면에 도달하는 데에 필요한 시간으로서 0.1 sec 이상으로 하였다.
한편, 전처리 시간이 2.0 nm의 성막에 해당하는 시간을 넘으면, 이 전처리에 의해 대상 표면(웨이퍼의 표면)에 막이 형성되어 버린다. 따라서, ALD법에 의해 형성되는 막은 전처리 시에 형성된 막 상에 형성되는 것이 된다.
이 전처리 시에 형성되는 막은 복수 종의 원료 가스를 동시에 공급함으로써 형성되는 막이기 때문에, ALD법에 의해 형성되는 막과 비교하여 피복성, 평활성, 막 순도가 떨어진다. 따라서, 이 전처리 시에 형성되는 막은 그 상부에 형성되는 ALD법에 의해 형성되는 막에 영향을 주어, ALD법에 의해 형성되는 막도 피복성, 평활성, 막의 순도 등의 특성이 열화되어 버린다. 따라서, 전처리 시간이 2.0 nm의 성막에 해당하는 시간을 넘는 것은 바람직하지 못하다.
이에 반하여, 전처리 시간이 0.1 sec로부터 2.0 nm의 성막에 해당하는 시간 범위이면, ALD법에서의 원료 가스 흡착의 촉진 효과가 있으면서, 그 후에 ALD법에 의해 성막되는 막의 막질에 영향을 주지 않기 때문에 바람직하다.
또한, 2.0 nm 이하의 막은 매우 얇아, 예컨대 SEM(주사형 전자 현미경) 등의 수단을 사용하더라도 검출할 수 없는 경우가 있다. 그런데, 전처리에 의한 효과를 실현할 수 있는 막 두께는, 전술한 바와 같이 전처리를 실시하는 시간에 의해 관리할 수 있다. 따라서, 직접 전처리에 의해 형성되는 막 두께를 측정하지 않고, 단순히 전처리의 실시 시간을 관리하는 것만의 간단한 처리로 전술한 소정의 효과를 기대할 수 있다.
또한, 상기 실시예에서는 ALD법에 의한 성막에 있어서 WF6 가스와 NH3 가스를 교대로 공급하여 W2N막을 성막하는 예를 들었지만, 본 발명은 이것에 한정되지 않으며, 도 7의 흐름도에 도시한 바와 같이 WF6 가스, NH3 가스, SiH4 가스를 이 순서로 반복하여 공급하여도 좋다.
이 경우, WF6 가스와 SiH4 가스의 공급이 서로 가깝기 때문에 W나 WSix 등이 형성되어 W2N막 중에 이들이 포함되는 혼합막(WNx막)을 형성할 수 있다. W나 WSix는 W2N에 비해 비저항이 낮기 때문에, 각 가스의 공급량을 변화시키거나 공급 순서에 변화를 줌으로써 WNx막 중의 W2N 및 WSix의 양을 제어할 수 있다. 이에 따라, W2N 단독 막에 비해 비저항을 낮게 하거나, 막 중에 Si를 함유시키거나 하는 것이 가능해져, 임의의 특성을 갖은 박막의 형성이 가능해진다. 예컨대, WF6, SiH4, NH3, WF6, SiH4의 순서로 반복 공급하면, WNx막 중의 W2N 비율이 줄어들어 W와 WSix의 비율이 증가하기 때문에 비저항이 낮은 WNx막을 얻을 수 있다.
또한, 상기 실시예에서는, 도 3에 도시한 진공 처리 장치를 사용하여 복수 종의 원료 가스를 동시에 공급하는 전처리를 실시하는 때에도, 그 후에 복수 종의 원료 가스를 한 종류씩 복수 회에 걸쳐 공급하여 성막하는 때(ALD법에 의한 성막 시)에도 샤워 헤드(20)를 통해서 각 가스를 공급하였다.
그러나, 본 발명은 이것에 한정되는 것이 아니라, 도 8(도 3과 동일한 구성에 관해서는 동일 부호를 붙임)에 도시한 바와 같이, 전처리 시에는 샤워 헤드(20)를 통하여 가스를 공급하지만, ALD법에 의한 성막 시에는 샤워 헤드(20)를 통하지 않고 측면으로부터 각 가스를 챔버(30) 내로 유입시키는 구성으로 하여도 좋다.
구체적인 구성으로서, 각 가스 공급원(10A∼10C)에 접속된 가스 공급 배관을 배관(44A∼46A)과 배관(44B∼46B)으로 분기하여, 배관(44A∼46A)을 샤워 헤드(20)에 접속하는 동시에, 배관(44B∼46B)을 챔버(30)의 측면에 배치된 노즐(47∼49)과 접속한 구성으로 하였다. 또한, 배관(44A∼46A)에는 밸브 장치(44C∼46C)를 배치하고, 배관(44B∼46B)에는 밸브 장치(44D∼46D)를 배치함으로써 배관(44A∼46A) 및 배관(44B∼46B) 내를 흐르는 가스량을 제어할 수 있는 구성으로 하였다.
전처리 시에는 복수 종의 원료 가스를 동시에 공급하기 때문에, 웨이퍼(W)의 표면에 균일하게 공급하기 위해서는 샤워 헤드(20)가 필요하다. 따라서, 전처리 시에는 밸브 장치(44D∼46D)를 닫는 동시에 밸브 장치(44C∼46C)를 열어 원료 가스를 헤드(20)에 공급한다. 한편, ALD법에 의한 성막 시에는, 밸브 장치(44C∼46C)를 닫는 동시에 밸브 장치(44D∼46D)를 열어 원료 가스를 챔버(30)의 측면으로부터 공급한다.
ALD법에 의한 성막 시에는, 원료 가스의 흡착 과정이 성막의 율속으로 되기 때문에, 가스 공급에 노즐(47∼49)을 사용한 구성으로 해도 문제 없다. 가스 치환의 관점에서는, 컨덕턴스가 작은 샤워 헤드(20)보다 구조가 간단한 노즐(47∼49)이 유리한 경우도 있어 바람직하다.
또한, 상기 실시예에서는, ALD법에 의한 성막에 있어서 WF6 가스와 NH3 가스를 교대로 공급하여 W2N막을 성막하는 예와, WF6 가스, NH3 가스, SiH4가스를 교대로 공급하여 WNx막을 성막하는 예를 주로 들었지만, 본 발명은 이것에 한정되지 않으며, 다른 금속막이나 절연막의 성막에도 적용할 수 있음은 물론이다.
이하에서는 TiCl4 가스와 NH3를 교대로 공급하여 TiN을 성막하는 예에 대해 도 9를 참조하면서 설명한다.
(단계 300) 반도체 웨이퍼(W)를 챔버(30) 내로 반입하고, 미리 소정의 온도, 예컨대 450℃로 가열한 서셉터(32)에 얹어 놓는다.
(단계 310) Ar 또는 N2를 샤워 헤드(20)로 공급하면서, 챔버(30)를, 예컨대 400 Pa로 유지하도록 배기하고 반도체 웨이퍼(W)에 서셉터(32)의 열이 전달되게 하여 승온한다.
(단계 315) 약간의 Ar, N2를 혼합하여 이하의 분압으로 조정한 TiCl4 가스와 NH3 가스를 동시에 10 sec 공급하는 것으로 이루어지는 전처리를 실시한다. 이 처리 시간은, 이 유량 구성으로 TiN막이 2 nm 성막되는 시간에 해당한다.
TiCl4 분압 = 23 Pa, NH3 분압 = 301 Pa
(단계 320) 가스 공급을 정지하고, 챔버(30)를, 예컨대 20 Pa 이하까지의 진공이 되도록 배기한다.
(단계 330) TiCl4 가스에 약간의 Ar, N2를 혼합한 것을 샤워 헤드(20)에 공급하면서, 챔버(30)를 소정의 압력으로 유지하도록 배기하여, 예컨대 TiCl4를 분압 ×시간 = 260 Pa·sec가 되도록 공급한다.
(단계 340) 가스 공급을 정지하고, 챔버(30)를, 예컨대 20 Pa 이하까지의 진공이 되도록 배기한다.
(단계 350) NH3 가스에 약간의 Ar,N2를 혼합한 것을 샤워 헤드(20)에 공급하면서, 챔버(30)를 소정 압력으로 유지하도록 배기하여, 예컨대 웨이퍼(W) 상에 대 략 균등하게 NH3를 665 Pa·sec가 되도록 공급한다.
(단계 360) 가스 공급을 정지하고, 챔버(30)를, 예컨대 20 Pa 이하까지의 진공이 되도록 배기한다.
(단계 370) (단계 330)부터 (단계 360)까지를 소정 횟수, 예컨대 100 사이클 반복한 경우, 총 막 두께로서 14 nm을 얻었다.
또한, 상기 실시예에 따르지 않고, 단계 315를 행하지 않은 경우에는, (단계 370) (단계 330)부터 (단계 360)까지의 반복에서 최초의 8 사이클은 성막이 나타나지 않고, 100 사이클 후의 막 두께로서 12.8 nm을 얻었다.
이상, 상기 실시예에서는, ALD법에 의해 TiN막을 형성하는 경우, TiCl4 가스와 NH3의 공급 사이에 진공 배기를 행하는 예를 들었지만, 이 예에 얽매이지 않고, 대신에 TiCl4 가스 또는 NH3 가스의 공급을 정지한 후, 예컨대 500 sccm 이상의 대유량의 Ar, N2 등의 불활성 가스 또는 H2를 공급하여, 챔버(30) 내의 TiCl4 가스 또는 NH3 가스를 압출하는 이른바 퍼지를 실시하여도 좋다. 여기서, H2는 특히 TiN막을 산화시키고 싶지 않은 경우에 유효하다. 또한, 진공 배기, 퍼지, 진공 배기를 한 셋트로서 운용하더라도, 총 성막 시간이 길어지는 문제는 있지만 TiCl4 가스 또는 NH3 가스의 잔류를 제거하는 효과가 높아져 좋다.
전술한 바와 같이, 본 실시예에 따르면 원료 가스를 교대로 공급함으로써 성막을 행하는 성막 방법(ALD법)의 실시 전에, 복수 종의 원료 가스를 동시에 공급하 는 전처리를 실시함으로써, 원료 가스를 교대로 공급하여 성막을 행하는 박막 형성 처리의 인큐베이션 타임을 짧게 할 수 있어, 작업 처리량이 높은 박막 형성을 행할 수 있다.
본 발명은, 구체적으로 개시된 실시예에 한정되는 것이 아니라, 청구된 본 발명의 범위로부터 일탈하지 않고, 여러 가지의 변형예나 실시예가 고려된다.
본 발명에 따르면, 작업 처리량을 증가시켜 종래에 비해 개량된 박막 형성 방법 및 박막 형성 장치를 제공하는 것이 가능하다.

Claims (15)

  1. 복수 종의 원료 가스를 기판 상에서 반응시켜 그 기판 상에 박막을 형성하는 박막 형성 방법으로서,
    상기 복수 종의 원료 가스 중 적어도 둘 이상을 동시에 공급하는 공정과,
    상기 공정의 실시 후에, 상기 복수 종의 원료 가스를 한 종류씩 복수 회에 걸쳐 공급함으로써 박막을 형성하는 공정
    을 포함하는 것을 특징으로 하는 박막 형성 방법.
  2. 제1항에 있어서, 상기 복수 종의 원료 가스를 한 종류씩 복수 회에 걸쳐 공급할 때, 반응하는 2 종류의 원료 가스의 공급 사이에, 진공 배기 또는 제3종 가스에 의한 치환을 행하는 것을 특징으로 하는 박막 형성 방법.
  3. 제1항에 있어서, 상기 원료 가스는 WF6 가스, NH3 가스, SiH4 가스인 것을 특징으로 하는 박막 형성 방법.
  4. 제2항에 있어서, 상기 원료 가스는 WF6 가스, NH3 가스, SiH4 가스인 것을 특징으로 하는 박막 형성 방법.
  5. 제3항에 있어서, 상기 복수 종의 원료 가스 중 적어도 둘 이상을 동시에 공급하는 시간의 하한은 0.1 sec이며, 그 상한은 그 가스 구성으로 2.0 nm의 두께의 성막이 행해지는 것과 동일한 시간인 것을 특징으로 하는 박막 형성 방법.
  6. 제4항에 있어서, 상기 복수 종의 원료 가스 중 적어도 둘 이상을 동시에 공급하는 시간의 하한은 0.1 sec이며, 그 상한은 그 가스 구성으로 2.0 nm의 두께의 성막이 행해지는 것과 동일한 시간인 것을 특징으로 하는 박막 형성 방법.
  7. 복수 종의 원료 가스를 기판 상에서 반응시켜 그 기판 상에 박막을 형성하는 박막 형성 장치로서,
    상기 복수 종의 원료 가스 중 적어도 둘 이상을 동시에 공급하는 수단과,
    상기 복수 종의 원료 가스를 한 종류씩 복수 회에 걸쳐 공급하는 수단
    을 포함하며,
    상기 복수 종의 원료 가스 중 적어도 둘 이상을 동시에 공급하는 수단은 샤워 헤드이고, 상기 복수 종의 원료 가스를 한 종류씩 복수 회에 걸쳐 공급하는 수단은 상기 샤워 헤드를 통하지 않고 상기 복수 종의 원료 가스 각각에 대응하는 노즐인 것을 특징으로 하는 박막 형성 장치.
  8. 제1항에 있어서, 상기 원료 가스는 WF6 가스 및 NH3 가스인 것을 특징으로 하는 박막 형성 방법.
  9. 제1항에 있어서, 상기 원료 가스는 TiCl4 가스 및 NH3 가스인 것을 특징으로 하는 박막 형성 방법.
  10. 복수의 원료 가스를 반응시켜 기판 상에 박막을 형성하는 박막 형성 방법에 있어서,
    상기 박막의 성분을 갖는 제1 원료 가스와, 이 제1 원료 가스와 반응하는 제2 원료 가스를 동시에 공급하는 공정과,
    상기 동시 공급 공정의 실시 후에, 상기 박막의 성분을 갖는 제3 원료 가스와, 이 제3 원료 가스와 반응하는 제4 원료 가스를 교대로 복수 회에 걸쳐 공급함으로써 박막을 형성하는 공정
    을 포함하는 것을 특징으로 하는 박막 형성 방법.
  11. 제10항에 있어서, 상기 제1 원료 가스와 제3 원료 가스는 동일한 원료 가스인 것을 특징으로 하는 박막 형성 방법.
  12. 제10항에 있어서, 상기 제2 원료 가스와 제4 원료 가스는 동일한 원료 가스인 것을 특징으로 하는 박막 형성 방법.
  13. 박막 형성에 사용되는 ALD(Atomic Layer Deposition)법을 위한 기판의 전처리 방법에 있어서,
    미리 정해진 압력을 갖는 챔버 내로 상기 기판을 제공하는 공정과,
    상기 기판을 미리 정해진 온도로 가열하는 공정과,
    상기 기판 가열 단계 후에, 상기 박막의 성분을 갖는 제1 원료 가스와, 이 제1 원료 가스와 반응하는 제2 원료 가스를 동시에 공급하는 공정
    을 포함하며,
    상기 제1 원료 가스 및 제2 원료 가스의 동시 공급 공정 이전에는 상기 제1 원료 가스 및 상기 제2 원료 가스를 각각 개별적으로 공급하지 않는 것인 기판 전처리 방법.
  14. 제13항에 있어서, 상기 제1 원료 가스 및 상기 제2 원료 가스는 적어도 0.1 sec 동안 동시 공급되는 것인 기판 전처리 방법.
  15. 제13항에 있어서, 상기 제1 원료 가스 및 상기 제2 원료 가스를 동시 공급하는 시간의 상한은 그 가스 구성으로 2.0 nm의 두께의 전처리막이 형성되는 것과 동일한 시간인 것인 기판 전처리 방법.
KR1020067001207A 2000-12-12 2001-12-11 박막 형성 방법 및 박막 형성 장치 KR100601821B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2000-00377675 2000-12-12
JP2000377675 2000-12-12

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR10-2003-7007362A Division KR20030062365A (ko) 2000-12-12 2001-12-11 박막 형성 방법 및 박막 형성 장치

Publications (2)

Publication Number Publication Date
KR20060021940A true KR20060021940A (ko) 2006-03-08
KR100601821B1 KR100601821B1 (ko) 2006-07-20

Family

ID=18846362

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020067001207A KR100601821B1 (ko) 2000-12-12 2001-12-11 박막 형성 방법 및 박막 형성 장치
KR10-2003-7007362A KR20030062365A (ko) 2000-12-12 2001-12-11 박막 형성 방법 및 박막 형성 장치

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR10-2003-7007362A KR20030062365A (ko) 2000-12-12 2001-12-11 박막 형성 방법 및 박막 형성 장치

Country Status (7)

Country Link
US (1) US7482283B2 (ko)
JP (2) JPWO2002048427A1 (ko)
KR (2) KR100601821B1 (ko)
CN (1) CN100366792C (ko)
AU (1) AU2002221122A1 (ko)
TW (1) TW507263B (ko)
WO (1) WO2002048427A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8614147B2 (en) 2009-05-28 2013-12-24 Hitachi Kokusai Electric Inc. Method of manufacturing a semiconductor device

Families Citing this family (331)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4074461B2 (ja) * 2002-02-06 2008-04-09 東京エレクトロン株式会社 成膜方法および成膜装置、半導体装置の製造方法
JP3819335B2 (ja) 2002-07-15 2006-09-06 東京エレクトロン株式会社 成膜方法
US20040040502A1 (en) * 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
US20040040503A1 (en) * 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
JP4113755B2 (ja) * 2002-10-03 2008-07-09 東京エレクトロン株式会社 処理装置
CN1732287A (zh) * 2002-12-27 2006-02-08 株式会社爱发科 氮化钨膜的成膜方法
KR101070353B1 (ko) * 2003-06-25 2011-10-05 주성엔지니어링(주) 반도체 소자 제조장치의 가스 인젝터
JP2005086185A (ja) * 2003-09-11 2005-03-31 Tokyo Electron Ltd 成膜方法
JP4306403B2 (ja) * 2003-10-23 2009-08-05 東京エレクトロン株式会社 シャワーヘッド構造及びこれを用いた成膜装置
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
TW200526806A (en) * 2004-01-15 2005-08-16 Tokyo Electron Ltd Film-forming method
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
JP2006024668A (ja) * 2004-07-07 2006-01-26 Fujitsu Ltd 半導体装置の製造方法
US7767363B2 (en) * 2005-06-24 2010-08-03 Micron Technology, Inc. Methods for photo-processing photo-imageable material
JP5109299B2 (ja) * 2005-07-07 2012-12-26 東京エレクトロン株式会社 成膜方法
JP2007046134A (ja) * 2005-08-11 2007-02-22 Tokyo Electron Ltd 金属系膜形成方法及びプログラムを記録した記録媒体
US7582562B2 (en) * 2005-10-06 2009-09-01 Micron Technology, Inc. Atomic layer deposition methods
US7887488B2 (en) * 2005-11-12 2011-02-15 Scimed Life Systems, Inc. Systems and methods for reducing noise in an imaging catheter system
JP5028957B2 (ja) * 2005-12-28 2012-09-19 東京エレクトロン株式会社 成膜方法及び成膜装置並びに記憶媒体
JP2010027868A (ja) * 2008-07-18 2010-02-04 Toshiba Corp 気相成長装置及び気相成長方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101189642B1 (ko) * 2012-04-09 2012-10-12 아익스트론 에스이 원자층 증착법을 이용한 TiSiN 박막의 형성방법
JP2014057047A (ja) * 2012-08-10 2014-03-27 Tokyo Electron Ltd 基板処理装置及びガス供給装置
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6125279B2 (ja) 2013-03-05 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
JP6311547B2 (ja) 2013-11-05 2018-04-18 東京エレクトロン株式会社 マスク構造体の形成方法、成膜装置及び記憶媒体
JP5971870B2 (ja) * 2013-11-29 2016-08-17 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び記録媒体
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) * 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
SG10201810178TA (en) * 2014-05-16 2018-12-28 Applied Materials Inc Showerhead design
JP6347544B2 (ja) * 2014-07-09 2018-06-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) * 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
CN109576672A (zh) * 2017-09-28 2019-04-05 北京北方华创微电子装备有限公司 一种原子层沉积方法
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102627584B1 (ko) 2019-02-20 2024-01-22 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 주기적 증착 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
CN111501024A (zh) * 2020-05-08 2020-08-07 Tcl华星光电技术有限公司 气相沉积装置
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220285133A1 (en) * 2021-03-02 2022-09-08 Applied Materials, Inc. Methods and apparatus for processing a substrate
US12012653B2 (en) * 2021-03-23 2024-06-18 Applied Materials, Inc. Cleaning assemblies for substrate processing chambers
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03201425A (ja) 1989-12-28 1991-09-03 Fujitsu Ltd 半導体装置
US5316793A (en) 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JP4097747B2 (ja) 1997-08-07 2008-06-11 株式会社アルバック バリア膜形成方法
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
KR100297719B1 (ko) * 1998-10-16 2001-08-07 윤종용 박막제조방법
US6399484B1 (en) * 1998-10-26 2002-06-04 Tokyo Electron Limited Semiconductor device fabricating method and system for carrying out the same
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6773687B1 (en) * 1999-11-24 2004-08-10 Tokyo Electron Limited Exhaust apparatus for process apparatus and method of removing impurity gas
KR100803770B1 (ko) * 2000-03-07 2008-02-15 에이에스엠 인터내셔널 엔.브이. 구배(graded)박막
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
JP2002206168A (ja) * 2000-10-24 2002-07-26 Canon Inc シリコン系薄膜の形成方法、シリコン系半導体層の形成方法及び光起電力素子

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8614147B2 (en) 2009-05-28 2013-12-24 Hitachi Kokusai Electric Inc. Method of manufacturing a semiconductor device

Also Published As

Publication number Publication date
CN100366792C (zh) 2008-02-06
CN1479805A (zh) 2004-03-03
US7482283B2 (en) 2009-01-27
JPWO2002048427A1 (ja) 2004-04-15
JP2008240158A (ja) 2008-10-09
WO2002048427A1 (fr) 2002-06-20
US20040029379A1 (en) 2004-02-12
JP4800344B2 (ja) 2011-10-26
KR20030062365A (ko) 2003-07-23
TW507263B (en) 2002-10-21
AU2002221122A1 (en) 2002-06-24
KR100601821B1 (ko) 2006-07-20

Similar Documents

Publication Publication Date Title
KR100601821B1 (ko) 박막 형성 방법 및 박막 형성 장치
US7582544B2 (en) ALD film forming method
US7273526B2 (en) Thin-film deposition apparatus
US7959985B2 (en) Method of integrating PEALD Ta-containing films into Cu metallization
US7067439B2 (en) ALD metal oxide deposition process using direct oxidation
US7651730B2 (en) Method and apparatus for forming silicon oxide film
US20070234961A1 (en) Vertical plasma processing apparatus and method for semiconductor process
KR20100014210A (ko) 반도체 제조 장치 및 반도체 장치의 제조 방법
US20070240644A1 (en) Vertical plasma processing apparatus for semiconductor process
KR20180121828A (ko) 성막 방법 및 성막 장치
KR19980087180A (ko) 성막 방법 및 장치
KR100688652B1 (ko) 텅스텐막의 형성 방법
US9922820B2 (en) Film forming method and film forming apparatus
US7524766B2 (en) Method for manufacturing semiconductor device and substrate processing apparatus
US6387445B1 (en) Tungsten layer forming method and laminate structure of tungsten layer
WO2020246309A1 (ja) 基板処理方法及び基板処理装置
WO2020184342A1 (ja) 基板処理方法及び基板処理装置
KR101217393B1 (ko) 성막 방법, 플라즈마 처리 장치 및 기억 매체
JP2007109865A (ja) 基板処理装置および半導体装置の製造方法
TW201907046A (zh) 成膜方法及成膜裝置
KR20180054448A (ko) 성막 장치
US20220178026A1 (en) Carbon cvd deposition methods to mitigate stress induced defects
JPH11186171A (ja) 半導体製造装置
KR20070006179A (ko) 반도체 제조를 위한 막 형성 장치
KR20040105195A (ko) 원자층 박막 증착 설비의 가스공급방법

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130621

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140626

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20150618

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20160617

Year of fee payment: 11

LAPS Lapse due to unpaid annual fee