JPWO2002048427A1 - 薄膜の形成方法及び薄膜の形成装置 - Google Patents

薄膜の形成方法及び薄膜の形成装置 Download PDF

Info

Publication number
JPWO2002048427A1
JPWO2002048427A1 JP2002550138A JP2002550138A JPWO2002048427A1 JP WO2002048427 A1 JPWO2002048427 A1 JP WO2002048427A1 JP 2002550138 A JP2002550138 A JP 2002550138A JP 2002550138 A JP2002550138 A JP 2002550138A JP WO2002048427 A1 JPWO2002048427 A1 JP WO2002048427A1
Authority
JP
Japan
Prior art keywords
gas
film
thin film
forming
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2002550138A
Other languages
English (en)
Inventor
山▲崎▼ 英亮
河野 有美子
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JPWO2002048427A1 publication Critical patent/JPWO2002048427A1/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • C23C16/0218Pretreatment of the material to be coated by heating in a reactive atmosphere
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising transition metals

Abstract

本発明はALD法を用いた薄膜の形成方法及び薄膜の形成装置に関し、ALD法による複数種の原料ガスを1種類ずつ複数回にわたり供給する成膜に先立ち、複数種の原料ガスを複数同時に供給する前処理を実施し、成膜におけるインキュベーションタイムの低減及びスループットの向上を図る。

Description

技術分野
本発明は薄膜の形成方法及び薄膜の形成装置に係り、特に原料ガスを交互に供給することにより成膜を行なう薄膜の形成方法及び薄膜の形成装置に関する。
背景技術
近年の半導体集積回路の微細化,高集積化に伴い,基板(例えば半導体基板)上に形成する絶縁膜および金属配線膜等に対しては,薄膜化、複雑な形状への被覆性の良い成膜、ウエハ全体に対し巨視的に均一な成膜、ナノメートルレベルの微視的に平滑な成膜等が望まれている。しかしながら、従来の化学的気相成長法(CVD法)では,上記した要求の内、一部の要望を満たし切れない状況にある。
一方、これらの要望を満たす成膜方法としてALD法(Atomic Layer Deposition)法が注目されている。このALD法は、成膜時に原料ガスを1種類ずつ交互に供給することで、原料ガスの反応表面への吸着を経由して原子層・分子層レベルで成膜を行ない、これらの工程を繰り返して所定の厚さの薄膜を得る方法である。
具体的には,第1の原料ガスを基板上に供給し、その吸着層を基板上に形成する。その後に、第2の原料ガスを基板上に供給し反応させる。この方法によれば、第1の原料ガスが基板に吸着した後第2の原料ガスと反応するため、成膜温度の低温化を図ることができる。
また、ホールに成膜するにあたっては、従来のCVD法で問題となっていたような、原料ガスがホール上部で反応消費されることによる被覆性の低下を避けることもできる。
また、吸着層の厚さは、一般に原子,分子の単層或いは多くても2,3層であるが、その温度と圧力で決定され、吸着層を作るのに必要以上の原料ガスが供給されると排出されるという自己整合性を持っているので、極薄膜の厚さを制御するのに良い。また、1回の成膜が、原子層、分子層レベルで行われるため,反応が完全に進行し易く、膜中に不純物が残留しにくくなり,好適である。
しかしながら、上述の如くALD法は良好な膜特性を得られる反面、1回の成膜が原子層、分子層レベルで行われることに由来して、総成膜時間が長時間化する問題があった。
特に、最初の数サイクル実質的に成膜しない、潜伏期間(インキュベーションタイム)があり、スループットの深刻な低下をもたらしていた。
発明の開示
本発明は、上述した従来技術の問題点を解決する、改良された薄膜の形成方法及び薄膜の形成装置を提供することを総括的な目的としている。
本発明のより詳細な目的は、ALD法のインキュベーションタイムを短くして、スループットの高い薄膜の形成方法及び薄膜の形成装置を実現することである。
この目的を達成するため、本発明では、複数種の原料ガスを基板上で反応させて該基板上に薄膜を形成する薄膜の形成方法であって、前記複数種の原料ガスを複数同時に供給する工程と、該工程の実施後に、前記複数種の原料ガスを1種類ずつ複数回にわたり供給することにより薄膜を形成する工程とを有することを特徴とするものである。
このように、いわゆるALD法による複数種の原料ガスを1種類ずつ複数回にわたり供給する成膜に先立ち、複数種の原料ガスを複数同時に供給する前処理を実施することにより、インキュベーションタイムを短くして、スループットの向上を図ることができる。
また、上記発明において、前記複数種の原料ガスを1種類ずつ複数回にわたり供給する際、反応する2種類の原料ガスの供給の間に、真空排気或いは第3種のガスによる置換を行なうことが望ましい。これにより、反応する2種類の原料ガスの供給の間において、不要な反応が生じることを防止できる。
また、上記発明において、前記原料ガスは、WFガス,NHガス,SiHガスであることが望ましい。これにより、基板上にはWNの薄膜が形成される。
また、上記発明において、前記複数種の原料ガスを複数同時に供給する時間の下限は0.1secであり、その上限はそのガス構成において2.0nmの厚さの成膜が行なわれるのと同じ時間であることが望ましい。このように設定することにより、インキュベーションタイムを有効に短縮することができる。
また本発明は、複数種の原料ガスを基板上で反応させて該基板上に薄膜を形成する薄膜の形成装置であって、前記複数種の原料ガスを複数同時に供給する手段と、前記複数種の原料ガスを1種類ずつ複数回にわたり供給することにより薄膜を形成する手段と、前記複数種の原料ガスを1種類ずつ複数回にわたり供給する際、反応する2種類の原料ガスの供給の間に、真空排気或いは第3種のガスによる置換を行なう手段とを設けたことを特徴とする。
上記発明に係る装置によれば、いわゆるALD法による複数種の原料ガスを1種類ずつ複数回にわたり供給する成膜に先立ち、複数種の原料ガスを複数同時に供給する前処理を実施することが可能となり、成膜に際し、インキュベーションタイムを短くして、スループットの向上を図ることができる。
発明を実施するための最良の形態
以下、本発明の実施例を図面に基づいて説明する。
図3は、本発明の一実施例である薄膜の形成方法を実施するための薄膜の形成装置(以下、真空処理装置という)を示す概略構成図である。まず、薄膜の形成方法の説明に先立ち、薄膜形成を行なうための真空処理装置について説明する。
この真空処理装置は、ガス供給源10A〜10C、シャワーヘッド20、シャワーヘッドヒーター21、チャンバ30、チャンバヒーター31、サセプタ32、支持部材33、排気管40、バルブ41、真空ポンプ42、及び電源50等から構成されている。
ガス供給源10A〜10Cは、シャワーヘッド20に設けられたガス流入口22〜24、導入通路25,26等を介してチャンバ30内にガスを供給する。即ち、ガス供給源10A〜10Cは、チャンバ30内で半導体ウエハWに所定の成膜処理を施すためのガスをそれぞれ供給する。具体的には、ガス供給10Aは原料ガスであるWFガスを供給し、ガス供給10Bは原料ガスであるNHガスを供給し、ガス供給10Cは原料ガスであるSiH(シラン)ガスを供給する。
シャワーヘッド20は、チャンバ30の上壁中央部を貫通して設置されている。本実施例では、一体化して形成された上下3段のブロック体20A、20B、20Cから構成されたシャワーヘッド20を示している。このシャワーヘッド20に設けられたガス流路25(通路25A,25B,25Cよりなる)には、ガス供給源10Aから図示しないマスフローコントローラーなどを通じて所定の流量でWFガスが供給される。
また、シャワーヘッド20に設けられたガス通路26(通路26A,26B,26Cよりなる)には、ガス供給源10B,10Cから図示しないマスフローコントローラー等を通じて所定の流量でNHガス及びSiHガスが供給される。この際、各ガス流路25,26は、各ガスをチャンバ30内にほぼ均一に供給するよう構成されている。
この際、WFを供給するガス量路25と、NHガス及びSiHガスを供給するガス流路26を分けた理由は、シャワーヘッド20内におけるWFとNH或いはSiHとの反応を抑制するためである。更に、SiHとNHとの反応を抑制する必要がある場合には、ガス流路26をさらに分割する構成としても良い。
尚、図示しないが、シャワーヘッド20には、前処理用および成膜用ガスを希釈するArやNなどの不活性ガスをチャンバ30内へ供給するためのガス流入口や流路も形成されており、このガス流入口はマスフローコントローラー等を介在させた上で不活性ガスのガス供給源に接続されている。
シャワーヘッドヒーター21は、シャワーヘッド20の上面に設置され、シャワーヘッド20の温度を制御し、シャワーヘッド20内を通過するガスの加温やNHFなど低蒸気圧反応副生成物のシャワーヘッド20への付着防止を実施している。また、チャンバ30は、半導体ウエハWに所定の処理を施すための処理室である。
サセプタ32は、支持部材33により固定されて、チャンバ30内に設置され、図示しない搬送機構により搬入された処理対象の半導体ウエハWを載置する。また、サセプタ32は、半導体ウエハWをサセプタ32の中央へガイドするためのガイドリング34と、半導体ウエハWの温度を制御するためのステージヒーター35とを内部に備えている。ステージヒーター35は、チャンバ30の外に設置した電源50から電力を供給される。
排気管40はチャンバ30の底部に設置され、排気ガス流量を調節するバルブ41を介して真空ポンプ42に接続されている。真空ポンプ42により、排気管40を介してチャンバ30内のガスを排気することにより、チャンバ30内を真空ポンプ42の排気能力と、チャンバ30,排気管40,バルブ41のコンダクタンスにより定められた到達真空度に引き切るか、あるい、所定の圧力に維持することができる。
続いて、本発明者らが上記した真空処理装置を用いて実施した薄膜の形成方法について説明する。
本発明者らはALD法による成膜方法について、例えば図1に示すようなフローチャートに従って実行して成膜を実施し、特にその成膜初期の挙動について調査した。以下、図1に示す薄膜の形成処理の各処理について説明する。
(ステップ100)半導体ウエハWをチャンバ30内に搬入し、予め所定の温度例えば450度に加熱したサセプタ32に載置する。
(ステップ110)ArあるいはNの不活性ガスをシャワーヘッド20へ供給しつつ、チャンバ30を例えば400Paに保持排気して半導体ウエハWにサセプタ32の熱を伝熱し昇温する。
(ステップ120)ガス供給を停止して、チャンバ30を例えば20Pa以下まで真空排気する。
(ステップ130)WFガスに若干のAr、Nを混合したものをシャワーヘッド20へ供給しつつ、チャンバ30を所定の圧力に保持排気して、例えばWFを分圧×時間=470Pa.secとなるように供給する。
(ステップ140)ガス供給を停止して、チャンバ30を例えば20Pa以下まで真空排気する。
(ステップ150)NHガスに若干のAr、Nを混合したものをシャワーヘッド20へ供給しつつ、チャンバ30を所定の圧力に保持排気して、例えばウエハW上に略均等にNHを470Pa.secとなるように供給する。
(ステップ160)ガス供給を停止して、チャンバ30を例えば20Pa以下まで真空排気する。
(ステップ170)(ステップ130)から(ステップ160)までを所定の回数繰り返す。
上記の処理を実施することにより成膜される薄膜を調査した結果、ALD法で窒化タングステン膜(WN膜)を形成する場合には、図2の一点鎖線Bに示すように、成膜初期の10サイクルは実質的に成膜が行われないこと、即ち10サイクルに相当するインキュベーションタイムTが存在することがわかった。
そこで、本発明者らは、このインキュベーションタイムTを低減するための前処理について種々検討を重ね、複数種の原料ガスを複数同時に供給する前処理を実施することにより、図2に実線Aで示すように、このインキュベーションタイムTを0として、最初の1サイクル目から成膜できることを見出した。
図4は、本発明に従って改良した薄膜の形成方法のフローチャートである。本発明では、ステップ110とステップ120の間に、ステップ115として複数種の原料ガスを複数同時に供給する前処理を実施することを特徴としている。以下、図4に示す薄膜の形成処理の各処理について説明する。尚、図4において、先に説明した図1に示した処理と同一処理については同一のステップ数を付与している。
(ステップ100)半導体ウエハWをチャンバ30内に搬入し、予め所定の温度例えば450℃に加熱したサセプタ32に載置する。
(ステップ110)ArあるいはNの不活性ガスをシャワーヘッド20へ供給しつつ、チャンバ30を例えば400Paに保持排気して半導体ウエハWにサセプタ32の熱を伝熱し昇温する。
(ステップ115)若干のAr、Nを混合して以下の分圧に調整したWFガスとNHガスを同時に7.5sec供給することよりなる前処理を実施する。この処理時間は、この流量構成でWN膜が1nm成膜する時間に相当している。また、この際のWFガスの分圧は0.2Pa,NHガスの分圧は102Paである。
(ステップ120)ガス供給を停止して、チャンバ30を例えば20Pa以下まで真空排気する。
(ステップ130)WFガスに若干のAr、Nを混合したものをシャワーヘッド20へ供給しつつ、チャンバ30を所定の圧力に保持排気して、例えばWFを分圧×時間=470Pa.secとなるように供給する。
(ステップ140)ガス供給を停止して、チャンバ30を例えば20Pa以下まで真空排気する。
(ステップ150)NHガスに若干のAr、Nを混合したものをシャワーヘッド20へ供給しつつ、チャンバ30を所定の圧力に保持排気して、例えばウエハW上に略均等にNHを470Pa.secとなるように供給する。
(ステップ160)ガス供給を停止して、チャンバ30を例えば20Pa以下まで真空排気する。
(ステップ170)(ステップ130)から(ステップ160)までの処理を所定の回数繰り返す。
ここで、図1に示したフローチャートによる薄膜の形成方法と、図4に示した本発明による薄膜の形成方法とを比較しつつ説明目する。
図4に示した本発明に係る薄膜の形成方法に従うことなく、図1のフローチャートに従って成膜した場合には、(ステップ130)から(ステップ160)までを30サイクル繰り返した場合、最初10サイクルは成膜せず、その後の20サイクルにおいて1サイクルあたり0.64nmの速度で成膜したため、総膜厚としては12.8nmとなった。
一方、本発明に従って、図4のフローチャートに従って成膜した場合には、(ステップ130)から(ステップ160)までを同じく30サイクル繰り返した場合、最初の1サイクル目から成膜したため、総膜厚20.1nmとなりスループットの改善につながった。
ところで、上記した実施例においては、ALD法によりWN膜を形成する場合WFガスとNHガスの供給の間で真空排気を行なう例を示した。しかるに、本発明はこの例に限定されるものではなく、真空排気を行なう代わりに、WFガスあるいはNHガスを所定のガスにより押し出す、いわゆるパージ処理を実施することとしても良い。具体的には、WFガス或いはNHガスの供給を停止した後、例えば500sccm以上の大流量のAr,N等の不活性ガス或いはHを供給し、チャンバ30内のWFガス或いはNHガスを押し出すものである。
ここで、パージガスとしてHを用いることは、特にWN膜を酸化させたくない場合に有効である。また、真空排気、パージ、真空排気を1つのセットとして運用しても、総成膜時間が長くなる問題はあるが、WFガス、あるいはNHガスの残留を除去する効果が高くなって良い。
また、上記実施例においては、ALD法でWN膜を形成する条件として、ウエハ温度370℃、1サイクルのWFガス供給、NHガス供給をいずれも470Pa.secとし、1サイクルあたりのWN膜の成膜量が約0.6から0.7nmである例を示した。しかしながら、本発明者らが、このWN膜の形成方法として種々検討した結果、図5、6に示すような以下の関係を見出した。
図5は、1サイクルあたり成膜厚さのウエハ温度依存性を示している。同図に示されるように、ウエハ温度が300℃から450℃の範囲では1サイクルあたり成膜厚さはウエハ温度によらず一定である。しかるに、ウエハ温度が450℃を超えると、1サイクルあたり成膜厚さが減少する現象が発生した。この1サイクルあたり成膜厚さがウエハ温度によらず一定な領域は、反応が原料の吸着を律速過程として進んでいることを示すものであり、制御性良く、被覆性に優れた、WN膜を得られる領域として好適である。
また、図6は、1サイクルあたり成膜厚さのWFガスあるいはNHガスの供給量依存性を示しており、ウエハ温度370℃で実験した例を示している。同図より、ガスの供給量が200Pa.sec以上で、1サイクルあたり成膜厚さが飽和していることが判る。この領域は、反応が原料の吸着を律速過程として進んでいることを示すものであり、制御性良く、被覆性に優れた、WN膜を得られる領域として好適である。
また、上記実施例には、複数種の原料ガスを複数同時に供給する前処理(即ち、図4のステップ115の処理)の時間が7.5secである例を示した。しかしながら、この前処理の時間はこれに限られるものではない。
図4のステップ115で実施されるいわゆる前処理は、対象表面(ウエハの表面)を改質し、その後のALD法による成膜時に原料ガスを吸着しやすくする効果がある。即ち、たとえ僅かな時間でも、複数種の原料ガスを複数同時に供給してできた混合体が対象表面に到達すれば改質の効果は有るので、処理時間の下限は原料ガスの混合体が対象表面に到達するのに必要な時間として0.1sec以上とした。
一方、前処理時間が2.0nmの成膜に相当する時間を超えると、この前処理によって対象表面(ウエハの表面)に膜が形成されてしまう。よって、ALD法により形成される膜は、前処理時に形成された膜上に形成されることとなる。
この前処理時に形成される膜は、複数種の原料ガスを複数同時に供給することにより形成される膜であるため、ALD法により形成される膜に比べ、被覆性、平滑性、膜の純度が劣る。従って、この前処理時に形成される膜は、その上部に形成されるALD法により形成される膜に影響を与え、ALD法により形成される膜も被覆性、平滑性、膜の純度などの特性が劣化してしまう。よって、前処理時間が2.0nmの成膜に相当する時間を超えることは好ましくない。
これに対し、前処理時間が0.1secから2.0nmの成膜に相当する時間の範囲であれば、ALD法における原料ガス吸着の促進効果を持ちながら、その後にALD法により成膜される膜の膜質へ影響を与えないので好適である。
また、2.0nm以下の膜は非常に薄く、例えばSEM〈走査型電子顕微鏡〉等の手段をもってしても検知できない場合ある。しかるに、前処理による効果を実現できる膜厚は、上記のように前処理を実施する時間により管理することができる。従って、直接前処理により形成される膜厚を測定することなく、単に前処理の実施時間を管理するだけの簡単な処理で、上記した所定の効果が期待できる。
また、上記した実施例では、ALD法による成膜において、WFガスとNHガスを交互に供給しWN膜を成膜する例を示したが、本発明は、これに限られるものではなく、図7のフローチャートに示すように、WFガス,NHガス,SiHガス、をこの順に繰り返して供給しても良い。
この場合、WFガスとSiHガスの供給が隣り合うことにより、WやWSiなどが形成され、WN膜中にこれらが含まれる混合膜(WN膜)を形成することができる。WやWSiはWNに比べて比抵抗が低いため、各ガスの供給量を変化させたり供給順に変化を持たせることにより、WN膜中のWN及びWSiの量を制御することができる。これにより、WN単独膜に比べて比抵抗を低くしたり、膜中にSiを含有させたりすることが可能となり、任意の特性を有した薄膜の形成が可能となる。例えば、WF,SiH,NH,WF,SiHの順に繰り返し供給すると、WN膜中のWNの比率が低減し、WとWSiの比率が増えるので、比抵抗の低いWN膜を得ることができる。
また、上記した実施例では、図3に示す真空処理装置を用い、複数種の原料ガスを複数同時に供給する前処理時も、またその後において複数種の原料ガスを1種類ずつ複数回にわたり供給して成膜する時(ALD法による成膜時)にも、シャワーヘッド20を通して各ガスの供給を実施した。
しかしながら、本発明はこれに限定されるものではなく、図8(図3と同一構成については同一符号を付す)に示すように、前処理時はシャワーヘッド20を通じてガス供給するが、ALD法による成膜時には、シャワーヘッド20を介さず、側面から各ガスをチャンバ30内に流入する構成としてもよい。
具体的な構成としては、各ガス供給源10A〜10Cに接続されたガス供給配管を、配管44A〜46Aと配管44B〜46Bに分岐し、配管44A〜46Aをシャワーヘッド20に接続すると共に、配管44B〜46Bをチャンバ30の側面に配設されたノズル47〜49と接続した構成とした。また、配管44A〜46Aには弁装置44C〜46Cを配設し、配管44B〜46Bには弁装置44D〜46Dを配設することにより、配管44A〜46A及び配管44B〜46B内を流れるガス量を制御しうる構成とした。
前処理時には、複数種の原料ガスを複数同時に供給するため、ウエハWの表面への均一な供給のためにシャワーヘッド20は必要である。よって、前処理時には弁装置44D〜46Dを閉弁すると共に弁装置44C〜46Cを開弁し、原料ガスをすることによりシャワーヘッド20に供給する。一方、ALD法による成膜時には、弁装置44C〜46Cを閉弁すると共に弁装置44D〜46Dを開弁し、原料ガスをチャンバ30の側面から供給する。
ALD法による成膜時には、原料ガスの吸着過程が成膜の律速となるため、ガス供給にノズル47〜49を用いた構成としても問題ない。ガス置換の観点からは、コンダクタンスの小さいシャワーヘッド20よりも、構造の簡単なノズル47〜49の方が有利である場合もあり、好適である。
尚、上記した実施例では、ALD法による成膜において、WFガスとNHガスを交互に供給しWN膜を成膜する例、及びWFガス,NHがス,SiHガスを交互に供給しWN膜を成膜する例を主に示してきたが、本発明はこれに限られることなく、他の金属膜や、絶縁膜の成膜にも適用できることは勿論である。
以下に、TiClガスとNH3を交互に供給し、TiNを成膜する例について、図9を用いて説明する。
(ステップ300)半導体ウエハWをチャンバ30内に搬入し、予め所定の温度例えば450℃に加熱したサセプタ32に載置する。
(ステップ310)ArあるいはNをシャワーヘッド20へ供給しつつ、チャンバ30を例えば400Paに保持排気して半導体ウエハWにサセプタ32の熱を伝熱し昇温する。
(ステップ315)若干のAr、Nを混合して以下の分圧に調整したTiClガスとNHガスを同時に10sec供給することよりなる前処理を実施する。この処理時間は、この流量構成でWN膜が2nm成膜する時間に相当している。
TiCl分圧=23Pa, NH分圧=301Pa
(ステップ320)ガス供給を停止して、チャンバ30を例えば20Pa以下まで真空排気する。
(ステップ330)TiClガスに若干のAr、Nを混合したものをシャワーヘッド20へ供給しつつ、チャンバ30を所定の圧力に保持排気して、例えばWFを分圧×時間=260Pa.secとなるように供給する。
(ステップ340)ガス供給を停止して、チャンバ30を例えば20Pa以下まで真空排気する。
(ステップ350)NHガスに若干のAr、Nを混合したものをシャワーヘッド20へ供給しつつ、チャンバ30を所定の圧力に保持排気して、例えばウエハW上に略均等にNHを665Pa.secとなるように供給する。
(ステップ360)ガス供給を停止して、チャンバ30を例えば20Pa以下まで真空排気する。
(ステップ370)(ステップ330)から(ステップ360)までを所定の回数例えば100サイクル繰り返した場合、総膜厚として14nmを得た。
また、上記した実施例に従わず、ステップ315を行なわなかった場合には、(ステップ370)(ステップ330)から(ステップ360)までの繰り返しにおいて、最初の8サイクルは成膜が見られず、100サイクル後の膜厚として12.8nmを得た。
以上、上記実施例においては、ALD法によりTiN膜を形成する場合、TiClガスとNH3の供給の間を、真空排気する例を示したが、例に縛られることなく、代わりに、TiClガスあるいはNHガスの供給を停止した後、例えば500sccm以上の大流量のAr,Nなどの不活性ガスあるいは、Hを供給し、チャンバ30内のTiclガスあるいはNHガスを押し出すいわゆるパージを実施しても良い。ここで、Hは、特にWN膜を酸化させたくない場合に有効である。また、真空排気、パージ、真空排気を1つのセットとして運用しても、総成膜時間が長くなる問題はあるが、TiClガス、あるいはNHガスの残留を除去する効果が高くなって良い。
上述のように本実施例によれば、原料ガスを交互に供給することにより成膜を行なう成膜方法(ALD法)の実施前に、複数種の原料ガスを複数同時に供給する前処理を実施することにより、原料ガスを交互に供給することにより成膜を行なう薄膜形成処理のインキュベーションタイムを短くすることができ、よってスループットの高い薄膜形成を行なうことができる。
本発明は、具体的に開示された実施例に限定されるものではなく、クレームされた本発明の範囲から逸脱することなく、種々の変形例や実施例が考えられる。
【図面の簡単な説明】
本発明の他の目的、特徴及び利点は添付の図面を参照しながら以下の詳細な説明を読むことにより一層明瞭となるであろう。
図1は、従来のALD法による成膜を実施するためのフローチャートである。
図2は、従来のALD法及び本発明による極薄膜の形成方法を実施したときの原料ガス供給サイクル数と成膜厚さの関係を示すためのグラフである。
図3は、本発明による極薄膜の形成方法を実施するための真空処理装置を示す概略構成図である。
図4は、本発明の一実施例によるWN薄膜の形成方法を実施すためのフローチャートである。
図5は、本発明の一実施例による薄膜の形成方法を実施したときのウエハ温度と1サイクルあたりの成膜厚さの関係を示すグラフである。
図6は、本発明の一実施例による薄膜の形成方法を実施した時のWFガス或いはNHガスの供給量と1サイクル当たりの成膜厚さの関係を示す図である。
図7は、本発明の一実施例による薄膜の形成方法を実施するためのフローチャートである。
図8は、本発明の一実施例による薄膜の形成方法を実施するための真空処理装置を示す概略構成図である。
図9は、本発明の一実施例によるTiN薄膜の形成方法を実施すためのフローチャートである。
【0008】
の回数繰り返す。
ここで、図1に示したフローチャートによる薄膜の形成方法と、図4に示した本発明による薄膜の形成方法とを比較しつつ説明する。
図4に示した本発明に係る薄膜の形成方法に従うことなく、図1のフローチャートに従って成膜した場合には、(ステップ130)から(ステップ160)までを30サイクル繰り返した場合、最初10サイクルは成膜せず、その後の20サイクルにおいて1サイクルあたり0.64nmの速度で成膜したため、総膜厚としては12.8nmとなった。
一方、本発明に従って、図4のフローチャートに従って成膜した場合には、(ステップ130)から(ステップ160)までを同じく30サイクル繰り返した場合、最初の1サイクル目から成膜したため、総膜厚20.1nmとなりスループットの改善につながった。
ところで、上記した実施例においては、ALD法によりWN膜を形成する場合WFガスとNHガスの供給の間で真空排気を行なう例を示した。しかるに、本発明はこの例に限定されるものではなく、真空排気を行なう代わりに、WFガスあるいはNHガスを所定のガスにより押し出す、いわゆるパージ処理を実施することとしても良い。具体的には、WFガス或いはNHガスの供給を停止した後、例えば500sccm以上の大流量のAr,N等の不活性ガス或いはHを供給し、チャンバ30内のWFガス或いはNHガスを押し出すものである。
ここで、パージガスとしてHを用いることは、特にWN膜を酸化させたくない場合に有効である。また、真空排気、パージ、真空排気を1つのセットとして運用しても、総成膜時間が長くなる問題はあるが、WFガス、あるいはNHガスの残留を除去する効果が高くなって良い。
また、上記実施例においては、ALD法でWN膜を形成する条件として、ウエハ温度370℃、1サイクルのWFガス供給、NHガス供給をいずれも470Pa.secとし、1サイクルあたりのWN膜の成膜量が約0.6から0.7nmである例を示した。しかしながら、本発明者らが、このWN膜の形成方法として種々検討した結果、図5、6に示すような以下の関係を見出した。
図5は、1サイクルあたり成膜厚さのウエハ温度依存性を示している。同図に
【0011】
側面から各ガスをチャンバ30内に流入する構成としてもよい。
具体的な構成としては、各ガス供給源10A〜10Cに接続されたガス供給配管を、配管44A〜46Aと配管44B〜46Bに分岐し、配管44A〜46Aをシャワーヘッド20に接続すると共に、配管44B〜46Bをチャンバ30の側面に配設されたノズル47〜49と接続した構成とした。また、配管44A〜46Aには弁装置44C〜46Cを配設し、配管44B〜46Bには弁装置44D〜46Dを配設することにより、配管44A〜46A及び配管44B〜46B内を流れるガス量を制御しうる構成とした。
前処理時には、複数種の原料ガスを複数同時に供給するため、ウエハWの表面への均一な供給のためにシャワーヘッド20は必要である。よって、前処理時には弁装置44D〜46Dを閉弁すると共に弁装置44C〜46Cを開弁し、原料ガスをすることによりシャワーヘッド20に供給する。一方、MD法による成膜時には、弁装置44C〜46Cを閉弁すると共に弁装置44D〜46Dを開弁し、原料ガスをチャンバ30の側面から供給する。
ALD法による成膜時には、原料ガスの吸着過程が成膜の律速となるため、ガス供給にノズル47〜49を用いた構成としても問題ない。ガス置換の観点からは、コンダクタンスの小さいシャワーヘッド20よりも、構造の簡単なノズル47〜49の方が有利である場合もあり、好適である。
尚、上記した実施例では、ALD法による成膜において、WFガスとNHガスを交互に供給しWN膜を成膜する例、及びWFガス,NHガス,SiHガスを交互に供給しWN膜を成膜する例を主に示してきたが、本発明はこれに限られることなく、他の金属膜や、絶縁膜の成膜にも適用できることは勿論である。
以下に、TiClガスとNHを交互に供給し、TiNを成膜する例について、図9を用いて説明する。
(ステップ300)半導体ウエハWをチャンバ30内に搬入し、予め所定の温度例えば450℃に加熱したサセプタ32に載置する。
(ステップ310)ArあるいはNをシャワーヘッド20へ供給しつつ、チャンバ30を例えば400Paに保持排気して半導体ウエハWにサセプタ32の熱を伝熱し昇温する。
【0012】
(ステップ315)若干のAr、Nを混合して以下の分圧に調整したTiClガスとNHガスを同時に10sec供給することよりなる前処理を実施する。この処理時間は、この流量構成でTiN膜が2nm成膜する時間に相当している。
TiCl分圧=23Pa,NH分圧=301Pa
(ステップ320)ガス供給を停止して、チャンバ30を例えば20Pa以下まで真空排気する。
(ステップ330)TiClガスに若干のAr、Nを混合したものをシャワーヘッド20へ供給しつつ、チャンバ30を所定の圧力に保持排気して、例えばTiClを分圧×時間=260Pa.secとなるように供給する。
(ステップ340)ガス供給を停止して、チャンバ30を例えば20Pa以下まで真空排気する。
(ステップ350)NHガスに若干のAr、Nを混合したものをシャワーヘッド20へ供給しつつ、チャンバ30を所定の圧力に保持排気して、例えばウエハW上に略均等にNHを665Pa.secとなるように供給する。
(ステップ360)ガス供給を停止して、チャンバ30を例えば20Pa以下まで真空排気する。
(ステップ370)(ステップ330)から(ステップ360)までを所定の回数例えば100サイクル繰り返した場合、総膜厚として14nmを得た。
また、上記した実施例に従わず、ステップ315を行なわなかった場合には、(ステップ370)(ステップ330)から(ステップ360)までの繰り返しにおいて、最初の8サイクルは成膜が見られず、100サイクル後の膜厚として12.8nmを得た。
以上、上記実施例においては、ALD法によりTiN膜を形成する場合、TiClガスとNHの供給の間を、真空排気する例を示したが、例に縛られることなく、代わりに、TiClガスあるいはNHガスの供給を停止した後、例えば500sccm以上の大流量のAr,Nなどの不活性ガスあるいは、Hを供給し、チャンバ30内のTiClガスあるいはNHガスを押し出すいわゆるパージを実施しても良い。ここで、Hは、特にTiN膜を酸化させたくない場合に有効である。また、真空排気、パージ、真空排気を1つのセットとして運用しても、総成膜時間が長くなる
【0008】
の回数繰り返す。
ここで、図1に示したフローチャートによる薄膜の形成方法と、図4に示した本発明による薄膜の形成方法とを比較しつつ説明する。
図4に示した本発明に係る薄膜の形成方法に従うことなく、図1のフローチャートに従って成膜した場合には、(ステップ130)から(ステップ160)までを30サイクル繰り返した場合、最初10サイクルは成膜せず、その後の20サイクルにおいて1サイクルあたり0.64nmの速度で成膜したため、総膜厚としては12.8nmとなった。
一方、本発明に従って、図4のフローチャートに従って成膜した場合には、(ステップ130)から(ステップ160)までを同じく30サイクル繰り返した場合、最初の1サイクル目から成膜したため、総膜厚20.1nmとなりスループットの改善につながった。
ところで、上記した実施例においては、ALD法によりWN膜を形成する場合WFガスとNHガスの供給の間で真空排気を行なう例を示した。しかるに、本発明はこの例に限定されるものではなく、真空排気を行なう代わりに、WFガスあるいはNHガスを所定のガスにより押し出す、いわゆるパージ処理を実施することとしても良い。具体的には、WFガス或いはNHガスの供給を停止した後、例えば500sccm以上の大流量のAr,N等の不活性ガス或いはHを供給し、チャンバ30内のWFガス或いはNHガスを押し出すものである。
ここで、パージガスとしてHを用いることは、特にWN膜を酸化させたくない場合に有効である。また、真空排気、パージ、真空排気を1つのセットとして運用しても、総成膜時間が長くなる問題はあるが、WFガス、あるいはNHガスの残留を除去する効果が高くなって良い。
また、上記実施例においては、ALD法でWN膜を形成する条件として、ウエハ温度370℃、1サイクルのWFガス供給、NHガス供給をいずれも470Pa.secとし、1サイクルあたりのWN膜の成膜量が約0.6から0.7nmである例を示した。しかしながら、本発明者らが、このWN膜の形成方法として種々検討した結果、図5、6に示すような以下の関係を見出した。
図5は、1サイクルあたり成膜厚さのウエハ温度依存性を示している。同図に
【0011】
側面から各ガスをチャンバ30内に流入する構成としてもよい。
具体的な構成としては、各ガス供給源10A〜10Cに接続されたガス供給配管を、配管44A〜46Aと配管44B〜46Bに分岐し、配管44A〜46Aをシャワーヘッド20に接続すると共に、配管44B〜46Bをチャンバ30の側面に配設されたノズル47〜49と接続した構成とした。また、配管44A〜46Aには弁装置44C〜46Cを配設し、配管44B〜46Bには弁装置44D〜46Dを配設することにより、配管44A〜46A及び配管44B〜46B内を流れるガス量を制御しうる構成とした。
前処理時には、複数種の原料ガスを複数同時に供給するため、ウエハWの表面への均一な供給のためにシャワーヘッド20は必要である。よって、前処理時には弁装置44D〜46Dを閉弁すると共に弁装置44C〜46Cを開弁し、原料ガスをすることによりシャワーヘッド20に供給する。一方、ALD法による成膜時には、弁装置44C〜46Cを閉弁すると共に弁装置44D〜46Dを開弁し、原料ガスをチャンバ30の側面から供給する。
ALD法による成膜時には、原料ガスの吸着過程が成膜の律速となるため、ガス供給にノズル47〜49を用いた構成としても問題ない。ガス置換の観点からは、コンダクタンスの小さいシャワーヘッド20よりも、構造の簡単なノズル47〜49の方が有利である場合もあり、好適である。
尚、上記した実施例では、ALD法による成膜において、WFガスとNHガスを交互に供給しWN膜を成膜する例、及びWFガス,NHガス,SiHガスを交互に供給しWN膜を成膜する例を主に示してきたが、本発明はこれに限られることなく、他の金属膜や、絶縁膜の成膜にも適用できることは勿論である。
以下に、TiClガスとNH3を交互に供給し、TiNを成膜する例について、図9を用いて説明する。
(ステップ300)半導体ウエハWをチャンバ30内に搬入し、予め所定の温度例えば450℃に加熱したサセプタ32に載置する。
(ステップ310)ArあるいはNをシャワーヘッド20へ供給しつつ、チャンバ30を例えば400Paに保持排気して半導体ウエハWにサセプタ32の熱を伝熱し昇温する。
【0012】
(ステップ315)若干のAr、Nを混合して以下の分圧に調整したTiClガスとNHガスを同時に10sec供給することよりなる前処理を実施する。この処理時間は、この流量構成でTiN膜が2nm成膜する時間に相当している。
TiCl分圧=23Pa, NH分圧=301Pa
(ステップ320)ガス供給を停止して、チャンバ30を例えば20Pa以下まで真空排気する。
(ステップ330)TiClガスに若干のAr、Nを混合したものをシャワーヘッド20へ供給しつつ、チャンバ30を所定の圧力に保持排気して、例えばTiClを分圧×時間=260Pa.secとなるように供給する。
(ステップ340)ガス供給を停止して、チャンバ30を例えば20Pa以下まで真空排気する。
(ステップ350)NHガスに若干のAr、Nを混合したものをシャワーヘッド20へ供給しつつ、チャンバ30を所定の圧力に保持排気して、例えばウエハW上に略均等にNHを665Pa.secとなるように供給する。
(ステップ360)ガス供給を停止して、チャンバ30を例えば20Pa以下まで真空排気する。
(ステップ370)(ステップ330)から(ステップ360)までを所定の回数例えば100サイクル繰り返した場合、総膜厚として14nmを得た。
また、上記した実施例に従わず、ステップ315を行なわなかった場合には、(ステップ370)(ステップ330)から(ステップ360)までの繰り返しにおいて、最初の8サイクルは成膜が見られず、100サイクル後の膜厚として12.8nmを得た。
以上、上記実施例においては、ALD法によりTiN膜を形成する場合、TiClガスとNH3の供給の間を、真空排気する例を示したが、例に縛られることなく、代わりに、TiClガスあるいはNHガスの供給を停止した後、例えば500sccm以上の大流量のAr,Nなどの不活性ガスあるいは、Hを供給し、チャンバ30内のTiClガスあるいはNHガスを押し出すいわゆるパージを実施しても良い。ここで、Hは、特にTiN膜を酸化させたくない場合に有効である。また、真空排気、パージ、真空排気を1つのセットとして運用しても、総成膜時間が長くなる

Claims (7)

  1. 複数種の原料ガスを基板上で反応させて該基板上に薄膜を形成する薄膜の形成方法であって、
    前記複数種の原料ガスを複数同時に供給する工程と、
    該工程の実施後に、前記複数種の原料ガスを1種類ずつ複数回にわたり供給することにより薄膜を形成する工程と
    を有することを特徴とする薄膜の形成方法。
  2. 請求項1記載の薄膜の形成方法において、
    前記複数種の原料ガスを1種類ずつ複数回にわたり供給する際、反応する2種類の原料ガスの供給の間に、真空排気或いは第3種のガスによる置換を行なうことを特徴とする薄膜の形成方法。
  3. 請求項1記載の薄膜の形成方法において、
    前記原料ガスは、WFガス、NHガス、SiHガスであることを特徴とする薄膜の形成方法。
  4. 請求項2記載の薄膜の形成方法において、
    前記原料ガスは、WFガス、NHガス、SiHガスであることを特徴とする薄膜の形成方法。
  5. 請求項3に記載の薄膜の形成方法において、
    前記複数種の原料ガスを複数同時に供給する時間の下限は0.1secであり、その上限はそのガス構成において2.0nmの厚さの成膜が行なわれるのと同じ時間であることを特徴とする薄膜の形成方法。
  6. 請求項4に記載の薄膜の形成方法において、
    前記複数種の原料ガスを複数同時に供給する時間の下限は0.1secであり、その上限はそのガス構成において2.0nmの厚さの成膜が行なわれるのと同じ時間であることを特徴とする薄膜の形成方法。
  7. 複数種の原料ガスを基板上で反応させて該基板上に薄膜を形成する薄膜の形成装置であって、
    前記複数種の原料ガスを複数同時に供給する手段と、
    前記複数種の原料ガスを1種類ずつ複数回にわたり供給することにより薄膜を形成する手段と
    前記複数種の原料ガスを1種類ずつ複数回にわたり供給する際、反応する2種類の原料ガスの供給の間に、真空排気或いは第3種のガスによる置換を行なう手段と、
    を設けてなることを特徴とする薄膜の形成装置。
JP2002550138A 2000-12-12 2001-12-11 薄膜の形成方法及び薄膜の形成装置 Pending JPWO2002048427A1 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2000377675 2000-12-12
JP2000377675 2000-12-12
PCT/JP2001/010859 WO2002048427A1 (fr) 2000-12-12 2001-12-11 Procede et dispositif servant a creer une couche mince

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2008117509A Division JP4800344B2 (ja) 2000-12-12 2008-04-28 薄膜の形成方法

Publications (1)

Publication Number Publication Date
JPWO2002048427A1 true JPWO2002048427A1 (ja) 2004-04-15

Family

ID=18846362

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2002550138A Pending JPWO2002048427A1 (ja) 2000-12-12 2001-12-11 薄膜の形成方法及び薄膜の形成装置
JP2008117509A Expired - Fee Related JP4800344B2 (ja) 2000-12-12 2008-04-28 薄膜の形成方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2008117509A Expired - Fee Related JP4800344B2 (ja) 2000-12-12 2008-04-28 薄膜の形成方法

Country Status (7)

Country Link
US (1) US7482283B2 (ja)
JP (2) JPWO2002048427A1 (ja)
KR (2) KR20030062365A (ja)
CN (1) CN100366792C (ja)
AU (1) AU2002221122A1 (ja)
TW (1) TW507263B (ja)
WO (1) WO2002048427A1 (ja)

Families Citing this family (321)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4074461B2 (ja) * 2002-02-06 2008-04-09 東京エレクトロン株式会社 成膜方法および成膜装置、半導体装置の製造方法
JP3819335B2 (ja) 2002-07-15 2006-09-06 東京エレクトロン株式会社 成膜方法
US20040040502A1 (en) * 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
US20040040503A1 (en) * 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
JP4113755B2 (ja) * 2002-10-03 2008-07-09 東京エレクトロン株式会社 処理装置
KR101035221B1 (ko) * 2002-12-27 2011-05-18 가부시키가이샤 알박 질화 텅스텐막의 형성 방법
KR101070353B1 (ko) * 2003-06-25 2011-10-05 주성엔지니어링(주) 반도체 소자 제조장치의 가스 인젝터
JP2005086185A (ja) * 2003-09-11 2005-03-31 Tokyo Electron Ltd 成膜方法
JP4306403B2 (ja) * 2003-10-23 2009-08-05 東京エレクトロン株式会社 シャワーヘッド構造及びこれを用いた成膜装置
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
TW200526806A (en) * 2004-01-15 2005-08-16 Tokyo Electron Ltd Film-forming method
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
JP2006024668A (ja) * 2004-07-07 2006-01-26 Fujitsu Ltd 半導体装置の製造方法
US7767363B2 (en) * 2005-06-24 2010-08-03 Micron Technology, Inc. Methods for photo-processing photo-imageable material
JP5109299B2 (ja) * 2005-07-07 2012-12-26 東京エレクトロン株式会社 成膜方法
JP2007046134A (ja) * 2005-08-11 2007-02-22 Tokyo Electron Ltd 金属系膜形成方法及びプログラムを記録した記録媒体
US7582562B2 (en) * 2005-10-06 2009-09-01 Micron Technology, Inc. Atomic layer deposition methods
WO2007059474A2 (en) * 2005-11-12 2007-05-24 Boston Scientific Limited, A Corporation Of The Republic Of Ireland System for reducing noise in an imaging catheter system
JP5028957B2 (ja) * 2005-12-28 2012-09-19 東京エレクトロン株式会社 成膜方法及び成膜装置並びに記憶媒体
JP2010027868A (ja) * 2008-07-18 2010-02-04 Toshiba Corp 気相成長装置及び気相成長方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5787488B2 (ja) 2009-05-28 2015-09-30 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101189642B1 (ko) * 2012-04-09 2012-10-12 아익스트론 에스이 원자층 증착법을 이용한 TiSiN 박막의 형성방법
JP2014057047A (ja) * 2012-08-10 2014-03-27 Tokyo Electron Ltd 基板処理装置及びガス供給装置
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6125279B2 (ja) 2013-03-05 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
JP6311547B2 (ja) 2013-11-05 2018-04-18 東京エレクトロン株式会社 マスク構造体の形成方法、成膜装置及び記憶媒体
JP5971870B2 (ja) * 2013-11-29 2016-08-17 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び記録媒体
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) * 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN110724938B (zh) * 2014-05-16 2022-02-22 应用材料公司 喷头设计
JP6347544B2 (ja) * 2014-07-09 2018-06-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) * 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
CN109576672A (zh) * 2017-09-28 2019-04-05 北京北方华创微电子装备有限公司 一种原子层沉积方法
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
CN111501024A (zh) * 2020-05-08 2020-08-07 Tcl华星光电技术有限公司 气相沉积装置
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220285133A1 (en) * 2021-03-02 2022-09-08 Applied Materials, Inc. Methods and apparatus for processing a substrate
US20220307129A1 (en) * 2021-03-23 2022-09-29 Applied Materials, Inc. Cleaning assemblies for substrate processing chambers
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03201425A (ja) 1989-12-28 1991-09-03 Fujitsu Ltd 半導体装置
US5316793A (en) 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JP4097747B2 (ja) 1997-08-07 2008-06-11 株式会社アルバック バリア膜形成方法
US6197683B1 (en) 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
KR100297719B1 (ko) * 1998-10-16 2001-08-07 윤종용 박막제조방법
US6399484B1 (en) * 1998-10-26 2002-06-04 Tokyo Electron Limited Semiconductor device fabricating method and system for carrying out the same
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6773687B1 (en) * 1999-11-24 2004-08-10 Tokyo Electron Limited Exhaust apparatus for process apparatus and method of removing impurity gas
WO2001066832A2 (en) * 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
JP2002206168A (ja) * 2000-10-24 2002-07-26 Canon Inc シリコン系薄膜の形成方法、シリコン系半導体層の形成方法及び光起電力素子

Also Published As

Publication number Publication date
KR20060021940A (ko) 2006-03-08
KR20030062365A (ko) 2003-07-23
AU2002221122A1 (en) 2002-06-24
US7482283B2 (en) 2009-01-27
CN100366792C (zh) 2008-02-06
JP4800344B2 (ja) 2011-10-26
WO2002048427A1 (fr) 2002-06-20
TW507263B (en) 2002-10-21
JP2008240158A (ja) 2008-10-09
KR100601821B1 (ko) 2006-07-20
US20040029379A1 (en) 2004-02-12
CN1479805A (zh) 2004-03-03

Similar Documents

Publication Publication Date Title
JP4800344B2 (ja) 薄膜の形成方法
KR101145559B1 (ko) 반도체 제조 장치 및 반도체 장치의 제조 방법
TW202142723A (zh) 使用預處理沉積氮化矽層之方法、使用該方法所形成之結構及用於進行該方法之系統
US8461059B2 (en) Batch CVD method and apparatus for semiconductor process
US5817576A (en) Utilization of SiH4 soak and purge in deposition processes
US7067438B2 (en) Atomic layer deposition method of forming an oxide comprising layer on a substrate
US7067439B2 (en) ALD metal oxide deposition process using direct oxidation
US7884034B2 (en) Method of manufacturing semiconductor device and substrate processing apparatus
US20120064733A1 (en) Method of manufacturing semiconductor device and substrate processing apparatus
JP5651451B2 (ja) 半導体装置の製造方法、基板処理方法及び基板処理装置
KR20110108382A (ko) 비저항이 감소되고 표면 형태가 개선된 텅스텐 필름을 증착하는 방법
US20090142491A1 (en) Method of Film Deposition and Film Deposition System
TW201900919A (zh) 矽氮化膜之成膜方法及成膜裝置
US20040105935A1 (en) Method of depositing thin film using hafnium compound
KR101134713B1 (ko) 순차 흐름 성막법을 이용한 금속층 성막 방법
JP2007048926A (ja) W系膜の成膜方法、ゲート電極の形成方法、半導体装置の製造方法およびコンピュータ読取可能な記憶媒体
WO2022080153A1 (ja) 基板処理方法および基板処理装置
WO2020184342A1 (ja) 基板処理方法及び基板処理装置
US20220375747A1 (en) Flowable CVD Film Defect Reduction
WO2007035041A1 (en) Method of and apparatus for fabricating thermal oxide film using single chamber-type cvd apparatus
KR20240022988A (ko) 성막 방법 및 성막 장치
JP2002544394A (ja) 堆積プロセスにおけるSiH4ソークとパージの利用
JPH1145880A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20061003

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061201

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20071204

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080201

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080226