KR20220048058A - 샤워헤드 설계 - Google Patents

샤워헤드 설계 Download PDF

Info

Publication number
KR20220048058A
KR20220048058A KR1020227011992A KR20227011992A KR20220048058A KR 20220048058 A KR20220048058 A KR 20220048058A KR 1020227011992 A KR1020227011992 A KR 1020227011992A KR 20227011992 A KR20227011992 A KR 20227011992A KR 20220048058 A KR20220048058 A KR 20220048058A
Authority
KR
South Korea
Prior art keywords
apertures
gas injection
plenum
reflector plate
baffle plate
Prior art date
Application number
KR1020227011992A
Other languages
English (en)
Other versions
KR102451499B1 (ko
Inventor
카르티크 샤
차이타냐 에이. 프라사드
케빈 제이. 바우티스타
제프리 토빈
우메시 엠. 켈카르
라라 호릴차크
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20220048058A publication Critical patent/KR20220048058A/ko
Application granted granted Critical
Publication of KR102451499B1 publication Critical patent/KR102451499B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel

Abstract

본 명세서에 설명된 실시예들은 가스를 방사상으로 분배하기 위한 가스 주입 인서트를 구비하는 반사기 플레이트를 갖는 샤워헤드에 관한 것이다. 일 실시예에서, 샤워헤드 어셈블리는 반사기 플레이트 및 가스 주입 인서트를 포함한다. 반사기 플레이트는 적어도 하나의 가스 주입 포트를 포함한다. 가스 주입 인서트는 반사기 플레이트에 배치되고, 복수의 애퍼쳐를 포함한다. 가스 주입 인서트는 가스 주입 인서트에 배치된 배플 플레이트를 또한 포함하고, 배플 플레이트는 복수의 애퍼쳐를 또한 포함한다. 배플 플레이트의 제1 부분과 반사기 플레이트 사이에 제1 플레넘이 형성되고, 배플 플레이트의 제2 부분과 반사기 플레이트 사이에 제2 플레넘이 형성된다. 가스 주입 인서트의 복수의 애퍼쳐와 배플 플레이트의 복수의 애퍼쳐는 축 방향으로 정렬되지 않는다.

Description

샤워헤드 설계{SHOWERHEAD DESIGN}
본 개시내용의 실시예들은 일반적으로 가스를 방사상으로 분배하기 위한 가스 주입 인서트(gas injection insert)를 구비하는 반사기 플레이트를 갖는 샤워헤드 설계에 관한 것이다.
반도체 기판들은 집적 디바이스들 및 마이크로디바이스들의 제조를 포함한 매우 다양한 애플리케이션들을 위해 처리된다. 기판들을 처리하는 한가지 방법은 기판의 상부 표면 상에 산소 라디칼들을 퇴적하는 것을 포함한다. 예를 들어, 캘리포니아주 산타 클라라에 있는 Applied Materials, Inc.는, 램프들로 기판을 가열하고 처리 챔버 내로 수소 및 산소를 주입하는 RadOx® 프로세스를 제공한다. 가스들은 기판의 표면에 충돌할 때 라디칼들을 형성하여 기판 상에 층을 형성하는데, 예를 들어 산소 라디칼들은 실리콘 기판 상에 실리콘 이산화물 층을 형성한다.
300mm 기판들 상의 라디칼 산소 퇴적을 위해 이용되는 현재의 처리 챔버 샤워헤드들은 제한된 퇴적 제어를 가지며, 이것은 불량한 처리 균일성을 초래한다. 예를 들어, 현재의 샤워헤드 설계들 및 방사상 산소 퇴적을 위한 낮은 처리 챔버 압력 요건들은, 가스가 높은 속도로 기판에 도달하게 한다. 가스의 높은 속도는 기판 상의 충돌을 야기하고, 가스가 충분하게 가열되는 것을 방지한다. 한편, 연소로부터 발생되는 산소 라디칼들은 신속하게 재결합하여, 산소 라디칼들에 대해 짧은 수명 사이클을 생성한다. 그러므로, 가스의 높은 속도로 인한 제한된 퇴적 제어가 산소 라디칼들의 짧은 수명 사이클과 결합되면, 기판의 중심에서는 더 많은 퇴적이 이루어지고 기판의 에지들에서는 불량한 퇴적이 이루어지게 된다.
그러므로, 기판 전체에 걸쳐, 즉 중심으로부터 에지까지 더 균일한 퇴적을 위한 퇴적 제어를 제공하는 개선된 샤워헤드 설계가 필요하다.
일 실시예에서, 샤워헤드 어셈블리가 본 명세서에 개시된다. 샤워헤드 어셈블리는 반사기 플레이트 및 가스 주입 인서트를 포함한다. 반사기 플레이트는 그것을 관통하여 배치된 적어도 하나의 가스 주입 포트를 갖는다. 가스 주입 인서트는 반사기 플레이트에 배치된다. 가스 주입 인서트는 복수의 애퍼쳐를 갖는다. 가스 주입 인서트는 배플 플레이트를 포함한다. 배플 플레이트는 가스 주입 인서트에 배치된다. 배플 플레이트는 복수의 애퍼쳐를 갖는다. 배플 플레이트의 제1 부분과 반사기 플레이트 사이에 제1 플레넘이 형성된다. 배플 플레이트의 제2 부분과 반사기 플레이트 사이에 제2 플레넘이 형성된다. 가스 주입 인서트의 복수의 애퍼쳐와 배플 플레이트의 복수의 애퍼쳐는 축 방향으로 정렬되지 않는다.
다른 실시예에서, 처리 챔버가 본 명세서에 개시된다. 처리 챔버는 기판 지지체 및 샤워헤드 어셈블리를 포함한다. 기판 지지체는 처리 동안 기판을 회전시키도록 구성된다. 샤워헤드 어셈블리는 기판 지지체 위에 배치된다. 샤워헤드 어셈블리는 반사기 플레이트 및 가스 주입 인서트를 포함한다. 반사기 플레이트는 그것을 관통하여 배치된 제1 가스 주입 포트 및 제2 가스 주입 포트를 갖는다. 가스 주입 인서트는 반사기 플레이트에 배치된다. 가스 주입 인서트는 복수의 애퍼쳐를 갖는다. 가스 주입 인서트는 반사기 플레이트의 중심에 대하여 가스 주입 인서트에 방사상으로 배치된 적어도 2개의 배플 플레이트를 포함한다. 각각의 배플 플레이트는 복수의 애퍼쳐를 갖는다. 배플 플레이트의 제1 부분과 반사기 플레이트 사이에 제1 플레넘이 형성된다. 배플 플레이트의 제2 부분과 반사기 플레이트 사이에 제2 플레넘이 형성된다. 제1 플레넘은 반사기 플레이트의 벽에 의해 제2 플레넘으로부터 분리된다. 배플 플레이트와 가스 주입 인서트의 복수의 애퍼쳐는 축 방향으로 정렬되지 않는다.
또 다른 실시예에서, 샤워헤드 어셈블리가 본 명세서에 개시된다. 샤워헤드 어셈블리는 반사기 플레이트 및 가스 주입 인서트를 포함한다. 반사기 플레이트는 제1 플레넘 및 제2 플레넘에 가스를 전달하기 위해 그것을 관통하여 배치된 제1 가스 주입 포트 및 제2 가스 주입 포트를 갖는다. 가스 주입 인서트는 제1 플레넘 및 제2 플레넘 아래에서 반사기 플레이트에 배치된다. 가스 주입 인서트는 복수의 애퍼쳐를 포함한다. 애퍼쳐들의 개수 및 크기는 제1 가스 주입 포트 및 제2 가스 주입 포트를 통해 유동하는 가스의 유량에 기초하여 선택된다. 가스 주입 인서트는 가스 주입 인서트에 배치된 배플 플레이트를 포함한다. 배플 플레이트는 제1 플레넘 및 제2 플레넘에 노출된다. 배플 플레이트는 제1 플레넘 및 제2 플레넘을 통해 유동하는 가스의 유량을 감소시키도록 구성된다.
위에서 언급된 본 개시내용의 특징들이 상세하게 이해될 수 있도록, 위에 간략하게 요약된 본 개시내용의 더 구체적인 설명은 실시예들을 참조할 수 있으며, 그들 중 일부는 첨부 도면들에 예시되어 있다. 그러나, 본 개시내용은 동등한 효과의 다른 실시예들을 허용할 수 있으므로, 첨부 도면들은 본 개시내용의 전형적인 실시예들만을 예시하며, 따라서 그것의 범위를 제한하는 것으로 고려되어서는 안 된다는 점에 유의해야 한다.
도 1은 본 개시내용의 일 실시예에 따른 처리 챔버의 개략도이다.
도 2a는 본 개시내용의 일 실시예에 따른 샤워헤드 어셈블리의 확대도를 예시한다.
도 2b는 본 개시내용의 일 실시예에 따른 가스 주입 인서트가 내부에 배치되어 있는 배플 플레이트의 확대 단면도를 예시한다.
도 3은 본 개시내용의 일 실시예에 따른 반사기 플레이트의 확대 저부도를 예시한다.
도 4는 본 개시내용의 일 실시예에 따른 가스 주입 인서트의 확대 상부도를 예시한다.
도 5는 본 개시내용의 일 실시예에 따른 가스 주입 인서트의 확대 저부도를 예시한다.
이해를 용이하게 하기 위해서, 가능한 경우에, 도면들에 공통인 동일한 요소들을 지시하는 데에 동일한 참조 번호들이 이용되었다. 일 실시예의 요소들 및 특징들은 추가 언급 없이도 다른 실시예들에 유익하게 통합될 수 있다고 고려된다.
도 1은 본 개시내용의 일 실시예에 따른 급속 열 처리 챔버(100)의 개략도를 예시한다. 본 개시내용의 상세사항들은 급속 열 처리 챔버 내에서 이용되는 것으로 설명되지만, 본 명세서에 설명된 실시예들은 다른 퇴적 챔버들 및 에칭 챔버들과 같이 균일한 퇴적이 요구되는 다른 처리 시스템들 및 디바이스들에서 이용될 수 있다.
처리 챔버(100)는 비접촉식 또는 자기 부상식 기판 지지체(104) 및 챔버 바디(102)를 포함한다. 챔버 바디(102)는 측벽들(108), 저부 벽(110) 및 최상부 벽(112)을 갖는다. 측벽들(108), 저부 벽(110) 및 최상부 벽(112)은 내부 용적(121)을 정의한다. 최상부 벽(112)은 샤워헤드 어셈블리(127)를 갖는 리드(116)를 포함한다. 측벽들(108)은 전형적으로 적어도 하나의 기판 액세스 포트(148)를 포함한다. 기판 액세스 포트(148)는 기판(140)의 출입을 용이하게 한다. 처리 챔버(100)는 기판 지지체(104)의 내부 직경에 배치된 복사 열원(106)을 또한 포함할 수 있다.
기판 지지체(104)는 내부 용적(121) 내에서 기판(도시되지 않음)을 자기 부상하여 회전시키도록 되어 있다. 기판 지지체(104)는 고리형 바디(199)를 포함한다. 고리형 바디(199)는 자기 링 섹션(130) 및 기판 지지 섹션(132)을 포함한다. 지지 섹션(132)은 지지 링(134)을 지지하기 위해 자기 링 섹션(130)의 상부 표면으로부터 연장된다. 지지 링(134)은 정렬을 용이하게 하고, 기판(140)에 대한 착좌 표면(seating surface)을 제공한다.
처리 챔버(100)는 다양한 파장들의 광 및 열에 대해 투명한 재료로 이루어진 윈도우(114)를 또한 포함한다. 다양한 파장들은 적외선(IR) 스펙트럼의 광을 포함할 수 있고, 그것을 통해 복사 열원(106)으로부터의 광자들이 기판(140)을 가열할 수 있다. 윈도우(114)는 복수의 리프트 핀(144)을 또한 포함할 수 있다. 리프트 핀들(144)은 기판(140)에 선택적으로 접촉하고 기판을 지지하여, 처리 챔버(100) 안팎으로의 기판(140)의 이송을 용이하게 하도록 되어 있다.
일 실시예에서, 복사 열원(106)은 하우징으로 형성된 램프 어셈블리를 포함한다. 하우징은 냉각제 어셈블리(161)에서의 복수의 벌집형 튜브(160)를 포함한다. 벌집형 튜브들(160)은 냉각제 소스(183)에 연결된다.
분위기 제어 시스템(164)이 챔버 바디(102)의 내부 용적(121)에 또한 연결된다. 분위기 제어 시스템(164)은 일반적으로 챔버 압력을 제어하기 위한 진공 펌프들과 스로틀 밸브들을 포함한다.
샤워헤드 어셈블리(127)는 하나 이상의 가스를 기판(140)에 전달하도록 구성된다. 샤워헤드 어셈블리(127)는 리드(116) 아래에 배치된 반사기 플레이트(118)를 포함한다. 반사기 플레이트(118)는 기판 지지체(104)를 향한다. 반사기 플레이트(118)는 기판(140)으로부터 복사되는 IR 광을 다시 기판(140) 상으로 반사시키도록 구성된다. 선택적으로, 냉각 플레이트(115)가 반사기 플레이트(118)를 냉각하기 위해 반사기 플레이트(118) 주위에 배치되고 반사기 플레이트를 둘러쌀 수 있다.
일 실시예에서, 샤워헤드 어셈블리(127)는, 리드(116)와 반사기 플레이트(118)를 통해 형성되는 제1 가스 주입 포트(138) 및 제2 가스 주입 포트(128)를 적어도 포함한다. 샤워헤드 어셈블리(127)의 제1 가스 주입 포트(138) 및 제2 가스 주입 포트(128)의 확대 개략도는 도 2a에서 볼 수 있다. 제1 가스 주입 포트(138)는 제1 가스 소스(123)로부터 제1 플레넘(129)으로 대체로 방사상 내측 방향으로 가스를 주입하도록 구성된다. 제2 가스 주입 포트(128)는 제2 가스 소스(125)로부터 제2 플레넘(120)으로 대체로 방사상 내측 방향으로 가스를 주입하도록 구성된다. 제1 및 제2 플레넘(129, 120)은 반사기 플레이트(118)에 형성된다. 제1 플레넘(129)은 제1 가스 주입 포트(138)에 노출된다. 제2 플레넘(120)은 제2 가스 주입 포트(128)에 노출된다.
일 실시예에서, 제1 가스 주입 포트(138)(제1 플레넘(129)에 가스를 제공하기 위한 것임)는 반사기 플레이트(118)의 제2 사분면에 위치된다. 예를 들어, 제1 가스 주입 포트(138)는 반사기 플레이트(118)의 중심으로부터 약 30mm 내지 약 40mm에 위치된다. 일 실시예에서, 제2 가스 주입 포트(128)(제2 플레넘(120)에 가스를 제공하기 위한 것임)는 반사기 플레이트(118)의 제1 사분면에 위치된다. 예를 들어, 제2 가스 주입 포트(128)는 반사기 플레이트(118)의 중심으로부터 약 112mm 내지 약 122mm에 위치된다. 일 실시예에서, 제1 및 제2 가스 주입 포트(138, 128)는 각각 약 1mm 내지 약 10mm, 예를 들어 약 5mm 또는 약 5.1mm의 직경을 갖는다.
일 실시예에서, 제1 가스 소스(123)는 산소 가스(O2)를 공급하고, 제2 가스 소스(125)는 수소 가스(H2)를 공급한다. 따라서, 산소와 수소 가스 혼합물(O2/H2)이 제1 및 제2 플레넘(129, 120)에 공급된다. 일 실시예에서, 가스 혼합물은 약 23 퍼센트 내지 약 43 퍼센트의 수소 가스, 및 약 57 퍼센트 내지 약 77 퍼센트의 산소 가스, 예를 들어 약 33 퍼센트의 수소 가스 및 약 67 퍼센트의 산소 가스이다. 제1 플레넘(129)을 통해 유동하는 가스 혼합물은 샤워헤드 어셈블리(127)에서 내측 구역(171)을 형성한다. 제2 플레넘(120)을 통해 유동하는 가스 혼합물은 샤워헤드 어셈블리(127)에서 외측 구역(172)을 형성한다. 샤워헤드 어셈블리(127)에서의 분리된 별개의 내측 구역(171) 및 외측 구역(172)은 유리하게도 가스 혼합물이 기판(140) 상에 퇴적되기 이전에 처리 요건들에 따라 제어 및 튜닝되는 것을 허용한다.
반사기 플레이트(118)는 반사기 플레이트(118)에 배치된 하나 이상의 가스 주입 인서트(124)를 또한 포함한다. 도 3은 반사기 플레이트(118)의 확대 저부도를 예시한다. 일 실시예에서, 반사기 플레이트(118)는 하나의 가스 주입 인서트(124), (도 1에 도시된 바와 같이) 반사기 플레이트(118) 주위에서 약 180도마다 각각 위치된 2개의 가스 주입 인서트(124), 또는 (도 3에 도시된 바와 같이) 반사기 플레이트(118) 주위에서 약 90도마다 위치된 4개의 가스 주입 인서트(124)를 포함한다. 배플 플레이트(122)는 복수의 스크류(290)에 의해 반사기 플레이트(118)에 연결된다. 복수의 스크류는 반사기 플레이트(118)에 형성된 복수의 스크류 홀(292), 및 배플 플레이트(122)에 형성된 복수의 스크류 홀(294) 내에 들어맞도록 구성된다.
도 2b는 배플 플레이트(122) 및 가스 주입 인서트(124)의 일부의 단면도를 예시한다. 배플 플레이트(122)는 가스 주입 인서트(124)의 내측 에지(202)에 연결된 것으로 도시되어 있다. 예를 들어, 일 실시예에서, 배플 플레이트(122)는 가스 주입 인서트(124)의 내측 에지(202)에 용접될 수 있다. 배플 플레이트(122)와 가스 주입 인서트(124) 사이에 제3 플레넘(131)이 형성되도록 배플 플레이트(122)는 가스 주입 인서트(124)에 매달려진다. 배플 플레이트(122)에서의 애퍼쳐들(117)은, 제1 및 제2 플레넘(도시되지 않음)으로부터 제3 플레넘(131)까지 구불구불한 유동 경로(tortuous flow path)가 형성되도록 가스 주입 인서트(124)에 형성된 애퍼쳐들(126)과 축 방향으로 정렬되지 않는 것으로 도시되어 있다. 도 4는 가스 주입 인서트(124)의 확대 상부도를 예시한다. 가스 주입 인서트(124)는 대체로 타원형(oblong) 형상 바디를 갖는다. 가스 주입 인서트(124)는 가스 주입 인서트(124)의 바디에 배치된 타원형 형상 배플 플레이트(122)를 포함한다. 가스 주입 인서트(124)는 복수의 애퍼쳐(126)(도 4에서 팬텀으로 도시됨)를 포함한다. 가스 주입 인서트(124)는 가스 혼합물을 제1 및 제2 플레넘(129, 120)으로부터 애퍼쳐들(126)을 통해 내부 용적(121) 내로 그리고 기판(140)으로 전달하도록 구성된다. 배플 플레이트(122)는 그것을 관통하여 형성된 복수의 애퍼쳐(117)를 포함한다. 배플 플레이트(122)는 제1 및 제2 플레넘(129, 120)으로부터 애퍼쳐들(117)을 통해 유동하는 가스 혼합물의 유량을 줄이거나 느리게 하고, 가스 혼합물을 배플 플레이트(122)와 주입 인서트(124)에 의해 정의되는 제3 플레넘(131)에 고르게 분배하도록 구성된다. 유익하게도, 배플 플레이트(122)는 또한 전체 가스 혼합물 소비를 약 30 퍼센트만큼 감소시킨다. 실험 결과들은 가스 혼합물의 속도가 약 98 퍼센트만큼 감소될 수 있음을 나타낸다. 예를 들어, 가스 혼합물의 속도는 기판(140)을 향하여 약 100m/s(종래의 샤워헤드 설계를 이용함)로부터 약 10m/s(위에서 설명된 배플 플레이트(122)를 이용함)로 감소될 수 있다.
일 실시예에서, 배플 플레이트(122)에서의 애퍼쳐들(117)의 개수는 약 20개 내지 약 30개의 애퍼쳐(117), 예를 들어 약 24개 또는 약 25개의 애퍼쳐(117)이다. 일 실시예에서, 애퍼쳐들(117)은 배플 플레이트(122)에서 단일 컬럼으로 형성된다. 일 실시예에서, 애퍼쳐들(117)의 반경은 약 0.25mm 내지 약 1.52mm, 예를 들어 약 0.793mm이다. 일 실시예에서, 가스 주입 인서트(124)에서의 애퍼쳐들(126)의 개수는 배플 플레이트(122)에서의 애퍼쳐들(117)의 개수보다 더 많다.
일 실시예에서, 가스 주입 인서트(124)에서의 애퍼쳐들(126)은 2개의 컬럼으로 형성된다. 각각의 컬럼은 약 40개 내지 약 60개의 애퍼쳐, 예를 들어 약 40개의 애퍼쳐 또는 약 50개의 애퍼쳐, 즉 약 100개의 애퍼쳐를 가질 수 있다. 그러므로, 일 실시예에서, 약 100개의 애퍼쳐(126)(50개의 애퍼쳐 × 2개의 컬럼)가 존재한다. 일 실시예에서, 애퍼쳐들(117) 및 애퍼쳐들(126)은 샤워헤드 어셈블리(127)를 통해 구불구불한 유동 경로를 생성하도록 오프셋된다. 일 실시예에서, 애퍼쳐들(126)의 반경은 약 0.25mm 내지 약 1.52mm, 예를 들어 약 0.79mm이다. 다른 실시예에서, (ⅰ) 애퍼쳐들(126)의 개수 및 크기; (ⅱ) 애퍼쳐들(126)을 갖는 컬럼들의 개수; 및 (ⅲ) 가스 주입 인서트(124) 자체의 두께는, 제1 및 제2 플레넘(129, 120)(즉, 내측 구역(171) 및 외측 구역(172))으로부터 제3 플레넘(131)으로 유동하고 최종적으로 기판(140)을 향하여 방사상으로 유동하는 가스 혼합물의 유량에 기초하여 선택될 수 있다.
도 5는 가변 크기의 복수의 애퍼쳐(126)를 갖는 가스 주입 인서트(500)의 확대 저부도이다. 일 실시예에서, 가스 주입 인서트(500)의 애퍼쳐들(126)은 가스 유동 경사(gas flow gradient)를 형성하기 위해 크기가 변한다. 예를 들어, 애퍼쳐들(126)은, 가스 주입 인서트(500)의 하나의 단부에서, 가스 주입 인서트(500)의 다른 단부보다 더 넓은 표면적을 가질 수 있다. 일 실시예에서, 애퍼쳐들(126)은 2개의 컬럼으로 형성된다. 각각의 컬럼은 (도 5에 도시된 바와 같이) 약 50개의 애퍼쳐(126)를 갖는다. 각각의 컬럼에서의 애퍼쳐들(126)은 가스 주입 인서트(500)의 제1 단부(502)로부터 가스 주입 인서트(500)의 대향하는 제2 단부(504)로 가면서 크기가 점진적으로 증가한다. 일 실시예에서, 애퍼쳐들(126)은 약 0.34mm의 반경으로부터 약 1.98mm의 반경으로, 예를 들어 약 0.44mm의 반경으로부터 약 0.98mm의 반경으로 증가한다.
도 1 및 도 5를 참조하면, 가스 주입 인서트(500)는 반사기 플레이트(118)에 배치되고, 그에 의해 애퍼쳐들(126)의 각각의 컬럼, 예를 들어 약 50개의 애퍼쳐(126)를 각각 갖는 2개의 컬럼은 제1 및 제2 플레넘(129, 120) 둘 다의 길이에 걸쳐진다. 이와 같이, 각각의 컬럼에서의 애퍼쳐들(126)의 약 첫번째 절반, 즉 약 25개의 애퍼쳐(126)는 내측 구역(171)의 일부로서 제1 플레넘(129)의 길이에 걸쳐진다. 각각의 컬럼에서의 애퍼쳐들(126)의 두번째 절반, 즉 약 25개의 애퍼쳐(126)는 외측 구역(172)의 일부로서 제2 플레넘(120)의 길이에 걸쳐진다.
가스 혼합물의 퇴적이 기판(140)의 에지들에서보다 기판(140)의 중심에서 더 높을 수 있는 동작의 일 실시예에서, 가스 혼합물의 2개의 분리된 용적 유량이 제1 및 제2 가스 주입 포트(138, 128)에 제공될 수 있다. 예를 들어, 일 실시예에서, 전체 가스 혼합물은 샤워헤드 어셈블리(127)를 통해 약 2 slm 또는 약 5 slm으로 제공된다.
일 실시예에서, 기판(140) 상에서 중심이 높은 퇴적(center-high deposition)을 감소시키기 위해서, 제1 플레넘(129)을 통해 유동하는 가스 혼합물은 제2 플레넘(120)을 통해 유동하는 가스 혼합물보다 더 느린 유량을 갖는다. 예를 들어, 가스 혼합물은 약 0.69 slm 또는 약 1.71 slm으로 제1 가스 주입 포트(138)를 통해 제1 플레넘(129) 내로 제공된다(즉, 내측 구역(171)). 다음으로, 가스 혼합물은 약 1.31 slm 또는 약 3.29 slm으로 제2 가스 주입 포트(128)를 통해 제2 플레넘(120) 내로 제공된다(즉, 외측 구역(172)). 제1 플레넘(129)이 제2 플레넘(120)보다 기판(140)의 중심에 더 가깝게 배치되므로, 기판(140) 상에서의 중심이 높은 퇴적을 처리하기 위해서, 가스 주입 인서트(500)의 제1 단부(502)(더 작은 애퍼쳐(126) 크기를 가짐)가 제1 플레넘(129) 아래에 배치된다. 이에 반해, 제2 플레넘(120)은 제1 플레넘(129)보다 기판(140)의 에지에 더 가깝게 배치되므로, 가스 주입 인서트(500)의 제2 단부(504)(더 큰 애퍼쳐(126) 크기를 가짐)가 제2 플레넘(120) 아래에 배치된다. 이와 같이, 기판(140)의 중심과 에지들 사이에 고른 가스 혼합물 유량을 생성하고, 그에 따라 기판(140)에 걸쳐 전체적으로 균일한 퇴적을 촉진하기 위해서, 샤워헤드 어셈블리(127)를 통한 전체 가스 혼합물 유량은 유리하게도 위에서 개시된 내측 및 외측 구역(171, 172)을 통해 개별적으로 제어 및 튜닝될 수 있다.
전술한 것은 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 다른 실시예들 및 추가 실시예들은 그것의 기본 범위로부터 벗어나지 않고서 고안될 수 있으며, 그것의 범위는 이하의 청구항들에 의해 결정된다.

Claims (20)

  1. 처리 챔버로서,
    처리 동안 기판을 회전시키도록 구성된 기판 지지체; 및
    상기 기판 지지체 위에 배치된 샤워헤드 어셈블리
    를 포함하고,
    상기 샤워헤드 어셈블리는:
    제1 가스 주입 포트 및 제2 가스 주입 포트가 관통하여 배치되어 있는 반사기 플레이트;
    상기 반사기 플레이트에 배치되고 방사상으로 배향되는 적어도 2개의 가스 주입 인서트 - 각각의 가스 주입 인서트는 제1 복수의 애퍼쳐를 가짐 -; 및
    적어도 2개의 배플 플레이트 - 각각의 배플 플레이트는 대응하는 가스 주입 인서트에 배치되고 제2 복수의 애퍼쳐를 갖고, 각각의 배플 플레이트의 제1 부분과 상기 반사기 플레이트 사이에 제1 플레넘이 형성되고, 각각의 배플 플레이트의 제2 부분과 상기 반사기 플레이트 사이에 제2 플레넘이 형성되고, 상기 제1 플레넘은 상기 반사기 플레이트의 벽에 의해 상기 제2 플레넘으로부터 분리되고, 상기 제1 복수의 애퍼쳐와 상기 제2 복수의 애퍼쳐는 축 방향으로 정렬되지 않음 -
    를 포함하는, 처리 챔버.
  2. 제1항에 있어서,
    상기 제1 플레넘은 상기 제2 플레넘과 동심(concentric)인, 처리 챔버.
  3. 제1항에 있어서,
    상기 샤워헤드는 각각의 배플 플레이트와 상기 대응하는 가스 주입 인서트 사이에 형성된 제3 플레넘을 더 포함하는, 처리 챔버.
  4. 제3항에 있어서,
    상기 제3 플레넘은, 상기 제2 복수의 애퍼쳐를 통해 상기 제1 플레넘 및 상기 제2 플레넘과 유체 소통하는, 처리 챔버.
  5. 제4항에 있어서,
    상기 제1 복수의 애퍼쳐는 하나 이상의 컬럼으로 정렬되는, 처리 챔버.
  6. 제5항에 있어서,
    상기 제1 복수의 애퍼쳐는 각각의 가스 주입 인서트의 제1 단부로부터 각각의 가스 주입 인서트의 제2 단부로 가면서 직경이 증가하는, 처리 챔버.
  7. 샤워헤드 어셈블리로서,
    제1 가스 주입 포트 및 제2 가스 주입 포트가 관통하여 배치되어 있는 반사기 플레이트;
    상기 반사기 플레이트에 배치되고 방사상으로 배향되는 적어도 2개의 가스 주입 인서트 - 각각의 가스 주입 인서트는 제1 복수의 애퍼쳐를 가짐 -; 및
    적어도 2개의 배플 플레이트 - 각각의 배플 플레이트는 대응하는 가스 주입 인서트에 배치되고 제2 복수의 애퍼쳐를 갖고, 각각의 배플 플레이트의 제1 부분과 상기 반사기 플레이트 사이에 제1 플레넘이 정의되고, 각각의 배플 플레이트의 제2 부분과 상기 반사기 플레이트 사이에 제2 플레넘이 정의되고, 상기 제1 플레넘은 상기 반사기 플레이트의 벽에 의해 상기 제2 플레넘으로부터 분리되고, 상기 제1 복수의 애퍼쳐는 상기 제2 복수의 애퍼쳐와 축 방향으로 정렬되지 않고, 각각의 배플 플레이트와 상기 대응하는 가스 주입 인서트 사이에 가스 혼합 플레넘이 정의되고, 상기 가스 혼합 플레넘은 상기 제2 복수의 애퍼쳐를 통해 상기 제1 플레넘 및 상기 제2 플레넘과 유체 소통함 -
    를 포함하는, 샤워헤드 어셈블리.
  8. 제7항에 있어서,
    상기 제1 플레넘은 상기 제2 플레넘과 동심인, 샤워헤드 어셈블리.
  9. 제7항에 있어서,
    상기 가스 주입 인서트의 상기 제1 복수의 애퍼쳐는 선형으로 배열되는, 샤워헤드 어셈블리.
  10. 제9항에 있어서,
    상기 제1 복수의 애퍼쳐의 각각의 애퍼쳐의 직경은 각각의 가스 주입 인서트의 제1 단부로부터 각각의 가스 주입 인서트의 제2 단부로 가면서 증가하는, 샤워헤드 어셈블리.
  11. 샤워헤드 어셈블리로서,
    제1 가스 주입 포트 및 제2 가스 주입 포트가 관통하여 배치되어 있는 반사기 플레이트;
    상기 반사기 플레이트에 배치되고 방사상으로 배향되는 적어도 2개의 가스 주입 인서트 - 각각의 가스 주입 인서트는 타원형 형상을 갖고, 각각의 가스 주입 인서트는 제1 복수의 애퍼쳐를 더 갖고, 상기 제1 복수의 애퍼쳐는 40개와 60개 사이의 애퍼쳐를 각각 갖는 하나 이상의 컬럼으로 정렬되고, 각각의 애퍼쳐의 반경은 0.25 mm와 1.98mm 사이임 -; 및
    적어도 2개의 배플 플레이트 - 각각의 배플 플레이트는 대응하는 가스 주입 인서트에 배치되고 제2 복수의 애퍼쳐를 갖고, 각각의 배플 플레이트의 제1 부분과 상기 반사기 플레이트 사이에 제1 플레넘이 형성되고, 각각의 배플 플레이트의 제2 부분과 상기 반사기 플레이트 사이에 제2 플레넘이 형성되고, 상기 제1 플레넘은 상기 반사기 플레이트의 벽에 의해 상기 제2 플레넘으로부터 분리되고, 상기 제1 복수의 애퍼쳐와 상기 제2 복수의 애퍼쳐는 축 방향으로 정렬되지 않고, 각각의 배플 플레이트와 상기 대응하는 가스 주입 인서트 사이에 가스 혼합 플레넘이 정의되고, 상기 가스 혼합 플레넘은 상기 제2 복수의 애퍼쳐를 통해 상기 제1 플레넘 및 상기 제2 플레넘과 유체 소통함 -
    를 포함하는, 샤워헤드 어셈블리.
  12. 제1항에 있어서,
    상기 가스 주입 인서트들은 상기 반사기 플레이트 주위에서 서로로부터 동일한 각도 거리에 배치되는, 처리 챔버.
  13. 제1항에 있어서,
    상기 반사기 플레이트를 둘러싸는 냉각 플레이트를 더 포함하는, 처리 챔버.
  14. 제1항에 있어서,
    각각의 가스 주입 인서트는 타원형 형상을 갖는, 처리 챔버.
  15. 제14항에 있어서,
    각각의 배플 플레이트는 타원형 형상을 갖는, 처리 챔버.
  16. 제1항에 있어서,
    상기 제1 복수의 애퍼쳐는 2개의 컬럼으로 배치되고, 상기 제2 복수의 애퍼쳐는 단일 컬럼으로 배치되며, 상기 제1 복수의 애퍼쳐는 각각의 가스 주입 인서트의 제1 단부로부터 각각의 가스 주입 인서트의 제2 단부로 가면서 직경이 증가하는, 처리 챔버.
  17. 제2항에 있어서,
    상기 샤워헤드는 각각의 배플 플레이트와 상기 대응하는 가스 주입 인서트 사이에 형성된 제3 플레넘을 더 포함하는, 처리 챔버.
  18. 제7항에 있어서,
    상기 제1 복수의 애퍼쳐의 애퍼쳐들은 상기 제2 복수의 애퍼쳐의 애퍼쳐들보다 개수가 더 많은, 샤워헤드 어셈블리.
  19. 제7항에 있어서,
    상기 제1 복수의 애퍼쳐의 각각의 애퍼쳐는 0.25 mm와 1.52 mm 사이의 반경을 갖는, 샤워헤드 어셈블리.
  20. 제7항에 있어서,
    상기 제1 복수의 애퍼쳐의 각각의 애퍼쳐는 0.34 mm와 1.98 mm 사이의 반경을 갖는, 샤워헤드 어셈블리.
KR1020227011992A 2014-05-16 2015-04-20 샤워헤드 설계 KR102451499B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201461994584P 2014-05-16 2014-05-16
US61/994,584 2014-05-16
PCT/US2015/026687 WO2015175163A1 (en) 2014-05-16 2015-04-20 Showerhead design
KR1020167034416A KR102386812B1 (ko) 2014-05-16 2015-04-20 샤워헤드 설계

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020167034416A Division KR102386812B1 (ko) 2014-05-16 2015-04-20 샤워헤드 설계

Publications (2)

Publication Number Publication Date
KR20220048058A true KR20220048058A (ko) 2022-04-19
KR102451499B1 KR102451499B1 (ko) 2022-10-06

Family

ID=54480416

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020167034416A KR102386812B1 (ko) 2014-05-16 2015-04-20 샤워헤드 설계
KR1020227011992A KR102451499B1 (ko) 2014-05-16 2015-04-20 샤워헤드 설계

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020167034416A KR102386812B1 (ko) 2014-05-16 2015-04-20 샤워헤드 설계

Country Status (6)

Country Link
US (2) US10221483B2 (ko)
KR (2) KR102386812B1 (ko)
CN (2) CN110724938B (ko)
SG (2) SG10201810178TA (ko)
TW (2) TWI662993B (ko)
WO (1) WO2015175163A1 (ko)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG10201810178TA (en) 2014-05-16 2018-12-28 Applied Materials Inc Showerhead design
US9384949B2 (en) * 2014-08-08 2016-07-05 Taiwan Semiconductor Manufacturing Co., Ltd Gas-flow control method for plasma apparatus
US10253412B2 (en) * 2015-05-22 2019-04-09 Lam Research Corporation Deposition apparatus including edge plenum showerhead assembly
KR102477302B1 (ko) * 2015-10-05 2022-12-13 주성엔지니어링(주) 배기가스 분해기를 가지는 기판처리장치 및 그 배기가스 처리방법
US10233543B2 (en) 2015-10-09 2019-03-19 Applied Materials, Inc. Showerhead assembly with multiple fluid delivery zones
US10954596B2 (en) * 2016-12-08 2021-03-23 Applied Materials, Inc. Temporal atomic layer deposition process chamber
KR102560283B1 (ko) * 2018-01-24 2023-07-26 삼성전자주식회사 샤워 헤드를 설계하고 제조하는 장치 및 방법
JP7082514B2 (ja) * 2018-04-04 2022-06-08 株式会社Kelk 流体加熱装置
US10943768B2 (en) * 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
KR102576220B1 (ko) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 박막 처리 장치 및 박막 처리 방법
KR102204026B1 (ko) * 2018-07-06 2021-01-18 주식회사 케이에스엠컴포넌트 세라믹 샤워 헤드 및 그를 구비한 화학 기상 증착 장치
JP7044900B2 (ja) * 2018-11-14 2022-03-30 株式会社アルバック 真空加熱装置、リフレクタ装置
KR102641752B1 (ko) * 2018-11-21 2024-03-04 삼성전자주식회사 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법
US20220136107A1 (en) * 2019-01-31 2022-05-05 Lam Research Corporation Showerhead with configurable gas outlets
CN112922935B (zh) * 2019-12-05 2023-06-30 中微半导体设备(上海)股份有限公司 连接结构和等离子体处理装置
CN114086155B (zh) * 2022-01-18 2022-04-15 北京中科重仪半导体科技有限公司 气体喷头
WO2024085913A1 (en) * 2022-10-21 2024-04-25 Applied Materials, Inc. Process chamber with reflector

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19980045169A (ko) * 1996-12-09 1998-09-15 김광호 반도체 제조장치의 샤워헤드 및 그 제조방법
KR19990065416A (ko) * 1998-01-13 1999-08-05 윤종용 샤워 헤드를 포함하는 반도체장치 제조용 챔버 장비
US6132512A (en) * 1997-01-08 2000-10-17 Ebara Corporation Vapor-phase film growth apparatus and gas ejection head
KR20040058819A (ko) * 2002-12-27 2004-07-05 삼성전자주식회사 파티클 발생률을 줄이는 반도체 제조 설비용 샤워헤드

Family Cites Families (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05175135A (ja) * 1991-10-03 1993-07-13 Ulvac Japan Ltd 光cvd装置
GB9411911D0 (en) * 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
DE29517100U1 (de) * 1995-10-17 1997-02-13 Zimmer Johannes Strömungsteilungs- und -umformungskörper
TW315493B (en) * 1996-02-28 1997-09-11 Tokyo Electron Co Ltd Heating apparatus and heat treatment apparatus
KR100492258B1 (ko) * 1996-10-11 2005-09-02 가부시키가이샤 에바라 세이사꾸쇼 반응가스분출헤드
EP0854210B1 (en) * 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
GB9712400D0 (en) * 1997-06-16 1997-08-13 Trikon Equip Ltd Shower head
JPH1154496A (ja) * 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6399484B1 (en) * 1998-10-26 2002-06-04 Tokyo Electron Limited Semiconductor device fabricating method and system for carrying out the same
US6499425B1 (en) * 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6228438B1 (en) * 1999-08-10 2001-05-08 Unakis Balzers Aktiengesellschaft Plasma reactor for the treatment of large size substrates
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
WO2001075188A2 (en) * 2000-03-30 2001-10-11 Tokyo Electron Limited Method of and apparatus for gas injection
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
KR100406174B1 (ko) * 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
AU2002221122A1 (en) * 2000-12-12 2002-06-24 Tokyo Electron Limited Thin film forming method and thin film forming device
KR100516844B1 (ko) * 2001-01-22 2005-09-26 동경 엘렉트론 주식회사 처리 장치 및 처리 방법
KR100427996B1 (ko) * 2001-07-19 2004-04-28 주식회사 아이피에스 박막증착용 반응용기 및 그를 이용한 박막증착방법
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
JP4128383B2 (ja) * 2002-03-27 2008-07-30 東京エレクトロン株式会社 処理装置及び処理方法
US20040050326A1 (en) * 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US20040052969A1 (en) * 2002-09-16 2004-03-18 Applied Materials, Inc. Methods for operating a chemical vapor deposition chamber using a heated gas distribution plate
US7199061B2 (en) * 2003-04-21 2007-04-03 Applied Materials, Inc. Pecvd silicon oxide thin film deposition
US7449220B2 (en) * 2004-04-30 2008-11-11 Oc Oerlikon Blazers Ag Method for manufacturing a plate-shaped workpiece
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US7622005B2 (en) * 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
US7572337B2 (en) * 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
KR100634451B1 (ko) * 2005-01-10 2006-10-16 삼성전자주식회사 반도체 소자 제조 장치
US7674393B2 (en) * 2005-03-25 2010-03-09 Tokyo Electron Limited Etching method and apparatus
JP4509864B2 (ja) * 2005-05-30 2010-07-21 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
JP4749785B2 (ja) * 2005-07-19 2011-08-17 東京エレクトロン株式会社 ガス処理装置
WO2007016013A2 (en) * 2005-07-27 2007-02-08 Applied Materials, Inc. Unique passivation technique for a cvd blocker plate to prevent particle formation
KR100687010B1 (ko) * 2005-12-26 2007-02-26 세메스 주식회사 저온을 이용한 탄소나노튜브 합성 장치
US8088248B2 (en) * 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
KR20070081648A (ko) * 2006-02-13 2007-08-17 삼성전자주식회사 반도체 소자 제조 설비
US8475625B2 (en) * 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
JP5045000B2 (ja) * 2006-06-20 2012-10-10 東京エレクトロン株式会社 成膜装置、ガス供給装置、成膜方法及び記憶媒体
US20080078746A1 (en) * 2006-08-15 2008-04-03 Noriiki Masuda Substrate processing system, gas supply unit, method of substrate processing, computer program, and storage medium
KR100849929B1 (ko) * 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
US20080081114A1 (en) * 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
JP5036274B2 (ja) * 2006-10-30 2012-09-26 大日本スクリーン製造株式会社 熱処理装置および熱処理方法
JP2008243937A (ja) * 2007-03-26 2008-10-09 Tokyo Electron Ltd 基板処理装置及び基板処理方法
US20080236495A1 (en) 2007-03-27 2008-10-02 Structured Materials Inc. Showerhead for chemical vapor deposition (CVD) apparatus
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US7942969B2 (en) * 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
JP5008478B2 (ja) * 2007-06-27 2012-08-22 東京エレクトロン株式会社 基板処理装置およびシャワーヘッド
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
JP5192214B2 (ja) * 2007-11-02 2013-05-08 東京エレクトロン株式会社 ガス供給装置、基板処理装置および基板処理方法
US8628616B2 (en) * 2007-12-11 2014-01-14 Sumitomo Electric Industries, Ltd. Vapor-phase process apparatus, vapor-phase process method, and substrate
US7655564B2 (en) 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
US20090211707A1 (en) * 2008-02-22 2009-08-27 Hermes Systems Inc. Apparatus for gas distribution and its applications
US8066895B2 (en) * 2008-02-28 2011-11-29 Applied Materials, Inc. Method to control uniformity using tri-zone showerhead
JP2009224455A (ja) * 2008-03-14 2009-10-01 Tokyo Electron Ltd 平面アンテナ部材およびこれを備えたプラズマ処理装置
US8111978B2 (en) * 2008-07-11 2012-02-07 Applied Materials, Inc. Rapid thermal processing chamber with shower head
US8808456B2 (en) * 2008-08-29 2014-08-19 Tokyo Electron Limited Film deposition apparatus and substrate process apparatus
US8207470B2 (en) * 2008-10-20 2012-06-26 Industry-University Cooperation Foundation Hanyang University Apparatus for generating remote plasma
US9714465B2 (en) * 2008-12-01 2017-07-25 Applied Materials, Inc. Gas distribution blocker apparatus
US20110048325A1 (en) * 2009-03-03 2011-03-03 Sun Hong Choi Gas Distribution Apparatus and Substrate Processing Apparatus Having the Same
KR101064210B1 (ko) * 2009-06-01 2011-09-14 한국생산기술연구원 막증착 진공장비용 샤워헤드
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
US20110065276A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110061810A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US9112088B2 (en) * 2010-04-22 2015-08-18 Kyocera Corporation Method for manufacturing a thin-film solar cell using a plasma between parallel electrodes
US9441295B2 (en) * 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
JP5697389B2 (ja) * 2010-09-27 2015-04-08 東京エレクトロン株式会社 プラズマエッチング用の電極板及びプラズマエッチング処理装置
WO2012122054A2 (en) * 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
TWI534291B (zh) * 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
DE102011056589A1 (de) * 2011-07-12 2013-01-17 Aixtron Se Gaseinlassorgan eines CVD-Reaktors
US8960235B2 (en) * 2011-10-28 2015-02-24 Applied Materials, Inc. Gas dispersion apparatus
US9121097B2 (en) * 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
US9416450B2 (en) * 2012-10-24 2016-08-16 Applied Materials, Inc. Showerhead designs of a hot wire chemical vapor deposition (HWCVD) chamber
US10316409B2 (en) * 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US9399228B2 (en) * 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
JP6007143B2 (ja) * 2013-03-26 2016-10-12 東京エレクトロン株式会社 シャワーヘッド、プラズマ処理装置、及びプラズマ処理方法
TWI615503B (zh) * 2013-11-26 2018-02-21 應用材料股份有限公司 用於減少快速熱處理的污染之影響的設備
JP6542245B2 (ja) * 2014-02-14 2019-07-10 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 射出アセンブリ付きの上方ドーム
SG10201810178TA (en) * 2014-05-16 2018-12-28 Applied Materials Inc Showerhead design
US20150348755A1 (en) * 2014-05-29 2015-12-03 Charm Engineering Co., Ltd. Gas distribution apparatus and substrate processing apparatus including same
US20160033070A1 (en) * 2014-08-01 2016-02-04 Applied Materials, Inc. Recursive pumping member
KR20170055506A (ko) * 2014-09-08 2017-05-19 어플라이드 머티어리얼스, 인코포레이티드 벌집형 다중 구역 가스 분배 플레이트
US9758868B1 (en) * 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19980045169A (ko) * 1996-12-09 1998-09-15 김광호 반도체 제조장치의 샤워헤드 및 그 제조방법
US6132512A (en) * 1997-01-08 2000-10-17 Ebara Corporation Vapor-phase film growth apparatus and gas ejection head
KR19990065416A (ko) * 1998-01-13 1999-08-05 윤종용 샤워 헤드를 포함하는 반도체장치 제조용 챔버 장비
KR20040058819A (ko) * 2002-12-27 2004-07-05 삼성전자주식회사 파티클 발생률을 줄이는 반도체 제조 설비용 샤워헤드

Also Published As

Publication number Publication date
SG10201810178TA (en) 2018-12-28
KR20170005072A (ko) 2017-01-11
TW201600174A (zh) 2016-01-01
KR102386812B1 (ko) 2022-04-15
CN110724938B (zh) 2022-02-22
TW201936266A (zh) 2019-09-16
US10626500B2 (en) 2020-04-21
CN106463344A (zh) 2017-02-22
US20150329966A1 (en) 2015-11-19
TWI662993B (zh) 2019-06-21
SG11201608640QA (en) 2016-11-29
CN110724938A (zh) 2020-01-24
US20190194810A1 (en) 2019-06-27
WO2015175163A1 (en) 2015-11-19
KR102451499B1 (ko) 2022-10-06
US10221483B2 (en) 2019-03-05
CN106463344B (zh) 2019-10-11
TWI693100B (zh) 2020-05-11

Similar Documents

Publication Publication Date Title
KR102451499B1 (ko) 샤워헤드 설계
KR102455368B1 (ko) 처리 챔버를 위한 개선된 측면 주입 노즐 설계
KR101677438B1 (ko) 향상된 가스 유동 분포를 가진 열 반응기
TWI444554B (zh) 具有增加的流動均勻度之狹縫閥
US20080178797A1 (en) Processing chamber with heated chamber liner
KR102555394B1 (ko) 개선된 반각 노즐
CN106605287B (zh) 用于epi腔室的注射插件
US20140237840A1 (en) Tunable gas delivery assembly with internal diffuser and angular injection
KR102542189B1 (ko) 더 양호한 웨이퍼 균일성을 위한 비대칭 주입
JP2006324610A (ja) 基板処理装置及び基板処理方法
JP7387794B2 (ja) 遠隔プラズマ酸化チャンバ用ドッグボーン入口錐体輪郭
US20150368830A1 (en) One-piece injector assembly and one-piece exhaust liner
KR102459367B1 (ko) 에피 챔버를 위한 라이너
KR20170055506A (ko) 벌집형 다중 구역 가스 분배 플레이트
KR20150091823A (ko) 탄화규소 써멀 화학기상증착장치의 가스반응로
KR102495469B1 (ko) 일괄 처리 챔버

Legal Events

Date Code Title Description
A107 Divisional application of patent
E701 Decision to grant or registration of patent right