TW201600174A - 噴頭設計 - Google Patents

噴頭設計 Download PDF

Info

Publication number
TW201600174A
TW201600174A TW104113077A TW104113077A TW201600174A TW 201600174 A TW201600174 A TW 201600174A TW 104113077 A TW104113077 A TW 104113077A TW 104113077 A TW104113077 A TW 104113077A TW 201600174 A TW201600174 A TW 201600174A
Authority
TW
Taiwan
Prior art keywords
gas injection
holes
reflector plate
baffle
injection insert
Prior art date
Application number
TW104113077A
Other languages
English (en)
Other versions
TWI662993B (zh
Inventor
薛卡提克
普羅薩德齊艾坦亞A
鮑提斯塔凱文J
托比恩傑弗瑞
凱爾卡尤梅西M
華瑞恰克拉拉
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201600174A publication Critical patent/TW201600174A/zh
Application granted granted Critical
Publication of TWI662993B publication Critical patent/TWI662993B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)

Abstract

本發明所述的實施例係關於具有反射器板的噴頭,反射器板帶有用於徑向分配氣體的氣體注入插件。在一個實施例中,噴頭組件包括反射器板與氣體注入插件。反射器板包括至少一個氣體注入埠。氣體注入插件設置於反射器板中,及包括複數個孔。氣體注入插件亦包括設置於氣體注入插件中的擋板,其中擋板亦包括複數個孔。第一氣室形成於擋板的第一部分與反射器板之間,及第二氣室形成於擋板的第二部分與反射器板之間。氣體注入插件的複數個孔與擋板的複數個孔不係軸向對齊。

Description

噴頭設計
本發明揭露的實施例一般係關於具有反射器板的噴頭設計,反射器板帶有用於徑向分配氣體的氣體注入插件。
半導體基板經處理用於各式各樣的應用,包括積體元件與微元件的製造。處理基板的一個方法包括將氧自由基沉積於基板的上表面上。例如,加州聖塔克拉拉的應用材料公司(Applied Materials,Inc.,of Santa Clara,California)提供以燈加熱基板並將氫與氧注入處理腔室的RadOx®製程。當氣體撞擊基板表面而在基板上形成一層時,氣體形成自由基,如氧自由基形成二氧化矽層於矽基板上。
用於將氧自由基沉積在300mm基板上的當前處理腔室噴頭限制了沉積控制,而導致不良的處理均勻度。例如,對於氧自由基沉積的低處理腔室壓力要求及當前的噴頭設計導致氣體以高速到達基板。氣體的高速導致撞擊在基板上及使得氣體無法充分地被加熱。在另一方面,燃燒產生的氧自由基快速重新組合而產生氧自由基的短生命週期。因此,因氣體的高速與結合氧自由基的短生命週期而產生受限的沉積 控制導致在基板的中心處較多的沉積,以及在基板邊緣處不良的沉積。
因此,對於提供在整個基板(即從中心到邊緣)更均勻的沉積之沉積控制的改良噴頭設計係有其需求的。
在一個實施例中,本發明揭露一種噴頭組件。該噴頭組件包括反射器板與氣體注入插件。反射器板具有至少一個氣體注入埠,至少一個氣體注入埠穿過反射器板而設置。氣體注入插件設置於反射器板中。氣體注入插件具有複數個孔。氣體注入插件包括擋板。擋板設置於氣體注入插件中。擋板具有複數個孔。第一氣室於擋板的第一部分與反射器板之間形成。第二氣室於擋板的第二部分與反射器板之間形成。氣體注入插件的複數個孔與擋板的複數個孔不係軸向對齊。
在另一個實施例中,本發明揭露一種處理腔室。該處理腔室包括基板支撐件與噴頭組件。基板支撐件經配置而在處理期間轉動基板。噴頭組件設置於基板支撐件上。噴頭組件包括反射器板與氣體注入插件。反射器板具有第一氣體注入埠與第二氣體注入埠,第一氣體注入埠與第二氣體注入埠穿過反射器板而設置。氣體注入插件設置於反射器板中。氣體注入插件具有複數個孔。氣體注入插件包括至少兩擋板,至少兩擋板徑向設置於反射器板中心附近的氣體注入插件中。各擋板具有複數個孔。第一氣室於擋板的第一部分與反射器板之間形成。第二氣室於擋板的第二部分與反射器板 之間形成。第一氣室藉由反射器板的壁而與第二氣室分隔。氣體注入插件的複數個孔與擋板的複數個孔不係軸向對齊。
在又另一個實施例中,本發明揭露一種噴頭組件。該噴頭組件包括反射器板與氣體注入插件。反射器板具有第一氣體注入埠與第二氣體注入埠,第一氣體注入埠與第二氣體注入埠穿過反射器板而設置,以將氣體傳送至第一氣室與第二氣室。氣體注入插件設置於反射器板中,設置於第一與第二氣室之下。氣體注入插件包括複數個孔。孔的數量與尺寸係基於流動通過第一與第二氣體注入埠的氣體之流動速率而選擇。氣體注入插件包括設置於氣體注入插件中的擋板。擋板暴露於第一與第二氣室。擋板經配置而減少流動通過第一與第二氣室的氣體之流動速率。
100‧‧‧處理腔室
102‧‧‧腔室主體
104‧‧‧磁懸浮基板支撐件
106‧‧‧輻射熱源
108‧‧‧壁
114‧‧‧窗
115‧‧‧冷卻板
116‧‧‧蓋部
117‧‧‧孔
118‧‧‧反射器板
120‧‧‧第二氣室
121‧‧‧內部空間
122‧‧‧擋板
123‧‧‧第一氣源
124‧‧‧氣體注入插件
125‧‧‧第二氣源
126‧‧‧孔
127‧‧‧噴頭組件
128‧‧‧第二氣體注入埠
129‧‧‧第一氣室
130‧‧‧磁性環部分
131‧‧‧第三氣室
132‧‧‧支撐部分
134‧‧‧支撐環
138‧‧‧第一氣室注入埠
140‧‧‧基板
144‧‧‧升舉銷
148‧‧‧出入口
160‧‧‧蜂巢管
161‧‧‧冷卻組件
164‧‧‧控制系統
171‧‧‧內部區域
172‧‧‧外部區域
183‧‧‧冷卻源
190‧‧‧控制器
202‧‧‧內邊緣
290‧‧‧螺絲
294‧‧‧螺絲孔
400‧‧‧氣體注入插件
500‧‧‧氣體注入插件
502‧‧‧第一端
504‧‧‧第二端
本發明揭露之特徵已簡要概述於前,並在以下有更詳盡之討論,可以藉由參考所附圖式中繪示之本發明實施例以作瞭解。然而,值得注意的是,所附圖式只繪示了本發明揭露的典型實施例,而由於本發明可允許其他等效之實施例,所附圖式並不會視為本發明範圍之限制。
第1圖係根據揭露的一個實施例之處理腔室的概要示意圖。
第2A圖根據揭露的一個實施例繪示噴頭組件的放大示意圖。
第2B圖根據揭露的一個實施例繪示具有設置於擋板的氣體注入插件之擋板的截面放大圖。
第3圖根據揭露的一個實施例繪示反射器板的放大底視圖。
第4圖根據揭露的一個實施例繪示氣體注入插件的放大頂視圖。
第5圖根據揭露的一個實施例繪示氣體注入插件的放大底視圖。
為便於理解,在可能的情況下,使用相同的數字編號代表圖示中相同的元件。可以預期,一個實施例中的元件與特徵可有利地用於其它實施例中而無需贅述。
第1圖根據揭露的一個實施例繪示快速熱處理腔室100的概要示意圖。雖然本發明揭露之細節所述係用於快速熱處理腔室內,但是本發明所述的實施例可用於對均勻沉積有所需求的其他處理系統與裝置,如其他沉積腔室及蝕刻腔室。
處理腔室100包括無接觸或磁懸浮基板支撐件104及腔室主體102。腔室主體102具有側壁108、底壁110及頂壁112。側壁108、底壁110及頂壁112界定內部空間121。頂壁112包括具有噴頭組件127的蓋部116。側壁108通常包括至少一個基板出入口148。基板出入口148利於基板140進入與離開。處理腔室100亦可包括設置於基板支撐件104的內直徑中的輻射熱源106。
基板支撐件104經調整而將內部空間121內的基板(未圖示)磁懸浮或旋轉。基板支撐件104包括環狀主體199。環狀主體199包括磁性環部分130及基板支撐部分132。 支撐部分132自磁性環部分130的上表面延伸以支撐支撐環134。支撐環134利於校準並提供用於基板140的座表面。
處理腔室100亦包括窗114,窗114由對各式波長的熱與光透明的材料製成。各式波長可包括紅外線(IR)光譜中的光,來自輻射熱源106的光子可穿過紅外線光譜加熱基板140。窗114亦可包括複數個升舉銷144。升舉銷144經調整而選擇性地接觸與支撐基板140以利於基板140出入處理腔室100的傳送。
在一個實施例中,輻射熱源106包括由殼體形成的燈組件。殼體包括冷卻組件161中的複數個蜂巢管160。蜂巢管160與冷卻源183耦接。
大氣控制系統164亦耦接至腔室主體102的內部空間121。大氣控制系統164一般包括用於控制腔室壓力的節流閥及真空泵。
噴頭組件127經配置而將一或多個氣體傳送到基板140。噴頭組件127包括設置於蓋部116之下的反射器板118。反射器板118面向基板支撐件104。反射器板118經配置而將自基板140輻射出的IR光反射回基板140上。冷卻板115可選擇性地設置於反射器板118附近並外接反射器板118以冷卻反射器板118。
在一個實施例中,噴頭組件127包括穿過蓋部116與反射器板118的至少一第一氣體注入埠138與一第二氣體注入埠128。噴頭組件127的第一氣體注入埠138與第二氣體注入埠128之放大概要示意圖可見於第2A圖。第一氣體注入 埠138經配置而將氣體以一般徑向向內的方向從第一氣體源123注入至第一氣室129。第二氣體注入埠128經配置而將氣體以一般徑向向內的方向從第二氣體源125注入至第二氣室120。第一與第二氣室129、120於反射器板118中形成。第一氣室129暴露於第一氣體注入埠138。第二氣室120暴露於第二氣體注入埠128。
在一個實施例中,第一氣體注入埠138(用於提供氣體給第一氣室129)位於反射器板118的第二個四分之一(quarter)中。例如,第一氣體注入埠138位於距離反射器板118的中心約30mm至約40mm之間。在一個實施例中,第二氣體注入埠128(用於提供氣體給第二氣室120)位於反射器板118的第一個四分之一中。例如,第二氣體注入埠128位於距離反射器板118的中心約112mm至約122mm之間。在一個實施例中,第一與第二氣體注入埠138、128各具有約1mm至約10mm的直徑,例如,約5mm或約5.1mm。
在一個實施例中,第一氣體源123供應氧氣(O2)及第二氣體源125供應氫氣(H2)。因此,氧氣與氫氣混合物(O2/H2)供應至第一與第二氣室129、120。在一個實施例中,氣體混合物係介於約23百分比至約43百分比的氫氣,及介於約57百分比至約77百分比的氧氣,例如,約33百分比的氫氣與約67百分比的氧氣。流動通過第一氣室129的氣體混合物形成噴頭組件127中的內部區域171。流動通過第二氣室120的氣體混合物形成噴頭組件127中的外部區域172。噴頭組件127中分隔與不同的內部與外部區域171、172有利 地允許氣體混合物根據處理需求在沉積於基板140上之前被控制與調整。
反射器板118亦包括設置於反射器板118中的一或多個氣體注入插件124。第3圖繪示反射器板118的放大底視圖。在一個實施例中,反射器板118包括一個氣體注入插件124,兩個氣體注入插件124,兩個氣體注入插件124的各者以約每180度繞反射器板118設置(如第1圖所示)或四個氣體注入插件124,四個氣體注入插件124的各者以約每90度繞反射器板118設置(如第3圖所示)。擋板122藉由複數個螺絲290與反射器板118耦接。複數個螺絲經配置而置入於反射器板118形成的複數個螺絲孔292及於擋板122形成的複數個螺絲孔294。
第2B圖繪示擋板122的部分與氣體注入插件124之截面示意圖。所示擋板122與氣體注入插件124的內邊緣202耦接。例如,在一個實施例中,擋板122可焊接至氣體注入插件124的內邊緣202。擋板122懸吊於氣體注入插件124中使得第三氣室131於擋板122與氣體注入插件124之間形成。擋板122中的孔117所示為不與氣體注入插件124中形成的孔126軸向對齊使得自第一與第二氣室(未圖示)至第三氣室131形成迂曲(tortuous)流動路徑。第4圖繪示氣體注入插件124的放大頂視圖。氣體注入插件124具有一般長形(oblong)形狀的主體。氣體注入插件124包括設置於氣體注入插件124的主體中之長形形狀的擋板122。氣體注入插件124包括複數個孔126(於第4圖中以虛線表示)。氣體注入 插件124經配置而將氣體混合物自第一與第二氣室129、120傳送通過孔126進入內部空間121及至基板140。擋板122包括穿過擋板122而形成的複數個孔117。擋板122經配置而使自第一與第二氣室129、120流動通過孔117的氣體混合物的流動速率緩和或變慢及平均分配氣體混合物至由擋板122與注入插件124界定的第三氣室131。擋板122亦有利地減少整體氣體混合物消耗約30百分比。實驗結果指示氣體混合物的速度可減少約98百分比。例如,氣體混合物的速度可自約100m/s(使用傳統的噴頭設計)減少至約10m/s(使用以上所述的擋板122)而往基板140。
在一個實施例中,擋板122中的孔117之數量介於約20至約30個孔117,例如,約24或25個孔117。在一個實施例中,孔117於擋板122中的單一列中形成。在一個實施例中,孔117的半徑係介於約0.25mm至約1.52mm之間,例如,約0.793mm。在一個實施例中,氣體注入插件124中的孔126數量係大於擋板122中孔117數量。
在一個實施例中,氣體注入插件124中的孔126於兩列中形成。各列可具有約40至約60之間的孔,例如,約40孔或約50孔(即共約100孔)。因此,在一個實施例中,有約100個孔126(50孔x2列)。在一個實施例中,孔117與孔126偏位(offset)以產生通過噴頭組件127的迂曲流動路徑。在一個實施例中,孔126的半徑係介於約0.25mm至約1.52mm之間,例如,約0.79mm。在另一個實施例中,(i)孔126的數量與尺寸;(ii)具有孔126的列之數量;及(iii) 氣體注入插件124本身的厚度,可基於自第一與第二氣室129、120(即內部區域171與外部區域172)流動至第三氣室131及最後徑向流動往基板140的氣體混合物之流動速率而作選擇。
第5圖係具有不同尺寸的複數個孔126的氣體注入插件500的放大底視圖。在一個實施例中,氣體注入插件500的孔126改變尺寸以形成氣體流動梯度。例如,孔126在氣體注入插件500的一端相較於氣體注入插件500的相對端可具有較大的表面積。在一個實施例中,孔126形成於兩列中。各列具有約50個孔126(如第5圖所示)。自氣體注入插件500的第一端502至氣體注入插件500的相對第二端504,各列中的孔126的尺寸逐漸增加。在一個實施例中,孔126的半徑自約0.34mm增加至約1.98mm,例如,約0.44mm至約0.98mm之間的半徑。
依據第1與第5圖,氣體注入插件500設置於反射器板118中,使得孔126的各列(如各具有約50個孔126的兩列)橫跨第一與第二氣室129、120的長度。因此,各列中的孔126之約第一半(即約25個孔126)橫跨作為內部區域171部分之第一氣室129的長度。各列中的孔126之第二半(即約25個孔126)橫跨作為外部區域172部分之第二氣室120的長度。
在操作的一個實施例中,其中氣體混合物的沉積在基板140的中心處可高於在基板140的邊緣處,可提供兩個分開體積流動速率的氣體混合物至第一與第二氣體注入埠 138、128。例如,在一個實施例中,整體氣體混合物以約2slm或約5slm通過噴頭組件127提供。
在一個實施例中,流動通過第一氣室129的氣體混合物相較於流動通過第二氣室120的氣體混合物具有較慢的流動速率以減少基板140上之中心高的沉積。例如,提供氣體混合物通過第一氣體注入埠138並以約0.69slm或約1.71slm進入第一氣室129(即內部區域171)。氣體混合物接著提供通過第二氣體注入埠128並以約1.31slm或約3.29slm進入第二氣室120(即外部區域172)。因為第一氣室129較第二氣室120靠近基板的中心設置,所以氣體注入插件500的第一端502(具有較小的孔126尺寸)設置於第一氣室129之下而解釋了基板140上中心高的沉積。相反地,因為第二氣室120較第一氣室129靠近基板140的邊緣設置,所以氣體注入插件500的第二端504(具有較大的孔126尺寸)設置於第二氣室120之下。如此,通過噴頭組件127的整體氣體混合物流動速率可以通過以上揭露的內部與外部區域171、172有利地個別控制及調整而產生基板140的中心與邊緣之間平均的氣體混合物流動速率及因此促成基板140上整體均勻的沉積。
雖然前面所述係針對本發明揭露的實施例,但在不背離本發明基本範圍下,可設計本發明揭露的其他與進一步的實施例,而本發明範圍由以下申請專利範圍所界定。
100‧‧‧處理腔室
102‧‧‧腔室主體
104‧‧‧磁懸浮基板支撐件
106‧‧‧輻射熱源
108‧‧‧壁
114‧‧‧窗
115‧‧‧冷卻板
116‧‧‧蓋部
117‧‧‧孔
118‧‧‧反射器板
120‧‧‧第二氣室
121‧‧‧內部空間
122‧‧‧擋板
123‧‧‧第一氣源
124‧‧‧氣體注入插件
125‧‧‧第二氣源
126‧‧‧孔
127‧‧‧噴頭組件
128‧‧‧第二氣體注入埠
129‧‧‧第一氣室
130‧‧‧磁性環部分
131‧‧‧第三氣室
132‧‧‧支撐部分
134‧‧‧支撐環
138‧‧‧第一氣室注入埠
140‧‧‧基板
144‧‧‧升舉銷
148‧‧‧出入口
160‧‧‧蜂巢管
161‧‧‧冷卻組件
164‧‧‧控制系統
171‧‧‧內部區域
172‧‧‧外部區域
183‧‧‧冷卻源
190‧‧‧控制器

Claims (20)

  1. 一種噴頭組件,包括:一反射器板,該反射器板具有穿過該反射器板而設置的至少一個氣體注入埠;及一氣體注入插件,該氣體注入插件設置於該反射器板且具有複數個孔,其中該氣體注入插件包含:一擋板,該擋板設置於該氣體注入插件中且具有複數個孔,其中一第一氣室於該擋板的一第一部分與該反射器板之間形成,其中一第二氣室於該擋板的一第二部分與該反射器板之間形成,及其中該氣體注入插件的該複數個孔與該擋板的該複數個孔不係軸向對齊。
  2. 如請求項1所述之噴頭組件,其中該反射器板進一步包括:一第二氣體注入埠,該第二氣體注入埠設置通過該反射器板,該第二氣體注入埠藉由該反射器板的一壁而與該第一氣體注入埠分隔。
  3. 如請求項1所述之噴頭組件,其中該第一氣室與該第二氣室同心。
  4. 如請求項1所述之噴頭組件,其中該噴頭組件進一步包括: 一第三氣室,該第三氣室於該擋板與該氣體注入插件之間形成。
  5. 如請求項4所述之噴頭組件,其中該第三氣室通過該等孔而與該第一與第二氣室以流體連接,該等孔穿過該擋板而形成。
  6. 如請求項5所述之噴頭組件,其中該氣體注入插件的該複數個孔在一或多個列對齊。
  7. 如請求項6所述之噴頭組件,其中該氣體注入插件的該等孔的直徑從該氣體注入插件的一第一端增大至該氣體注入插件的一第二端。
  8. 如請求項1所述之噴頭組件,其中該氣體注入插件的該複數個孔的數量大於該擋板中的該複數個孔的數量。
  9. 一種處理腔室,包括:一基板支撐件,該基板支撐件經配置而在處理期間轉動一基板;及一噴頭組件,該噴頭組件設置於該基板支撐件之上,其中該噴頭組件包含:一反射器板,該反射器板具有穿過該反射器板而設置的一第一氣體注入埠與一第二氣體注入埠;及 一氣體注入插件,該氣體注入插件設置於該反射器板中且具有複數個孔,其中該氣體注入插件包含:至少兩擋板,該至少兩擋板徑向設置於該反射器板的一中心附近的該氣體注入插件中,各擋板具有複數個孔,其中一第一氣室於該擋板的一第一部分與該反射器板之間形成,其中一第二氣室於該擋板的一第二部分與該反射器板之間形成,該第一氣室藉由該反射器板的一壁而與該第二氣室分隔,及其中該氣體注入插件的該複數個孔與該擋板不係軸向對齊。
  10. 如請求項9所述之處理腔室,其中該第一氣室與該第二氣室同心。
  11. 如請求項9所述之處理腔室,其中該噴頭進一步包括:一第三氣室,該第三氣室於該擋板與該氣體注入插件之間形成。
  12. 如請求項11所述之處理腔室,其中該第三氣室通過該等孔而與該第一與第二氣室以流體連接,該等孔穿過該擋板而形成。
  13. 如請求項12所述之處理腔室,其中該氣體注入插件的該複數個孔排列於一或多個列中。
  14. 如請求項13所述之處理腔室,其中該氣體注入插件的該複數個孔的直徑從該氣體注入插件的一第一端增大至該氣體注入插件的一第二端。
  15. 一種噴頭組件,包括:一反射器板,該反射器板具有穿過該反射器板而設置的一第一氣體注入埠與一第二氣體注入埠以將氣體傳送至一第一氣室與一第二氣室;及一氣體注入插件,該氣體注入插件設置於該反射器板中,該氣體注入插件設置於該第一與該第二氣室之下,其中該氣體注入插件包括複數個孔,及其中該等孔的數量與尺寸係基於流動通過該第一與第二氣體注入埠的氣體之流動速率而選擇,其中該氣體注入插件包含:一擋板,該擋板設置於該氣體注入插件中並暴露於該第一與第二氣室,其中該擋板經配置而減少流動通過該第一與第二氣室的該氣體之流動速率。
  16. 如請求項15所述之噴頭組件,進一步包括:一第三氣室,該第三氣室於該擋板與該氣體注入插件之間形成。
  17. 如請求項15所述之噴頭組件,其中該擋板於該反射器板的一中心附近徑向設置。
  18. 如請求項15所述之噴頭組件,其中該擋板的該複數個孔排列於一列中。
  19. 如請求項15所述之噴頭組件,其中該氣體注入插件的該等孔的直徑從該氣體注入插件的一第一端增大至該氣體注入插件的一第二端。
  20. 如請求項15所述之噴頭組件,其中該氣體注入插件的該複數個孔的數量大於該擋板中的該複數個孔的數量。
TW104113077A 2014-05-16 2015-04-23 噴頭組件及處理腔室 TWI662993B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201461994584P 2014-05-16 2014-05-16
US61/994,584 2014-05-16

Publications (2)

Publication Number Publication Date
TW201600174A true TW201600174A (zh) 2016-01-01
TWI662993B TWI662993B (zh) 2019-06-21

Family

ID=54480416

Family Applications (2)

Application Number Title Priority Date Filing Date
TW108117010A TWI693100B (zh) 2014-05-16 2015-04-23 噴頭組件及處理腔室
TW104113077A TWI662993B (zh) 2014-05-16 2015-04-23 噴頭組件及處理腔室

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW108117010A TWI693100B (zh) 2014-05-16 2015-04-23 噴頭組件及處理腔室

Country Status (6)

Country Link
US (2) US10221483B2 (zh)
KR (2) KR102451499B1 (zh)
CN (2) CN110724938B (zh)
SG (2) SG10201810178TA (zh)
TW (2) TWI693100B (zh)
WO (1) WO2015175163A1 (zh)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10221483B2 (en) * 2014-05-16 2019-03-05 Applied Materials, Inc. Showerhead design
US9384949B2 (en) * 2014-08-08 2016-07-05 Taiwan Semiconductor Manufacturing Co., Ltd Gas-flow control method for plasma apparatus
US10253412B2 (en) * 2015-05-22 2019-04-09 Lam Research Corporation Deposition apparatus including edge plenum showerhead assembly
KR102477302B1 (ko) * 2015-10-05 2022-12-13 주성엔지니어링(주) 배기가스 분해기를 가지는 기판처리장치 및 그 배기가스 처리방법
US10233543B2 (en) 2015-10-09 2019-03-19 Applied Materials, Inc. Showerhead assembly with multiple fluid delivery zones
US10954596B2 (en) * 2016-12-08 2021-03-23 Applied Materials, Inc. Temporal atomic layer deposition process chamber
KR102560283B1 (ko) * 2018-01-24 2023-07-26 삼성전자주식회사 샤워 헤드를 설계하고 제조하는 장치 및 방법
JP7082514B2 (ja) * 2018-04-04 2022-06-08 株式会社Kelk 流体加熱装置
US10943768B2 (en) * 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
KR102576220B1 (ko) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 박막 처리 장치 및 박막 처리 방법
KR102204026B1 (ko) * 2018-07-06 2021-01-18 주식회사 케이에스엠컴포넌트 세라믹 샤워 헤드 및 그를 구비한 화학 기상 증착 장치
WO2020100376A1 (ja) * 2018-11-14 2020-05-22 株式会社アルバック 真空加熱装置、リフレクタ装置
KR102641752B1 (ko) * 2018-11-21 2024-03-04 삼성전자주식회사 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법
CN113366145A (zh) * 2019-01-31 2021-09-07 朗姆研究公司 具有可调式气体出口的喷头
CN112922935B (zh) * 2019-12-05 2023-06-30 中微半导体设备(上海)股份有限公司 连接结构和等离子体处理装置
CN114086155B (zh) * 2022-01-18 2022-04-15 北京中科重仪半导体科技有限公司 气体喷头
WO2024085913A1 (en) * 2022-10-21 2024-04-25 Applied Materials, Inc. Process chamber with reflector

Family Cites Families (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05175135A (ja) * 1991-10-03 1993-07-13 Ulvac Japan Ltd 光cvd装置
GB9411911D0 (en) * 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
DE29517100U1 (de) * 1995-10-17 1997-02-13 Zimmer Johannes Strömungsteilungs- und -umformungskörper
TW315493B (en) * 1996-02-28 1997-09-11 Tokyo Electron Co Ltd Heating apparatus and heat treatment apparatus
US5950925A (en) * 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
KR19980045169A (ko) * 1996-12-09 1998-09-15 김광호 반도체 제조장치의 샤워헤드 및 그 제조방법
EP0854210B1 (en) * 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
TW415970B (en) * 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
GB9712400D0 (en) * 1997-06-16 1997-08-13 Trikon Equip Ltd Shower head
JPH1154496A (ja) * 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
KR19990065416A (ko) * 1998-01-13 1999-08-05 윤종용 샤워 헤드를 포함하는 반도체장치 제조용 챔버 장비
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6399484B1 (en) * 1998-10-26 2002-06-04 Tokyo Electron Limited Semiconductor device fabricating method and system for carrying out the same
US6499425B1 (en) * 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6228438B1 (en) * 1999-08-10 2001-05-08 Unakis Balzers Aktiengesellschaft Plasma reactor for the treatment of large size substrates
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
JP2003529926A (ja) * 2000-03-30 2003-10-07 東京エレクトロン株式会社 プラズマ処理システム内への調整可能なガス注入のための方法及び装置
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
KR100406174B1 (ko) * 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
KR20030062365A (ko) * 2000-12-12 2003-07-23 동경 엘렉트론 주식회사 박막 형성 방법 및 박막 형성 장치
EP1361604B1 (en) * 2001-01-22 2009-03-18 Tokyo Electron Limited Device and method for treatment
KR100427996B1 (ko) * 2001-07-19 2004-04-28 주식회사 아이피에스 박막증착용 반응용기 및 그를 이용한 박막증착방법
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
JP4128383B2 (ja) * 2002-03-27 2008-07-30 東京エレクトロン株式会社 処理装置及び処理方法
US20040050326A1 (en) * 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
US20040052969A1 (en) * 2002-09-16 2004-03-18 Applied Materials, Inc. Methods for operating a chemical vapor deposition chamber using a heated gas distribution plate
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
KR20040058819A (ko) * 2002-12-27 2004-07-05 삼성전자주식회사 파티클 발생률을 줄이는 반도체 제조 설비용 샤워헤드
US7199061B2 (en) * 2003-04-21 2007-04-03 Applied Materials, Inc. Pecvd silicon oxide thin film deposition
US7449220B2 (en) * 2004-04-30 2008-11-11 Oc Oerlikon Blazers Ag Method for manufacturing a plate-shaped workpiece
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US7572337B2 (en) * 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
US7622005B2 (en) * 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
KR100634451B1 (ko) * 2005-01-10 2006-10-16 삼성전자주식회사 반도체 소자 제조 장치
US7674393B2 (en) * 2005-03-25 2010-03-09 Tokyo Electron Limited Etching method and apparatus
JP4509864B2 (ja) * 2005-05-30 2010-07-21 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
JP4749785B2 (ja) * 2005-07-19 2011-08-17 東京エレクトロン株式会社 ガス処理装置
US8535443B2 (en) * 2005-07-27 2013-09-17 Applied Materials, Inc. Gas line weldment design and process for CVD aluminum
KR100687010B1 (ko) * 2005-12-26 2007-02-26 세메스 주식회사 저온을 이용한 탄소나노튜브 합성 장치
US8088248B2 (en) * 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
KR20070081648A (ko) * 2006-02-13 2007-08-17 삼성전자주식회사 반도체 소자 제조 설비
US8475625B2 (en) * 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
JP5045000B2 (ja) * 2006-06-20 2012-10-10 東京エレクトロン株式会社 成膜装置、ガス供給装置、成膜方法及び記憶媒体
US20080078746A1 (en) * 2006-08-15 2008-04-03 Noriiki Masuda Substrate processing system, gas supply unit, method of substrate processing, computer program, and storage medium
KR100849929B1 (ko) * 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
US20080081114A1 (en) * 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
JP5036274B2 (ja) * 2006-10-30 2012-09-26 大日本スクリーン製造株式会社 熱処理装置および熱処理方法
JP2008243937A (ja) * 2007-03-26 2008-10-09 Tokyo Electron Ltd 基板処理装置及び基板処理方法
WO2008118483A1 (en) * 2007-03-27 2008-10-02 Structured Materials Inc. Showerhead for chemical vapor deposition (cvd) apparatus
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US7942969B2 (en) * 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
JP5008478B2 (ja) * 2007-06-27 2012-08-22 東京エレクトロン株式会社 基板処理装置およびシャワーヘッド
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
JP5192214B2 (ja) * 2007-11-02 2013-05-08 東京エレクトロン株式会社 ガス供給装置、基板処理装置および基板処理方法
US8628616B2 (en) * 2007-12-11 2014-01-14 Sumitomo Electric Industries, Ltd. Vapor-phase process apparatus, vapor-phase process method, and substrate
US7655564B2 (en) 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
US20090211707A1 (en) * 2008-02-22 2009-08-27 Hermes Systems Inc. Apparatus for gas distribution and its applications
US8066895B2 (en) * 2008-02-28 2011-11-29 Applied Materials, Inc. Method to control uniformity using tri-zone showerhead
JP2009224455A (ja) * 2008-03-14 2009-10-01 Tokyo Electron Ltd 平面アンテナ部材およびこれを備えたプラズマ処理装置
US8111978B2 (en) * 2008-07-11 2012-02-07 Applied Materials, Inc. Rapid thermal processing chamber with shower head
US8808456B2 (en) * 2008-08-29 2014-08-19 Tokyo Electron Limited Film deposition apparatus and substrate process apparatus
US8207470B2 (en) * 2008-10-20 2012-06-26 Industry-University Cooperation Foundation Hanyang University Apparatus for generating remote plasma
WO2010065473A2 (en) * 2008-12-01 2010-06-10 Applied Materials, Inc. Gas distribution blocker apparatus
US20110048325A1 (en) * 2009-03-03 2011-03-03 Sun Hong Choi Gas Distribution Apparatus and Substrate Processing Apparatus Having the Same
KR101064210B1 (ko) * 2009-06-01 2011-09-14 한국생산기술연구원 막증착 진공장비용 샤워헤드
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110065276A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110061810A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US9112088B2 (en) * 2010-04-22 2015-08-18 Kyocera Corporation Method for manufacturing a thin-film solar cell using a plasma between parallel electrodes
US9441295B2 (en) * 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
JP5697389B2 (ja) * 2010-09-27 2015-04-08 東京エレクトロン株式会社 プラズマエッチング用の電極板及びプラズマエッチング処理装置
CN103403843B (zh) * 2011-03-04 2016-12-14 诺发系统公司 混合型陶瓷喷淋头
TWI534291B (zh) * 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
DE102011056589A1 (de) * 2011-07-12 2013-01-17 Aixtron Se Gaseinlassorgan eines CVD-Reaktors
US8960235B2 (en) 2011-10-28 2015-02-24 Applied Materials, Inc. Gas dispersion apparatus
US9121097B2 (en) 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
US9416450B2 (en) * 2012-10-24 2016-08-16 Applied Materials, Inc. Showerhead designs of a hot wire chemical vapor deposition (HWCVD) chamber
US10316409B2 (en) * 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US9399228B2 (en) * 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
JP6007143B2 (ja) * 2013-03-26 2016-10-12 東京エレクトロン株式会社 シャワーヘッド、プラズマ処理装置、及びプラズマ処理方法
TWI600792B (zh) * 2013-11-26 2017-10-01 應用材料股份有限公司 用於減少快速熱處理的污染之影響的設備
CN105981133B (zh) * 2014-02-14 2019-06-28 应用材料公司 具有注入组件的上部圆顶
US10221483B2 (en) * 2014-05-16 2019-03-05 Applied Materials, Inc. Showerhead design
US20150348755A1 (en) * 2014-05-29 2015-12-03 Charm Engineering Co., Ltd. Gas distribution apparatus and substrate processing apparatus including same
US20160033070A1 (en) * 2014-08-01 2016-02-04 Applied Materials, Inc. Recursive pumping member
KR20170055506A (ko) * 2014-09-08 2017-05-19 어플라이드 머티어리얼스, 인코포레이티드 벌집형 다중 구역 가스 분배 플레이트
US9758868B1 (en) * 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure

Also Published As

Publication number Publication date
TW201936266A (zh) 2019-09-16
WO2015175163A1 (en) 2015-11-19
US10221483B2 (en) 2019-03-05
CN110724938B (zh) 2022-02-22
CN106463344A (zh) 2017-02-22
SG10201810178TA (en) 2018-12-28
CN106463344B (zh) 2019-10-11
KR102386812B1 (ko) 2022-04-15
TWI662993B (zh) 2019-06-21
US10626500B2 (en) 2020-04-21
TWI693100B (zh) 2020-05-11
US20190194810A1 (en) 2019-06-27
KR102451499B1 (ko) 2022-10-06
CN110724938A (zh) 2020-01-24
KR20170005072A (ko) 2017-01-11
KR20220048058A (ko) 2022-04-19
US20150329966A1 (en) 2015-11-19
SG11201608640QA (en) 2016-11-29

Similar Documents

Publication Publication Date Title
TW201600174A (zh) 噴頭設計
TWI701356B (zh) 基板處理裝置、反應管、半導體裝置之製造方法及記錄媒體
JP6752797B2 (ja) 基板裏側の変色制御のための支持組立体
TWI623024B (zh) 透過電漿輔助製程處理基板的平面內均勻性的控制方法
JP5233734B2 (ja) ガス供給装置、成膜装置及び成膜方法
WO2015141792A1 (ja) 基板処理装置、天井部及び半導体装置の製造方法
TWI444554B (zh) 具有增加的流動均勻度之狹縫閥
TWI648425B (zh) 具有內部擴散器和角度注入件的可調諧氣體輸送組件
TW201702422A (zh) 氣流控制裝置、噴頭組件及半導體製造設備
TWI674331B (zh) 用於epi腔室的注射插件
JP2009503875A (ja) ガスマニホルドバルブクラスタ
JP2009531858A5 (zh)
US9870919B2 (en) Process chamber having separate process gas and purge gas regions
TW201943899A (zh) 用於磊晶腔室的襯墊
TW201622003A (zh) 蜂巢式多區塊氣體分配板
KR101557037B1 (ko) 대면적 유리기판 열처리장치
KR200298458Y1 (ko) 반도체 제조 설비의 공정 챔버
KR20150104352A (ko) 가스 분배 장치 및 이를 구비하는 기판 처리 장치
JP2004031395A (ja) 半導体製造装置