TW201702422A - 氣流控制裝置、噴頭組件及半導體製造設備 - Google Patents

氣流控制裝置、噴頭組件及半導體製造設備 Download PDF

Info

Publication number
TW201702422A
TW201702422A TW105119292A TW105119292A TW201702422A TW 201702422 A TW201702422 A TW 201702422A TW 105119292 A TW105119292 A TW 105119292A TW 105119292 A TW105119292 A TW 105119292A TW 201702422 A TW201702422 A TW 201702422A
Authority
TW
Taiwan
Prior art keywords
hole
control device
gas
holes
plate
Prior art date
Application number
TW105119292A
Other languages
English (en)
Other versions
TWI615501B (zh
Inventor
張顯秀
金大淵
李政鎬
金永勳
李承燮
金友燦
Original Assignee
Asm知識產權私人控股有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm知識產權私人控股有限公司 filed Critical Asm知識產權私人控股有限公司
Publication of TW201702422A publication Critical patent/TW201702422A/zh
Application granted granted Critical
Publication of TWI615501B publication Critical patent/TWI615501B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Abstract

一種反應室包括:反應器壁;接觸該反應器壁以界定反應空間的基座;和在該反應器壁與該基座之間堆疊的氣流控制裝置和噴頭構件。噴頭構件包括氣體通道和噴頭。貫穿該氣流控制裝置的突出橫向部分而形成貫穿孔,並且該反應器壁與該噴頭構件的橫向部分彼此隔開以形成排氣路徑。在該排氣路徑中剩餘的氣體通過該貫穿孔和在該反應器壁的上部中形成的出氣口排出。該反應室提供反應空間和該排氣路徑,其中去除了不必要的區域以使氣體快速地從一種改為另一種,因此可以高效率和高生產率完成原子層沉積。

Description

氣流控制裝置、噴頭組件及半導體製造設備 【交叉參考相關申請案】
本申請主張2015年7月7日在韓國智慧財產權局提交的韓國專利申請號10-2015-0096795的優先權,該韓國專利申請的公開內容通過引用全部併入本文。
一個或更多個實施方式關於一種氣流控制裝置、包括該氣流控制裝置的噴頭組件和包括該噴頭組件的半導體製造設備(諸如薄膜沉積設備)。
一個或更多個示例性實施方式關於半導體製造設備(諸如沉積設備),更具體地,關於在其中發生化學反應的反應室。
沉積設備的反應室設有在其中發生化學反應的空間,而且已開發出各種反應室。這種反應室的例子包括:噴頭型反應室,在其中沿垂直於基板的方向供應反應氣;和側流型反應室,在其中沿平行於基板的方向供應反應氣。在噴頭型反應室中,在從中心到邊緣的方向上向反應器均勻地供應反應氣,從而形成相對均勻的薄膜。側流型反應室具有相對簡單的結構,從而能夠在反應氣之間快速切換並 使得可以減小反應空間。
一個或更多個實施方式包括一種反應室,例如,包括噴頭和輔助裝置的噴頭型反應室。該反應室為原子層沉積提供尺寸上經優化縮小的反應空間,並且廢氣很快地從反應室排出。
另外的方面將部分在以下說明書中陳述,並且部分可從說明書中明顯得出,或可以通過所提供實施方式的實踐來習得。
根據一個或更多個實施方式,提供一種氣流控制裝置。該氣流控制裝置可以包括:包括進氣端口並從該進氣端口延伸的板;和從該板突起並包括貫穿形成的複數個孔的側壁。可選地,該板可以包括絕緣材料。
根據實施方式,在該氣流控制裝置中,由該板和該側壁形成從該側壁凹進的空間,並且從該複數個孔到該凹進的空間限定排氣路徑空間。
根據一個或更多個實施方式,提供一種噴頭組件。該噴頭組件可以包括:氣流控制裝置;和從該板的底側連接至進氣端口的噴頭。
根據實施方式,該噴頭組件可以包括氣體通道和噴頭,並且該氣體通道可以位於該進氣端口與該噴頭之間並通過機械連接件連接至該噴頭。
根據另一實施方式,在噴頭組件中,可以在該氣體通道與該噴頭之間形成氣體流路。此外,可以形成將該氣流 控制裝置的該進氣端口連接至該氣體流路的進氣路徑(參見圖式中氣體通道307的中心區域)。
根據一個或更多個實施方式,一種半導體製造設備(諸如反應室)包括:反應器壁;氣流控制裝置;噴頭構件;和基座(susceptor)。在該反應器壁的上部設置進氣口和出氣口,並且該進氣口連接至穿過該反應器壁、該氣流控制裝置和該噴頭構件的中心部分形成的進氣端口從而向該噴頭構件供應反應氣。該氣流控制裝置包括:從其貫穿形成複數個貫穿孔的突出側壁;和由該側壁環繞的板。在該氣流控制裝置與該反應器壁之間的區域和該噴頭構件的側壁與該反應器壁之間的區域中形成排氣路徑(也就是排氣路徑空間),並且通過該噴頭構件被供應至置於該基座上的基板的反應氣通過該排氣路徑和穿過該氣流控制裝置的該側壁形成的該貫穿孔而排到設置在該反應器壁的上部的該出氣口。該出氣口與穿過該氣流控制裝置的中心部分形成的該進氣端口不對稱,並且該貫穿孔的尺寸和該貫穿孔之間的間隔根據該貫穿孔相對於該出氣口的位置而不同從而得到均勻的排氣效率。在實施方式中的半導體製造設備(諸如反應室)中,氣流控制裝置和噴頭構件是堆疊的,並且在該氣流控制裝置與該反應器壁之間的區域和在該該氣流控制裝置與該噴頭構件之間的區域中形成凹槽,以容納密封構件諸如O型環。反應器壁和噴頭構件彼此隔開以形成排氣路徑。射頻(RF)棒被插入穿過氣流控制裝置形成的其它貫穿孔中並連接至該噴頭構件從而在電漿製程期間向該噴頭構 件供應RF功率。
根據實施方式,在半導體製造設備中,該進氣口和該出氣口可以連接至頂蓋。此外,該頂蓋可以包括加熱元件。
根據一個或更多個實施方式,半導體製造設備包括:包括至少兩個反應室的外腔室;該至少兩個反應室共用的至少一個供氣單元;和配置成排氣的至少一個排氣泵。
例如,半導體製造設備可以包括:包括至少兩個進氣口和至少兩個出氣口的頂蓋;和至少兩個反應室,其每個都連接至與進氣口中的至少一個和出氣口中的至少一個相連的頂蓋,其中該反應室共用供應原材料氣體和反應氣中的至少一種的供氣單元和至少一個排氣泵。
100‧‧‧反應室
101‧‧‧反應器壁
103‧‧‧基座
105‧‧‧氣流控制裝置
109‧‧‧噴頭構件
111‧‧‧貫穿孔
113‧‧‧進氣端口
115‧‧‧出氣口
117‧‧‧排氣路徑
119‧‧‧氣體流路
123‧‧‧側壁
125‧‧‧反應空間
127‧‧‧凹槽
129‧‧‧凹槽
131‧‧‧凹槽
133‧‧‧氣體注入孔
301‧‧‧板
303‧‧‧RF棒孔
305‧‧‧螺孔
307‧‧‧氣體通道
309‧‧‧噴頭
311‧‧‧螺釘
401‧‧‧入口
402‧‧‧入口
601‧‧‧外腔室
605‧‧‧基座支架
701‧‧‧頂蓋
705‧‧‧進氣口
707‧‧‧螺釘
713‧‧‧RF棒
715‧‧‧螺釘
721‧‧‧凹槽
801‧‧‧頂蓋
803‧‧‧外腔室
805‧‧‧腔室內部區域
從以下結合圖式對實施方式的描述中可明白並更容易理解這些及/或其它方面。
圖1是示出根據實施方式的反應室的視圖。
圖2是示出在根據實施方式的反應室中反應氣如何流動的視圖。
圖3A是示出根據實施方式的氣流控制裝置的立體圖。
圖3B是示出根據實施方式的氣流控制裝置和噴頭構件的組合結構的橫截面視圖。
圖4A是示出根據實施方式的反應室的局部橫截面視圖。
圖4B是示出根據另一實施方式的反應室的局部橫截面視圖。
圖4C是示出根據另一實施方式的反應室的局部橫截面視圖。
圖5A是示出根據實施方式的氣流控制裝置的平面圖。
圖5B是示出根據另一實施方式的氣流控制裝置的平面圖。
圖6是示出根據另一實施方式的反應室的橫截面視圖。
圖7A示出根據另一實施方式的反應室的橫截面視圖。
圖7B是從另一方向示出圖7A中所示反應室的另一橫截面視圖。
圖8是示出根據另一實施方式的反應室的橫截面視圖。
現在將詳細參照實施方式,在圖式中示出所述實施方式的示例,其中在所有圖式中,相同的元件符號表示相同的組件。在這方面,本發明實施方式可以具有不同的形式並不應視為限於本文中的描述。因此,下面僅參照圖式描述實施方式以用於解釋本說明書的各方面。本文中所使用的術語“及/或”包括一個或更多個相關的所列項的任何和所有組合。在元素清單之後的表述例如“至少一個”修飾整個元素清單而並非修飾該清單的單個元素。
將通過以下參照圖式給出的描述來闡述本發明構思的特徵以及其實施方法。然而,實施方式可以具有不同的形式而並不應視為限於本文中該的描述。相反,提供這些實 施方式是為了使得本公開對本領域技術人員來說將是充分並完整的,並且將充分表達本發明構思的範圍。因此,本發明構思的範圍應由申請專利範圍界定。
在下文中,將參照圖式描述實施方式。
首先,現在將關於圖1根據實施方式來描述沉積設備。圖1是示出根據實施方式的反應室100的橫截面視圖。參照圖1,由於反應器壁101與基座103彼此相接觸,所以在反應室100中形成反應空間125。氣流控制裝置105和噴頭構件(氣體注入器)109設置在反應器壁101的上部與基座103之間。噴頭構件109可以是一體式構件,或者可以是多件式構件,包括其中形成有氣體注入孔133的單獨部件。氣流控制裝置105和噴頭構件109被堆疊,並且氣流控制裝置105包括其中形成有複數個貫穿孔111的側壁123。在反應器壁101與氣流控制裝置105之間的區域和氣流控制裝置105與噴頭構件109之間的區域中形成凹槽127、凹槽129和凹槽131,從而容納密封構件諸如O型環。由於通過密封構件進行真空密封,所以外部氣體不會進入反應室100中,或反應空間125中的反應氣或排氣路徑中剩餘的氣體不會洩露到不期望的區域中。
在電漿製程期間,噴頭構件109可以用作電極。為此,噴頭構件109可以包括金屬材料諸如鋁(Al)。此外,射頻(RF)棒713(參見圖7B)通過穿過反應器壁101的上部和氣流控制裝置105而形成的RF棒孔303(參見圖3A)而機械連接至噴頭構件109,從而將由外部電漿發生器(未圖示) 產生的電漿供應至噴頭構件109。此外,氣流控制裝置105可以包括絕緣材料諸如陶瓷材料,從而使得用作電漿電極的噴頭構件109與反應器壁101絕緣。如圖1所示,進氣端口113形成為穿過反應器壁101的上部和氣流控制裝置105的中心部分,並且,此外在噴頭構件109中形成氣體流路119。因此,從外部供氣單元(未圖示)通過進氣端口113供應的反應氣被均勻分佈至噴頭構件109的氣體注入孔133。此外,如圖1所示,在反應器壁101的上部以與進氣端口113呈非對稱關係設置出氣口115。然而,出氣口115與進氣端口113可以對稱布置(未圖示)。此外,反應器壁101與氣流控制裝置105和噴頭構件109的側壁隔開,因此排氣路徑117可以形成於它們之間。在製程進行之後,剩餘氣體可以通過排氣路徑117排出。
圖2是示出在根據實施方式的反應室100中反應氣如何流動的視圖。在圖2中,箭頭表示氣體流動。從外部供氣單元(未圖示)供應至進氣端口113的反應氣可以通過氣體流路119均勻地流到噴頭構件109的氣體注入孔133。反應氣可以在反應空間125中或基板(未圖示)上發生化學反應以在基板上形成薄膜。在形成薄膜之後,剩餘氣體可以在穿過形成於反應器壁101和噴頭構件109的側壁之間的排氣路徑117並穿過形成於氣流控制裝置105的側壁123中的貫穿孔111之後,流到氣流控制裝置105的內部空間,然後該剩餘氣體可以通過出氣口115排出。
在下文中,將參照圖3A和圖3B描述反應室100的各 個部分。
圖3A是示出根據實施方式的氣流控制裝置105的立體圖。參照圖3A,氣流控制裝置105包括側壁123、進氣端口113、由側壁123環繞的板301、RF棒孔303、螺孔305、貫穿孔111和容納密封構件諸如O型環的凹槽127。在圖3A中,板301具有由側壁123環繞的下凹內部。進氣端口113位於氣流控制裝置105的一部分上用於引入外部反應氣,圍繞進氣端口113形成數量為至少兩個的螺孔305用以容納機械連接件諸如螺釘715(參見圖7B),從而將氣流控制裝置105和噴頭構件109彼此連接。在氣流控制裝置105的部分中形成RF棒孔303,因此連接至外部電漿供應單元(未圖示)的RF棒713(參見圖7B)可以通過RF棒孔303連接至在氣流控制裝置105下面的噴頭構件109。
在圖3A中,形成兩個RF棒孔303從而通過將RF棒引入各自的RF棒孔303中來改善電漿功率(plasma power)在反應空間125中的均勻性。然而,與圖3中不同,可以使用一個或多於兩個的RF棒713,並可以形成對應於該RF棒713的RF棒孔303。此外,參照圖3A,RF棒孔303形成於側壁123和螺孔305之間。然而,本發明構思不限於此。例如,如同螺孔305,RF棒孔303可以設置在進氣端口113周圍。
與基板反應之後剩餘的氣體可以通過排氣路徑117和形成於氣流控制裝置105的側壁123中的貫穿孔111而流向氣流控制裝置105的板301。然後,該氣體可以流經板 301的內部空間流向出氣口115,在這裡氣體可以被排到外部。密封構件諸如O型環被插入形成於側壁123的上側中的凹槽127內以用於在反應器壁101與氣流控制裝置105之間進行真空密封,因此排氣路徑117中剩餘的氣體可以僅通過貫穿孔111被引入氣流控制裝置105的板301中。此外,雖然在圖3A中未圖示,但是可以在反應器壁101的上端與RF棒孔303之間的區域和在反應器壁101的上端與螺孔305之間的區域中形成其它的凹槽717和凹槽721(參見圖7B)用以容納密封構件諸如O型環。因此,可以提供真空密封以防止剩餘氣體通過非預期途徑洩露到外部。
圖3B是示出通過將氣流控制裝置105和噴頭構件109堆疊在一起而形成的噴頭組件的橫截面視圖。參見圖3B,噴頭構件109是多件式構件,其中氣體通道307和噴頭309堆疊在一起。使用機械連接件諸如螺釘311來連接氣體通道307和噴頭309。如果在電漿製程期間噴頭構件109用作電極,則噴頭309可以包括金屬材料。此外,RF棒713(參見圖7B)可以通過RF棒孔303(參見圖7B)機械連接至氣體通道307。
圖4A、圖4B和圖4C是示出根據實施方式的反應室100的局部橫截面視圖。參見圖4A,反應器壁101與噴頭構件109彼此隔開以形成排氣路徑117,並且貫穿孔111形成在氣流控制裝置105的側壁123中。在與反應器壁101接觸的、側壁123的上部和噴頭構件109的接觸部中形成 凹槽127和凹槽131,因此可以將密封構件諸如O型環插入凹槽127和凹槽131中用於真空密封。因此,在排氣路徑117中剩餘的氣體可以僅通過貫穿孔111被引入氣流控制裝置105中。參照圖4A,在垂直於排氣路徑117的方向上形成貫穿孔111。然而,如圖4B所示,貫穿孔111的入口401可以朝向排氣路徑117傾斜。在這種情況下,貫穿孔111的入口401相對於剩餘氣體在排氣路徑117中流動的方向的角度θ可以小於直角。因此,在入口401周圍可以減少湍流,因此,可以防止排氣效率的降低。此外,如圖4C所示,在其中形成有貫穿孔111的、側壁123的一部分可以具有比氣流控制裝置105的邊緣部分的寬度(B)更小的寬度(A)。在這種情況下,剩餘氣體可直接流入貫穿孔111的入口402而不經過彎曲路徑。因此,當剩餘氣體流入貫穿孔111中時,在貫穿孔111的入口402周圍可以保持層流而不會有湍流,因此剩餘氣體可被高效流暢地排出。雖然未示出,但可以改變反應器壁101或氣流控制裝置105的形狀以防止湍流。例如,可以使氣流控制裝置105的邊緣部分C(參見圖4C)倒角化。
除了在圖式中示出的結構,可以在本發明構思的範圍內作出各種修改。例如,在圖4A至4C中所示的實施方式中,側壁123沿與板301的延伸方向不同的方向突出。然而,側壁123可以沿與板301的延伸方向相同的方向突出。在這種情況下,可以在與板301的延伸方向不同的方向上穿過側壁123而形成貫穿孔111。
圖5A和圖5B是氣流控制裝置105的平面圖,示出了在氣流控制裝置105的側壁123中形成的貫穿孔111的分佈與尺寸。參照圖5A,貫穿孔111的尺寸相同。然而,與遠離出氣口115的B、C區域相比,在鄰近出氣口115的A區域中,在側壁123中形成的貫穿孔111之間的距離更大。參照圖5A,由於位於與出氣口115相對的C區域中的貫穿孔111具有相對較低的排氣效率,所以貫穿孔111的設置密集。然而,由於位於鄰近出氣口115的A區域中的貫穿孔111的排氣效率相對較高,所以貫穿孔111的設置密集度較低。因此,排氣效率總體上可以是均勻的。參照圖5B,雖然貫穿孔111以均勻間隔設置,但貫穿孔111根據它們相對於出氣口115的位置而具有不同的尺寸。即,由於位於鄰近出氣口115的區域A中的貫穿孔111的排氣效率的較高,所以貫穿孔111的尺寸相對較小。然而,由於位於與出氣口115相對的區域C中的貫穿孔111的排氣效率的較低,所以貫穿孔111的尺寸相對較大。因此,排氣效率總體上可以是均勻的。
雖然在圖5A和圖5B中示出一個出氣口115,但可以設置複數個出氣口115。在這種情況下,可以對稱地或非對稱地布置該出氣口115。此外,如上所述,為了得到均勻的排氣效率,可以調整貫穿孔111的尺寸、貫穿孔111的形狀和貫穿孔111之間的距離中的至少一個。例如,與相對遠離出氣口115的貫穿孔111相比,相對鄰近出氣口115的貫穿孔111可以具有相對小的尺寸或可以以相對大 的間隔布置。
圖6是示出根據另一實施方式的反應室100的橫截面視圖。圖6示出其中反應室100被外腔室601包圍的雙腔室結構。反應室100機械地固定到外腔室601的上端(也就是外腔室601的頂蓋)。外腔室601的內部壓力可以設成低於反應室100的內部壓力,從而防止外腔室601中填充的氣體諸如氬氣通過反應器壁101與基座103之間的間隙進入到反應室100中。在外腔室601的側壁中形成入口(未圖示,參見圖8),用於將基板送入/送出反應室100。基座103由基座支架605支撐。基座支架605包括基板支撐銷(未圖示)。基座支架605是可垂直移動並可旋轉的。因此,當載入/載出基板時,降低基座支架605以打開反應空間125。例如,通過基板支撐銷(未圖示)頂起基板,並通過形成在外腔室601的側壁中的入口(未圖示)將載體諸如傳送臂伸入外腔室601中從而卸載基板。在製程進行期間,抬起基座支架605,同時降低支撐基板的基板支撐銷從而將基板放在基座103上。然後,基座103與反應器壁101的下部相接觸,從而形成反應空間125。
圖7A和圖7B是示出根據另一實施方式的、對圖6中所示反應室100的修改的視圖。參照圖7A,反應室100的反應器壁101通過使用機械連接件諸如螺釘707而連接至外腔室上端的頂蓋701。此外,可以在反應器壁101的上端布置加熱器諸如加熱元件用於加熱反應器壁101。如果使用加熱元件加熱反應器壁101,則在排氣路徑117中 或氣流控制裝置105周圍剩餘的氣體可以被快速加熱或轉換成硬膜,因此剩餘氣體不會成為在反應室100中漂浮的污染物,從而可以防止污染反應空間。參照圖7A,在進氣端口113的上端另外設置進氣口705。如果在進氣口705的一側形成複數個進氣孔(未圖示),則可以向反應室100供應大量的氣體。此外,參照圖7A,不同於在圖1中描述的一體式噴頭構件109,使用了由複數個可分離部件形成的噴頭構件109。例如,噴頭構件109具有堆疊結構,其包括通過機械連接件諸如螺釘而彼此連接的氣體通道307和噴頭309。由於多件式噴頭構件109具有多件式結構,所以可以容易地對噴頭309進行安裝、拆卸和定期維修。
圖7B是從另一方向示出圖7A中所示反應室100的另一橫截面視圖。參照圖7B,噴頭構件109通過機械連接件諸如螺釘715連接至氣流控制裝置105。可以在氣流控制裝置105的側壁與反應器壁101上端之間的區域、RF棒孔303周圍的突起與反應器壁101上端之間的區域、以及螺孔305周圍的突起與反應器壁101上端之間的區域中形成凹槽,並且可以將密封構件諸如O型環插入凹槽中用於真空密封。
圖8是示出根據另一實施方式的反應室100的橫截面視圖。參照圖8,在由頂蓋801與外腔室803形成的腔室內部區域805中設置複數個反應室100。在頂蓋801上設置每個反應室100的氣流控制裝置、噴頭構件、進氣端口和出氣口。反應室100共用同一個供氣單元和同一個排氣 泵,因此可以對複數個基板同時進行相同的製程處理從而提高生產率。
可以對複數個基板同時進行如下相同的製程。首先,通過外腔室803的入口將載體諸如傳送臂伸入腔室內部區域805中從而將基板載入到複數個基座103上。接下來,外腔室803的腔室內部區域805被排空或用惰性氣體諸如氬氣填充。接著,基座103與反應器壁的下側接觸,從而形成反應空間。腔室內部區域805的壓力可以設成低於反應室100的內部壓力。
可替選地,反應室100可以不共用供氣單元和排氣泵,而可以連接至單獨的供氣單元和排氣泵從而同時進行不同的製程。例如,當依次將基板移至反應室100的同時,可以進行複合薄膜形成製程以在基板上沉積薄膜。在這種情況下,可以快速進行複合薄膜形成製程,同時將暴露於空氣或等待時間降到最低限度。
如上所述,根據一個或更多個上述實施方式,反應氣被均勻地供至反應室中的基板,因此可以在基板上形成均勻的薄膜。此外,由於沿噴頭的上部形成排氣路徑,所以可以去除不必要的空間從而減少反應空間的尺寸。因此,反應氣可以從一種快速改為另一種,並可以減少由剩餘氣體引起的污染,從而可以以高生產率和高效率進行原子層沉積製程。
應當理解,本文中描述的實施方式應僅考慮為描述意義並無限制目的。各實施方式中對特徵或各方面的描述應 典型地考慮為對在其它實施方式中的其它類似的特點或方面可用。
當已參照圖式描述了一個或更多個實施方式時,本領域技術人員將理解不背離由所附申請專利範圍所界定的本發明的精神和範圍可以在此作出形式和細節上的各種改變。
100‧‧‧反應室
101‧‧‧反應器壁
103‧‧‧基座
105‧‧‧氣流控制裝置
109‧‧‧噴頭構件
111‧‧‧貫穿孔
113‧‧‧進氣端口
115‧‧‧出氣口
117‧‧‧排氣路徑
119‧‧‧氣體流路
123‧‧‧側壁
125‧‧‧反應空間
127‧‧‧凹槽
129‧‧‧凹槽
131‧‧‧凹槽
133‧‧‧氣體注入孔

Claims (20)

  1. 一種氣流控制裝置,包括:板,包括進氣端口並從該進氣端口延伸;以及側壁,從該板突出並包括貫穿形成的複數個孔。
  2. 如請求項1所記載之氣流控制裝置,其中由該板和該側壁形成從該側壁凹進的空間;從該複數個孔到該凹進的空間限定排氣路徑空間。
  3. 如請求項1所記載之氣流控制裝置,其中該複數個孔包括第一孔和第二孔;該第一孔的尺寸、該第一孔的形狀和該第一孔之間的距離中的至少一個與該第二孔的尺寸、該第二孔的形狀和該第二孔之間的距離不同。
  4. 如請求項1所記載之氣流控制裝置,其中該側壁具有能夠容納密封構件的形狀。
  5. 一種噴頭組件,包括:如請求項1所記載之氣流控制裝置;以及從該板的底側連接至該進氣端口的噴頭。
  6. 如請求項5所記載之噴頭組件,其進一步包括在該進氣端口與該噴頭之間的氣體通道,該氣體通道通過機械連接件連接至該噴頭。
  7. 如請求項6所記載之噴頭組件,其進一步包括在該氣體通道與該噴頭之間的氣體流路。
  8. 如請求項7所記載之噴頭組件,其進一步包括將該氣流控制裝置的該進氣端口連接至該氣體流路的進氣路徑。
  9. 如請求項8所記載之噴頭組件,其進一步包括在該氣流控制裝置與該氣體通道之間的密封構件。
  10. 如請求項8所記載之噴頭組件,其中該氣流控制裝置進一步包括貫穿該板形成的至少一個螺孔和至少一個射頻(RF)棒孔;該氣流控制裝置和該氣體通道通過設置在該螺孔中的連接件而彼此機械連接。
  11. 一種半導體製造設備,包括:反應器壁;板,該板連接至該反應器壁並包括進氣端口,該板從該進氣端口延伸;側壁,該側壁從該板突起並包括穿過該側壁形成的複數個孔;以及噴頭構件,該噴頭構件從該板的底側連接至該進氣端口;該進氣端口貫穿該板形成,並且在該噴頭構件與該反應器壁之間的區域中和該板與該反應器壁之間的區域中形成排氣路徑。
  12. 如請求項11所記載之半導體製造設備,其中從該噴頭構件和該板的外側經由該複數個孔到出氣口限定排氣路徑空間。
  13. 如請求項11所記載之半導體製造設備,其中該板包括絕緣材料。
  14. 如請求項11所記載之半導體製造設備,其中出氣口與該進氣端口非對稱地布置;該複數個孔包括第一孔和第二孔;該第一孔的尺寸、該第一孔的形狀和該第一孔之間的距離中的至少一個與該第二孔的尺寸、該第二孔的形狀和該第二孔之間的距離不同。
  15. 如請求項11所記載之半導體製造設備,其中該複數個孔包括在與出氣口相距第一距離內布置的第一孔和距離該出氣口超過該第一距離的第二孔;該第一孔小於該第二孔,或以相比該第二孔之間的間隔更大的間隔布置。
  16. 如請求項11所記載之半導體製造設備,其進一步包括:頂蓋;腔室,該腔室容納該板、該側壁和該噴頭構件;基座,該基座設置在該噴頭構件的下方;從該噴頭構件向該基座注入的反應氣通過該噴頭構件和該反應器壁之間形成的該排氣路徑、該複數個孔和該出氣口排出。
  17. 如請求項16所記載之半導體製造設備,其進一步包括在該腔室與該側壁之間的密封構件。
  18. 如請求項16所記載之半導體製造設備,其進一步包 括在該板與氣體通道之間的密封構件,該噴頭構件包括氣體通道和噴頭。
  19. 如請求項18所記載之半導體製造設備,其進一步包括在該氣體通道與該噴頭之間的氣體流路。
  20. 如請求項16所記載之半導體製造設備,其中該頂蓋包括加熱元件。
TW105119292A 2015-07-07 2016-06-20 氣流控制裝置、噴頭組件及半導體製造設備 TWI615501B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
??10-2015-0096795 2015-07-07
KR1020150096795A KR102417934B1 (ko) 2015-07-07 2015-07-07 박막 증착 장치

Publications (2)

Publication Number Publication Date
TW201702422A true TW201702422A (zh) 2017-01-16
TWI615501B TWI615501B (zh) 2018-02-21

Family

ID=57730782

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105119292A TWI615501B (zh) 2015-07-07 2016-06-20 氣流控制裝置、噴頭組件及半導體製造設備

Country Status (4)

Country Link
US (2) US10662525B2 (zh)
KR (1) KR102417934B1 (zh)
CN (1) CN106337169B (zh)
TW (1) TWI615501B (zh)

Families Citing this family (244)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR102297567B1 (ko) * 2014-09-01 2021-09-02 삼성전자주식회사 가스 주입 장치 및 이를 포함하는 박막 증착 장비
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
KR102417934B1 (ko) * 2015-07-07 2022-07-07 에이에스엠 아이피 홀딩 비.브이. 박막 증착 장치
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
TWD178699S (zh) * 2016-01-08 2016-10-01 ASM知識產權私人控股有&#x9 用於半導體製造設備的氣體分散板
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
TWI649446B (zh) * 2017-03-15 2019-02-01 漢民科技股份有限公司 應用於半導體設備之可拆卸式噴氣裝置
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
KR101966049B1 (ko) * 2017-08-29 2019-04-05 주식회사 테스 기판처리장치 및 그 세정방법
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
CN113056807B (zh) * 2018-11-30 2024-03-22 应用材料公司 用于三维与非(3d nand)应用的膜堆叠覆盖改进
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) * 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
WO2020243288A1 (en) * 2019-05-28 2020-12-03 Applied Materials, Inc. Thermal process chamber lid with backside pumping
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202133365A (zh) * 2019-09-22 2021-09-01 美商應用材料股份有限公司 使用具有可調式泵的處理腔室蓋的ald循環時間縮減
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
CN115315776A (zh) * 2020-01-29 2022-11-08 朗姆研究公司 具有斜向流动路径的气体分配面板
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
CN111321463B (zh) * 2020-03-06 2021-10-15 北京北方华创微电子装备有限公司 反应腔室
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
US20220064797A1 (en) * 2020-09-02 2022-03-03 Applied Materials, Inc. Showerhead design to control stray deposition
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) * 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115305458B (zh) * 2022-10-10 2023-02-03 中微半导体设备(上海)股份有限公司 一种气体分配件、气体输送装置及其薄膜处理装置

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5680013A (en) * 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US6300255B1 (en) * 1999-02-24 2001-10-09 Applied Materials, Inc. Method and apparatus for processing semiconductive wafers
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6586343B1 (en) * 1999-07-09 2003-07-01 Applied Materials, Inc. Method and apparatus for directing constituents through a processing chamber
KR100378871B1 (ko) * 2000-02-16 2003-04-07 주식회사 아펙스 라디칼 증착을 위한 샤워헤드장치
CN1468974A (zh) 2001-11-17 2004-01-21 厦门三安电子有限公司 一种制作ⅲ族氮化物材料的方法
US7017514B1 (en) * 2001-12-03 2006-03-28 Novellus Systems, Inc. Method and apparatus for plasma optimization in water processing
KR20040007963A (ko) 2002-07-15 2004-01-28 삼성전자주식회사 단원자층 증착 반응장치
US20050011447A1 (en) * 2003-07-14 2005-01-20 Tokyo Electron Limited Method and apparatus for delivering process gas to a process chamber
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US7622005B2 (en) * 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
JP2006128485A (ja) * 2004-10-29 2006-05-18 Asm Japan Kk 半導体処理装置
KR20060076714A (ko) * 2004-12-28 2006-07-04 에이에스엠지니텍코리아 주식회사 원자층 증착기
KR20060100961A (ko) 2005-03-16 2006-09-22 삼성전자주식회사 샤워헤드 및 이를 구비한 원자층 증착설비
CN100451163C (zh) * 2006-10-18 2009-01-14 中微半导体设备(上海)有限公司 用于半导体工艺件处理反应器的气体分布装置及其反应器
JP2009088229A (ja) * 2007-09-28 2009-04-23 Tokyo Electron Ltd 成膜装置、成膜方法、記憶媒体及びガス供給装置
KR101245769B1 (ko) * 2009-07-28 2013-03-20 엘아이지에이디피 주식회사 화학기상증착장치, 화학기상증착장치용 가이드부재 및 화학기상증착장치를 이용한 박막제조방법
KR101234594B1 (ko) * 2011-07-25 2013-02-19 피에스케이 주식회사 배플 및 이를 포함하는 기판 처리 장치
CN103194737B (zh) * 2012-01-05 2015-06-10 中国科学院微电子研究所 一种用于原子层沉积设备的气体分配器
KR20130086806A (ko) 2012-01-26 2013-08-05 삼성전자주식회사 박막 증착 장치
US20140235069A1 (en) * 2013-02-15 2014-08-21 Novellus Systems, Inc. Multi-plenum showerhead with temperature control
US9353439B2 (en) * 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
KR101467195B1 (ko) 2013-05-14 2014-12-01 주식회사 아바코 가스 분사기 및 이를 포함하는 박막 증착 장치
CN104746047A (zh) 2013-12-31 2015-07-01 丽佳达普株式会社 原子层沉积装置
CN104046960B (zh) * 2014-06-24 2016-08-17 北京七星华创电子股份有限公司 一种应用于薄膜沉积技术的气体分配器
JP2016039356A (ja) * 2014-08-06 2016-03-22 ピーエスケー・インコーポレーテッド バッフル及びこれを含む基板処理装置
KR102417934B1 (ko) * 2015-07-07 2022-07-07 에이에스엠 아이피 홀딩 비.브이. 박막 증착 장치

Also Published As

Publication number Publication date
US10822695B2 (en) 2020-11-03
KR20170006214A (ko) 2017-01-17
CN106337169A (zh) 2017-01-18
US20170009347A1 (en) 2017-01-12
CN106337169B (zh) 2019-12-10
TWI615501B (zh) 2018-02-21
US20200224308A1 (en) 2020-07-16
US10662525B2 (en) 2020-05-26
KR102417934B1 (ko) 2022-07-07

Similar Documents

Publication Publication Date Title
TWI615501B (zh) 氣流控制裝置、噴頭組件及半導體製造設備
JP7250098B2 (ja) 対称プラズマ処理チャンバ
TWI662640B (zh) 氣體供應單元及包括氣體供應單元的基板處理裝置
US10190214B2 (en) Deposition apparatus and deposition system having the same
TWI717074B (zh) 基板處理裝置及基板支撐單元
TWI671792B (zh) 基板處理設備
TWI394209B (zh) 基底處理裝置及其方法
KR20230088467A (ko) 열적 균일 증착 스테이션
US20210032753A1 (en) Methods and apparatus for dual channel showerheads
US20210388495A1 (en) Asymmetric exhaust pumping plate design for a semiconductor processing chamber
KR20210065054A (ko) 가스 공급 블록 및 이를 포함하는 기판 처리 장치
JP2020510307A (ja) 流動性cvdのためのディフューザー設計
US20210079526A1 (en) Substrate processing apparatus and shower head
JP2020068373A (ja) 基板処理装置
KR101364196B1 (ko) 배치식 원자층 증착장치 및 이를 포함하는 클러스터형 원자층 증착장치
TWI580322B (zh) 基板處理設備
KR100683255B1 (ko) 플라즈마 처리 장치 및 배기 장치
KR20150104352A (ko) 가스 분배 장치 및 이를 구비하는 기판 처리 장치