KR20170006214A - 박막 증착 장치 - Google Patents

박막 증착 장치 Download PDF

Info

Publication number
KR20170006214A
KR20170006214A KR1020150096795A KR20150096795A KR20170006214A KR 20170006214 A KR20170006214 A KR 20170006214A KR 1020150096795 A KR1020150096795 A KR 1020150096795A KR 20150096795 A KR20150096795 A KR 20150096795A KR 20170006214 A KR20170006214 A KR 20170006214A
Authority
KR
South Korea
Prior art keywords
gas
holes
showerhead
side wall
plate
Prior art date
Application number
KR1020150096795A
Other languages
English (en)
Other versions
KR102417934B1 (ko
Inventor
장현수
김대연
이정호
김영훈
이승섭
김우찬
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Priority to KR1020150096795A priority Critical patent/KR102417934B1/ko
Priority to TW105119292A priority patent/TWI615501B/zh
Priority to CN201610523486.6A priority patent/CN106337169B/zh
Priority to US15/202,468 priority patent/US10662525B2/en
Publication of KR20170006214A publication Critical patent/KR20170006214A/ko
Priority to US16/834,283 priority patent/US10822695B2/en
Application granted granted Critical
Publication of KR102417934B1 publication Critical patent/KR102417934B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • H01L21/205
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)

Abstract

본 발명에 따른 반응 챔버는 반응기 벽, 반응기 벽과 접하며 반응공간을 정의하는 서셉터, 반응기 벽과 서셉터 사이에 적층으로 배치된 기체 흐름 제어 장치, 기체 채널 및 샤워헤드로 이루어진 샤워헤드부(showerhead member)로 이루어지며 상기 기체 흐름 제어 장치의 돌출된 측부에는 복수개의 관통홀이 형성되어 있고 상기 반응기 벽과 샤워헤드부 측부는 서로 이격되어 배기 통로가 형성되어 배기 통로를 지나는 잔류 기체는 상기 기체 흐름 제어 장치의 측부에 형성된 관통홀과 반응기 벽 상부에 형성된 기체 유출구를 통해 배출된다. 본 발명에 따른 반응 챔버는 불필요한 공간을 최소화하여 빠른 기체 교환을 가능하게 하는 반응공간과 배기 통로를 제공함으로써 고효율, 높은 생산성의 원자층 증착 공정을 가능하게 한다.

Description

박막 증착 장치 {Thin Film Deposition Apparatus}
본 발명은 기체 흐름 제어 장치 및 이를 포함하는 샤워헤드 어셈블리 및 반도체 제조 장치(예를 들어, 박막 증착 장치)에 관한 것이다.
본 발명은 반도체 제조 장치(예를 들어, 증착 장치)에 관한 것으로, 보다 상세하게는 화학반응이 이루어지는 반응 챔버(reaction chamber)에 관한 것이다.
증착 장치에 있어 반응 챔버는 화학반응이 이루어지는 공간으로서, 다양한 형태의 반응 챔버가 개발되어져 왔다. 대표적으로는 반응 기체가 기판에 대해 수직방향으로 공급되는 샤워헤드 방식(showerhead)의 반응 챔버와, 기판에 대해 수평 방향으로 기체가 공급되는 사이드 플로우 방식(side flow)이 있다. 전자의 샤워헤드 장치의 경우 반응기 중앙에서 가장자리를 향하여 반응 기체가 균일하게 공급되어 증착되는 박막의 균일도가 상대적으로 높은 장점이 있는 반면, 후자의 플로우 방식의 경우 장치의 구조가 상대적으로 간단하여 반응기체간의 빠른 교환이 가능하고 반응공간을 최소화 할 수 있다는 장점이 있다.
본 발명은 특히 샤워헤드 방식의 반응 챔버와 관련된 것으로, 특히 원자층 증착 공정(Atomic Layer Deposition)에 최적화된 최소의 반응공간을 가지며 빠른 배기를 가능하게 하는 샤워헤드 및 부속 장치로 이루어진 반응 챔버를 제공하고자 한다.
본 발명의 기술적 사상에 의한 일 실시예에 따른 기체 흐름 제어 장치가 제공된다. 상기 기체 흐름 제어 장치는, 기체 유입부(113)를 제공하며, 상기 기체 유입부(113)를 중심으로 연장되는 플레이트(301) 및 상기 플레이트(301)로부터 돌출된 측벽(123)으로서, 상기 측벽(123)을 관통하는 복수의 관통홀들(111)을 갖는 측벽을 포함할 수 있다. 선택적으로, 상기 플레이트(301)는 절연성 물질로 형성될 수 있다.
상기 기체 흐름 제어 장치(105)의 일 측면에 따르면, 상기 플레이트(301) 및 상기 측벽(123)에 의해, 상기 측벽(123)으로부터 파여진 오목 공간(concave space)이 형성되며, 상기 복수의 관통홀들(111)로부터 상기 오목 공간으로의 기체 배기 통로 공간이 제공될 수 있다.
본 발명의 기술적 사상에 의한 일 실시예에 따른 샤워헤드 어셈블리가 제공된다. 상기 샤워헤드 어셈블리는 전술한 기체 흐름 제어 장치 및 플레이트(301) 하부에서 기체 유입부(113)와 연결되는 샤워헤드부(109)를 포함할 수 있다.
상기 샤워헤드 어셈블리의 일 측면에 따르면, 상기 샤워헤드부(109)는 기체 채널(307) 및 샤워헤드(309)를 포함하며, 기체 채널(307)은 상기 기체 유입부(113)와 상기 샤워헤드(309) 사이에서 샤워헤드(309)와 기계적 연결부재(311)로 연결될 수 있다.
상기 샤워헤드 어셈블리의 다른 측면에 따르면, 상기 기체 채널(307)과 상기 샤워헤드(309) 사이에 기체 흐름 통로(119)가 형성될 수 있다. 또한, 기체 흐름 제어 장치의 기체 유입부(113)와 상기 기체 흐름 통로(119)를 연결하는 기체 유입 통로(307의 중심 부분 참조)가 형성될 수 있다.
본 발명에 따르는 반도체 제조 장치(예를 들어, 반응 챔버)는 반응기 벽(101), 기체 흐름 제어장치(105), 샤워헤드부(109) 및 서셉터(103)로 이루어진다. 상기 반응기 벽(101)의 상부에는 기체 유입구(705)와 기체 유출구(115)가 각각 제공되며 상기 기체 유입구(705)는 반응기 벽(101), 기체 흐름 제어 장치(105) 및 샤워헤드부(109)의 중앙부를 관통하는 기체 유입부(113)와 연결되어 반응기체가 샤워헤드부(109)로 공급되어진다. 상기 기체 흐름 제어장치(105)는 돌출된 측벽(123)을 가지며 측벽(123)에는 측벽을 관통하는 복수개의 관통홀(111)들이 형성되어지며 측벽(123)으로 둘러싸인 플레이트(301)로 이루어진다. 상기 기체 흐름 제어 장치(105)와 상기 반응기 벽(101) 사이 및 샤워헤드부(109)의 측벽과 상기 반응기 벽(101) 사이는 서로 이격 되어 배기 통로(즉, 기체 배출 통로 공간)가 형성되어 있고 샤워헤드부(109)를 통해 서셉터(103) 상의 기판에 공급된 반응기체는 상기 배기 통로 및 상기 기체 흐름 제어 장치 측벽(123)의 관통홀들(111)을 통과해 반응기 벽(101) 상단에 배치된 기체 유출구(115)를 통해 외부로 배기된다. 상기 기체 유출구(115)는 상기 기체 흐름 제어 장치의 중심부를 관통하는 기체 유입부(113)에 대하여 비대칭으로 배치되며 균일한 배기 효율을 위해 상기 관통홀들(111)의 크기와 배치 간격은 상기 기체 유출구에(115) 대한 관통홀들(111)의 상대적 위치에 따라 서로 상이하다. 본 발명에 따른 반도체 제조 장치(예를 들어, 반응 챔버)에서 상기 기체 흐름 제어 장치(105)와 샤워헤드부(109)는 적층 구조로 이루어져 있으며 상기 기체 흐름 제어 장치(105)와 반응기 벽(101) 사이, 상기 기체 흐름 제어 장치(105)와 샤워헤드부(109) 사이에는 O-ring과 같은 밀폐 부재를 수용하는 홈(groove)들을 더 포함하며 반응기 측벽(101)과 샤워헤드부(109) 사이는 서로 이격되어 배기 통로가 형성된다. 플라즈마 공정을 위한 RF power를 전달하기 위한 RF rod(713)는 상기 기체 흐름 제어 장치(105)를 관통하는 또 다른 관통 홀(303)을 통해 샤워헤드부(109)와 연결된다.
상기 반도체 제조 장치의 일 측면에 따르면, 기체 유입부(705) 및 기체 유출구(115)는 탑리드(701)에 연결될 수 있다. 또한, 상기 탑리드는 가열 부재(703)를 더 포함할 수도 있다.
본 발명의 또 다른 실시예에 따른 반도체 제조 장치는 적어도 두 개의 상기 반응 챔버(100)를 포함하는 외부 챔버(803), 적어도 두 개의 상기 반응 챔버(100)와 공유 되는 적어도 하나의 기체 공급부 및 기체를 배기하는 적어도 하나의 배기펌프로 이루어 진다.
예를 들어, 상기 반도체 제조 장치는, 적어도 두 개의 기체 유입구(705)와 적어도 두 개의 기체 유출구(115)를 제공하는 탑리드(701); 상기 탑리드(701)에 기계적 연결 부재(707)로 연결되며 상기 기체 유입구들(705)과 상기 기체 유출구들(115) 중에서 적어도 하나의 기체 유입구와 적어도 하나의 기체 유출구와 각각 연결된 적어도 두 개의 반응 챔버들(100)를 포함하고, 상기 반응 챔버들(100)은 적어도 하나의 원료기체 및 반응기체를 공급하는 동일한 기체 공급 장치를 공유하며, 적어도 하나의 동일한 배기 펌프를 공유할 수 있다.
본 발명에 따른 반응 챔버는 기판상에 균일하게 반응기체를 공급할 수 있어 박막의 균일도를 높일 수 있고, 샤워헤드 상단부에 배기 통로를 배치하여 최소의 반응공간을 형성함과 더불어 불필요한 반응 공간을 최소화 할 수 있다. 결과적으로 기체의 빠른 교환을 가능하게 하고 잔류기체에 의한 오염을 줄일 수 있으며, 높은 생산성과 더불어 고효율의 원자층 증착 공정을 진행할 수 있다.
도 1은 본 발명에 따른 반응 챔버의 단면을 나타내는 도면이다.
도 2는 본 발명에 따른 반응 챔버내 에서 기체 흐름 경로를 나타내는 도면이다.
도 3a는 본 발명에 따른 기체 흐름 제어 장치의 사시도이다.
도 3b는 본 발명에 따른 기체 흐름 제어 장치와 샤워헤드부를 결합한 구조의 단면도이다.
도 4a는 본 발명에 따른 반응 챔버의 단면의 일부를 나타내는 도면이다.
도 4b는 본 발명의 또 다른 실시예에 따른 반응 챔버의 단면의 일부를 나타내는 도면이다.
도 4c는 본 발명의 또 다른 실시예에 따른 반응 챔버의 단면의 일부를 나타내는 도면이다.
도 5a는 본 발명에 따른 기체 흐름 제어 장치의 평면도이다.
도 5b는 본 발명에 따른 기체 흐름 제어 장치의 또 다른 실시예를 나타내는 평면도이다.
도 6은 본 발명에 따른 또 다른 실시예를 나타내는 반응 챔버의 단면도이다.
도 7a는 본 발명에 따른 또 다른 실시예를 나타내는 반응 챔버의 상세 단면도이다.
도 7b는 도 7a의 반응 챔버를 다른 방향에서 바라본 단면도이다.
도 8은 본 발명에 따른 또 다른 실시예를 나타내는 반응 챔버의 단면도이다.
본 발명의 이점 및 특징, 그리고 그것들을 달성하는 방법은 첨부되는 도면과 함께 상세하게 후술되어 있는 실시예들을 참조하면 명확해질 것이다. 그러나 본 발명은 이하에서 개시되는 실시예들에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 것이며, 단지 본 실시예들은 본 발명의 개시가 완전하도록 하며, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이며, 본 발명은 청구항의 범주에 의해 정의될 뿐이다.
이하, 첨부한 도면을 참조하여 본 발명의 실시예에 대하여 설명한다.
먼저, 도 1을 참고하여, 본 발명의 한 실시예에 따른 증착 장치에 대하여 설명한다. 도 1은 본 발명에 따른 반응 챔버(100)의 단면을 나타내는 도면이다. 도 1에서 반응 챔버(100)는 반응기 벽(101)과 서셉터(103)가 접하면서 반응 공간 (125)을 형성한다. 반응기 벽(101) 상부와 서셉터(103) 사이에는 기체 흐름 제어 장치(105)와 기체 분사 수단인 샤워헤드 부(showerhead member)가 배치되어 있다. 샤워헤드부는 일체형으로 구성되질 수도 있고 기체 분사 홀들이 있는 부분이 분리된 분리형으로 구성되어질 수도 있다. 상기 기체 흐름 제어 장치(105)와 샤워헤드부(109)는 적층된 구조를 이루고 있고 상기 기체 흐름 제어 장치(105)는 측벽(123)을 포함하고 있으며 측벽(123)에는 복수개의 관통홀(111)들이 형성되어 있다. 반응기 벽(101)과 기체 흐름 제어 장치(105) 사이 및 기체 흐름 제어 장치(105)와 샤워헤드부(109) 사이에는 오링(O-ring)과 같은 밀폐부재를 수용할 수 있는 홈(127, 129, 131; groove)들이 형성되어 있으며 상기 밀폐부재로 서로 진공 밀폐를 이루고 있어, 외부기체의 유입 혹은 반응 공간 내의 반응기체 및 배기 통로내의 잔류 기체가 규정된 경로 이외의 영역으로 유출되는 것을 방지한다.
샤워헤드부(109)는 플라즈마 공정시 전극(electrode)으로 사용될 수 있다. 이 경우 샤워헤드부(109)는 알루미늄(Al)과 같은 금속 재질을 포함할 수 있다. 또한, 외부 플라즈마 생성기(미도시)에서 생성된 플라즈마를 샤워헤드부(109)에 전달하는 RF 로드(RF rod)(도 7b의 713)는 상기 반응기 벽(101) 상부와 기체 흐름 제어 장치(105)를 관통하는 RF rod 홀(도 3a의 303)을 통해 샤워헤드부(109)와 기계적으로 연결된다. 또한 상기 기체 흐름 제어 장치(105)는 세라믹과 같은 절연물질로 이루어짐으로써, 플라즈마 전극으로 사용되는 샤워헤드부(109)가 반응기 벽과 절연되도록 한다. 도 1에서 볼 수 있듯이 반응기 벽(101) 상부와 기체 흐름 제어 장치(105)의 중심부를 관통하는 기체 유입부(113)가 형성되어 있으며 샤워헤드부(109) 내부에는 기체 흐름 통로(119)가 추가로 형성되어 있어 외부의 기체 공급부(미도시)에서 기체 유입부(113)를 통해 공급된 반응 기체가 샤워헤드부(109)의 각 기체 분사 홀(133)들로 균일하게 공급되어진다. 도 1에서 또한 알 수 있듯이, 반응기 벽(101)의 상단에는 기체 유출부(115)가 배치되어 있으며 기체 유입부(113)에 대해 비대칭으로 배치되어 있다. 비록 도면에 도시되지는 않았지만, 기체 유출부(115)는 기체 유입부(113)에 대해 대칭으로 배치될 수도 있다. 또한 반응기 벽(101), 기체 흐름 제어 장치(105)와 샤워헤드 부(109)의 측벽은 서로 이격되어 공정 후 잔류기체가 배기되는 배기 통로(117)를 형성한다.
도 2는 본 발명에 따른 반응 챔버 에서의 반응 기체의 흐름을 보여주는 도면이다. 화살표는 기체 흐름의 방향을 보여 주는데, 외부의 기체 공급부(미도시)에서 기체 유입부(113)로 공급된 반응 기체는 기체 흐름 통로(119)를 통해 샤워헤드 내부에 형성된 기체 분사 홀(133)들로 균일하게 공급된다. 상기 반응 기체는 반응 공간(125) 내에서 혹은 기판(미도시) 상에서 화학반응을 통해 기판에 박막을 형성하게 된다. 박막 형성 후 잔류 기체는 반응기 벽(101)과 샤워헤드부(109)의 측벽 사이에 형성된 배기통로(117)를 거쳐 기체 흐름 제어 장치(105)의 측벽(123)에 형성된 관통홀(111)들을 통해 기체 흐름 제어 장치(105)의 내부 공간으로 유입되고 이후 기체 유출부(115)를 통해 외부로 배기된다.
이제, 도 1 및 도 2에서 각 부분에 대해 자세히 설명한다.
도 3a는 본 발명에 따른 기체 흐름 제어 장치(105)의 사시도이다. 도 3a에 따르면, 기체 흐름 제어 장치(105)는 측벽(123), 기체 유입부(113), 측벽(123)으로 둘러싸인 플레이트(301), RF rod 홀(303), 스크류홀(305), 관통홀(111) 및 O-ring등의 밀폐부재를 수용하는 홈(127)으로 이루어져 있다. 도 3a에서 플레이트(301)는 돌출된 측벽(123)으로 둘러싸여 내부가 오목한 형태를 가진다. 기체 흐름 제어 장치(105)의 일부에는 외부 반응기체가 유입되는 통로인 기체 유입부(113)가 배치되고 기체 유입부(113) 주위에는 기체 흐름 제어 장치(105)와 샤워헤드부(109)를 연결해 주는 기계적 연결부재인 스크류(그림 7b의 715)가 관통하는 적어도 두 개 이상의 스크류홀(305)이 제공된다. 기체흐름 제어 장치(105)의 한 일부에는 RF rod 홀(303)이 제공되어, 외부의 플라즈마 공급부(미도시)와 연결된 RF rod(그림 7b의 713)가 기체 흐름 제어 장치(105)의 하부에 위치한 샤워헤드부(109)와 연결되도록 한다.
도 3a에서는 두 개의 RF rod 홀(303)이 형성 되어 있는데 복수 개의 RF rod를 설치함으로써 반응 공간에 공급되는 플라즈마 파워의 균일성을 높일 수 있다. 그러나 도 3a에서와 달리 하나 혹은 세 개 이상의 RF rod및 그에 상응하는 수의 홀이 제공될 수도 있다. 또한 도 3a에서는 RF rod 홀(303)의 위치가 스크류홀(303)과 측벽(123) 사이에 위치되도록 도시되었으나, 본 발명은 이에 제한되지 않는다. 예를 들어, RF rod 홀(303)은 스크류홀(303)과 마찬가지로 기체 유입부(113) 주변에 배치될 수도 있다.
기판상에서 반응을 마친 잔류 기체들은 배기통로(117)를 거쳐 기체 흐름 제어 장치(105)의 측벽(123)에 형성된 관통홀(10)들을 통해 기체흐름 제어 장치(105)의 플레이트(301)로 유입되며 플레이트(301) 내부 공간을 가로질러 기체 유출부(115)를 통해 외부로 배기된다. 측벽의 상부에 형성된 홈(127,129)들에는 O-ring등의 밀폐부재가 삽입되어 반응기 벽(101)과 진공 차폐(sealing)를 하게 되므로 배기통로(117)를 통해 기체 흐름 제어 장치(105)내의 플레이트(301)로 유입되는 잔류 기체는 관통홀(111)을 통해서만 플레이트(301) 내부로 유입된다. 또한 도 3a에 도시되지는 않았지만, RF rod 홀(303)과 반응기 벽(101) 상단 사이 및 스크류 홀(305)과 반응기 벽(101) 상단 사이에도 O-ring과 같은 밀폐부재를 수용하는 또 다른 홈(그림 7b의 717, 721)들이 형성될 수 있다. 따라서 배기되는 잔류 기체가 규정된 배기 경로를 벗어나 외부로 유출되는 것을 방지할 수 있도록 진공 차폐가 이루어진다.
도 3b는 상기 기체 흐름 제어 장치(105)와 샤워헤드부(109)가 적층된 구조인 샤워헤드 어셈블리(showerhead assembly)의 단면을 나타내는 단면도이다. 도 3b에서는 샤워헤드부(109)가 분리형으로 구성되어 있으며 구체적으로는 기체 채널(307)과 샤워헤드(309)가 적층된 형태로 이루어져 있고 스크류(311) 등의 기계적 결합 부재로 연결되어 있다. 샤워헤드부(109)가 플라즈마 공정시 전극(electrode)으로 사용되는 경우, 기체 채널(109)은 금속 재질을 포함할 수 있다. 또한 RF 로드(도 7b의 713)는 RF rod 홀(303)을 통해 기체 채널(109)과 기계적으로 연결될 수 있다(도 7b 참조).
도 4a, 4b, 4c는 본 발명에 따른 반응 챔버 일부의 단면을 나타내는 도면이다. 도 4a에서 반응기 벽(101)과 샤워헤드부(109)는 이격되어 배기통로(117)를 형성하고 기체 흐름 제어 장치(105)의 측벽(123)에는 복수개의 관통홀(111)이 형성되어 있다. 반응기 벽(101)과 접하는 측벽(123) 상부와 기체 샤워헤드(109)와 접하는 부분에는 홈들(127, 131)이 형성되어 있어 O-ring과 같은 밀폐부재를 수용하여 진공 차폐가 가능하다. 따라서 배기 통로(117)를 지나는 잔류 기체들이 관통홀(111)을 통해서만 기체 흐름 제어장치(105)내부로 유입될 수 있다. 도 4a에서 관통홀은 배기 통로(117)와 직각 방향으로 형성되어 있으나, 도 4b에서와 같이 관통홀의 유입부(401)가 배기 통로(117)를 향하여 기울어지게 형성될 수도 있다. 이러한 구조에서는 배기 통로(117)를 지나는 잔류 기체의 진행 방향 대비, 관통홀 유입부(401)로 유입되는 각도(θ)를 직각 보다 작게 함으로써 관통홀 유입부(401) 주변에서 와류(turbulence flow)가 발생하는 것을 최소화하여 배기 효율이 저하되는 것을 방지하게 된다. 혹은 도 4c에서 볼 수 있듯이, 관통홀(111)이 형성된 측벽(123)의 폭(A)을 기체 흐름 제어 장치(105) 가장자리까지 폭(B)보다 작게 구성함으로써 관통홀 유입부(501) 주변의 잔류기체가 경로상 꺾임이 없이 관통홀(111)로 바로 유입될 수 있도록 한다. 따라서 관통홀 유입부(402) 주위에서 와류 형성 없이 층 흐름(laminate flow)을 유지하면서 관통홀(111)내로 유입되게 되어 보다 배기 효율이 높고 원활한 배기가 가능하다. 비록 도면에 도시되지는 않았지만, 전술한 와류 형성을 방지하기 위해, 반응기 벽(101) 또는 기체 흐름 제어 장치(105)의 형상이 수정될 수도 있다. 예를 들어, 기체 흐름 제어 장치(105)의 모서리 부분(도 4c의 C)은 모따기(chamfered)될 수 있다.
본 발명은 도면에 도시된 형태 이외에도 다양한 변형예를 가질 수 있다. 예를 들어, 도 4a 내지 도 4c에 도시된 실시예에서 측벽(123)은 플레이트(105)가 연장되는 방향과 다른 방향으로 돌출되었으나, 측벽(123)은 플레이트(105)가 연장되는 방향과 동일한 방향으로 돌출될 수도 있다. 이 경우 측벽(123)을 관통하는 관통홀(111)은 플레이트(105)가 연장되는 방향과 다른 방향으로 연장될 것이다.
도 5a, 5b는 기체 흐름 제어 장치(105)의 평면도이며 측벽(123)에 형성된 관통홀(111)의 분포 및 크기를 보여주는 도면이다. 도 5a에서는 관통홀(111)들의 크기는 모두 동일하다. 그러나 기체 유출부(115)와 가까운 곳의 측벽(A)에 있는 관통홀(111)들 간의 간격은 그렇지 않은 곳(B, C)의 관통홀(11)들의 간격보다 넓다. 도 5a에서는 기체 유출부(115)와 정반대 위치(C)에 배치되어 배기효율이 상대적으로 떨어지는 관통홀(111)들 간의 간격은 조밀한 반면, 기체 유출부(115)에 가까워 배기효율이 상대적으로 높은 위치의 측벽(A)에 형성된 관통홀(111)들 간의 간격은 넓게 유지함으로써 전체적으로 배기 효율이 균일해 지도록 하였다. 그림 5b에서는 관통홀(111)들 간의 간격은 동일한 반면, 기체 유출부(115)의 상대적 위치에 따라 관통홀(111)들의 크기를 달리하였는데, 기체 유출부(115)와 가까운 측벽(A)에 있어 배기 효율이 상대적으로 높은 관통홀(111)들은 크기가 작은 반면, 기체 유출부(115)와 반대 방향에 배치되어 배기효율이 상대적으로 낮은 측벽(C)에 형성된 관통홀(111)들은 크기가 큼으로써 전체적으로 배기 효율이 균일하도록 구성하였다.
비록 도 5a 및 도 5b에는 하나의 기체 유출부(115)만이 도시되었으나, 기체 유출부(115)는 복수로 구성될 수도 있다. 기체 유출부(115)가 복수로 구성된 경우 이들 기체 유출부들은 대칭적으로 또는 비대칭적으로 배치될 수 있다. 또한 관통홀(111)은 전술한 바와 같이 배기 효율의 균일성이 달성될 수 있도록 그 크기, 모양, 및 배치 간격 중 적어도 하나가 조절될 수 있다. 예를 들어, 관통홀들 중 기체 유출구와 가까운 관통홀들은, 기체 유출구로부터 멀리 떨어진 관통홀들에 비해, 그 크기가 작거나, 배치 간격이 더 넓을 수 있다.
도 6은 본 발명에 따른 또다른 실시예를 나타내는 반응 챔버의 단면도이다. 도 6에서는 외부 챔버(601)가 본 발명에 따른 반응 챔버(100)를 내부에 포함하는 이중 챔버 구조를 나타내고 있다. 반응 챔버(100)는 외부 챔버(601)의 상단인 탑리드에 기계적으로 고정되어 있다. 외부 챔버 내부의 압력은 반응 챔버(100) 내부의 압력보다 낮게 설정함으로써 아르곤 같은 외부 챔버(601) 내부의 충진 기체가 반응기 벽(101)과 서셉터(103)의 접촉 부위를 통해 반응 챔버(100)내부로 유입되는 것을 방지할 수 있다. 외부 챔버(601) 측벽에는 기판 입/출입이 가능하도록 개폐 통로(미도시, 도 8 참조)가 제공된다. 서셉터(103)는 서셉터 지지부(605)에 의해 지지되며 서셉터 지지부(605)는 기판을 지지하는 기판 지지핀(미도시)를 포함하며 상하 및 회전 운동이 가능하다. 따라서 기판 장착/탈착 시에는 상하 운동이 가능한 서셉터 지지부(605)가 밑으로 내려가면서 반응 공간(125)이 개방된다. 기판은 기판 지지핀(미도시)에 의해 들려지게 되고 외부 챔버(601) 측벽의 개폐 통로(미도시)를 통해 반응 공간 내에 삽입된 이송 기구에 의해 기판 삽입 및 제거가 진행된다. 공정 시에는 서셉터 지지부(605)는 상승함과 동시에 기판을 지지하는 기판 지지핀은 하강하여 기판은 서셉터에 안착하게 되고 서셉터(103)는 반응기 벽(101) 하부와 접촉하면서 반응 공간(125)을 형성하게 된다.
도 7a, 7b는 도 6 에 따른 반응 챔버(100)의 또 다른 실시예를 나타내는 도면이다. 도 7a에서 반응 챔버(100)의 반응기 벽(101)은 스크류(707) 등의 기계적 연결 수단에 의해 외부 챔버 상단의 탑리드(701)에 연결되어 있다. 또한 반응기 벽(101)의 온도를 높일 수 있도록 반응기 벽(101) 상단에 히터와 같은 가열부재(703)를 추가할 수 있다. 가열 부재(703)로 반응기 벽(101)을 가열함으로써 배기 통로(117) 및 기체 흐름 제어 장치(105) 주변에 잔류하는 기체를 보다 신속히 휘발시키거나 혹은 보다 단단한 막으로 만듦으로써, 반응 챔버 내에 부유하면서 반응 공간을 오염시키는 오염원이 되는 것을 방지할 수 있다. 도 7a에서는 기체 유입부(113) 상단에 추가로 기체 유입구(705)를 도입했는데, 기체 유입구(705)의 측벽에 복수개의 기체 유입 홀(미도시)들을 추가로 도입함으로써 보다 많은 기체를 반응 챔버(100)내로 공급할 수 있다. 또한 도 7a에서 샤워헤드부(109)는 도 1에서와 같은 일체형이 아니라 분리형으로 구성되어 있으며 구체적으로는 기체 채널(307) 및 샤워헤드(309)의 적층 구조로 이루어져 있으며 스크류(311)와 같은 기계적 연결 부재로 연결되어 있다. 분리형 구조를 도입함으로써 샤워헤드 설치, 분리 및 주기적 유지 보수가 보다 용이해진다.
도 7b는 도 7a의 반응 챔버를 다른 방향에서 바라본 단면도이다. 도 7b에서는 샤워헤드부(109)가 스크류(715)와 같은 기계적 연결부재에 의해 기체 흐름 제어 장치(105)와 연결되어 있다. 기체 흐름 제어 장치(105)의 측벽과 반응기 벽(101) 상단 사이에, RF rod 홀(303) 주변에 형성된 돌출 부분과 반응기 벽(101) 상단 사이에, 그리고 스크류 홀(305) 주변에 형성된 돌출 부분과 반응기 벽(101) 상단 사이에는 홈이 형성될 수 있고, 상기 홈에 놓여진 O-ring과 같은 밀폐수단에 의해 외부와 진공차폐 될 수 있다.
도 8은 본 발명에 따른 반응 챔버의 또 다른 실시예를 나타내는 단면도이다. 도 8에서 복수개의 반응 챔버(100)들이 탑리드(801)와 외부 챔버(803)로 이루어진 챔버 내부(805)에 설치되어 있고 각 반응 챔버(100)의 기체 흐름 제어 장치, 샤워헤드부, 기체 유입부와 기체 유출부는 탑리드(801)에 설치되어 있다. 복수개의 반응 챔버(100)들은 동일한 기체 공급부와 동일한 배기펌프를 공유하고 있어서 복수의 기판상에 동일한 공정을 동시에 처리할 수 있어 생산성 향상을 이룰 수 있다.
복수의 기판 상에 동일한 공정을 동시에 처리하는 공정은 다음과 같다. 먼저 외부 챔버(803)의 개폐 통로를 통해 챔버 내부(805)로 이송 기구가 삽입되어 기판이 복수의 서셉터들(103) 상으로 안착된다. 이후 외부 챔버(803)의 챔버 내부(805)는 진공 상태가 되거나, 아르곤과 같은 불활성 기체로 충진된다. 이후 서셉터(103)는 반응기 벽(101) 하부와 접촉하면서 반응 공간(125)을 형성하게 된다. 전술한 바와 같이, 챔버 내부(805)의 압력은 반응 챔버(100) 내부의 압력보다 낮게 설정될 수 있다.
선택적으로, 기체 공급부와 배기펌프를 공유하지 않고 개별적으로 연결구성을 함으로써 서로 상이한 공정들을 동시에 진행할 수도 있다. 예컨대, 반응 챔버 간에 기판을 순차적으로 이동하여 박막을 증착함으로써 대기에의 노출이나 대기 시간을 최소화 하면서 복합 박막 공정을 신속히 진행할 수 있다.

Claims (22)

  1. 기체 유입부를 제공하며, 상기 기체 유입부를 중심으로 연장되는 플레이트;
    상기 플레이트로부터 돌출된 측벽으로서, 상기 측벽을 관통하는 복수의 홀들을 갖는 측벽을 포함하는, 기체 흐름 제어 장치.
  2. 청구항 1에 있어서,
    상기 플레이트 및 상기 측벽에 의해, 상기 측벽으로부터 파여진 오목 공간(concave space)이 형성되며,
    상기 복수의 홀들로부터 상기 오목 공간으로의 기체 배기 통로 공간이 제공되는 것을 특징으로 하는, 기체 흐름 제어 장치.
  3. 청구항 1에 있어서,
    상기 복수의 홀들은 제1 홀들 및 제2 홀들을 포함하고,
    상기 제1 홀들의 크기, 모양, 및 배치 간격 중 적어도 하나와 상기 제2 홀들의 크기, 모양, 배치 간격 중 적어도 하나는 서로 다른 것을 특징으로 하는, 기체 흐름 제어 장치.
  4. 청구항 1에 있어서,
    상기 측벽은 밀폐 부재를 수용하는 형상을 갖도록 형성되는 것을 특징으로 하는, 기체 흐름 제어 장치.
  5. 청구항 1 내지 4 중 어느 한 항에 따른 기체 흐름 제어 장치; 및
    상기 플레이트 하부에서 상기 기체 유입부와 연결되는 샤워헤드를 포함하는 샤워헤드 어셈블리
  6. 청구항 5에 있어서, 상기 기체 유입부와 상기 샤워헤드 사이에 샤워헤드와 기계적 연결부재로 연결된 기체 채널을 더 포함하는 샤워헤드 어셈블리
  7. 청구항 6에 있어서, 상기 기체 채널과 상기 샤워헤드 사이에 기체 흐름 통로를 더 포함하는 샤워헤드 어셈블리.
  8. 청구항 7에 있어서, 상기 기체 흐름 제어 장치의 기체 유입부와 상기 기체 흐름 통로를 연결하는 기체 유입 통로를 더 포함하는 샤워헤드 어셈블리.
  9. 청구항 8에 있어서,
    상기 기체 흐름 제어 장치와 상기 기체 채널 사이에 위치된 밀폐 부재를 더 포함하는 샤워헤드 어셈블리.
  10. 청구항 8에 있어서,
    상기 기체 흐름 제어 장치는 상기 플레이트를 관통하도록 형성된 적어도 하나의 스크류 홀과 적어도 하나의 RF rod홀을 더 포함하며,
    상기 기체 흐름 제어 장치 및 상기 기체 채널은, 상기 스크류 홀에 제공된 연결 부재를 통해 기계적으로 연결되는 것을 특징으로 하는, 샤워헤드 어셈블리.
  11. 반응기 벽;
    상기 반응기 벽에 연결되고, 기체 유입부를 제공하며 상기 기체 유입부를 중심으로 연장되는 플레이트;
    상기 플레이트로부터 돌출된 측벽으로서, 상기 측벽을 관통하는 복수의 홀들을 갖는 측벽;
    상기 플레이트의 하부에서 상기 기체 유입부와 연결되는 샤워헤드부; 및
    상기 기체 유입부는 상기 플레이트를 관통하며 형성되며, 상기 샤워헤드부와 상기 반응기 측벽 사이 및 상기 플레이트와 상기 반응기 측벽 사이에 기체 배출 통로가 형성되는 반도체 제조장치.
  12. 청구항 11에 있어서,
    상기 복수의 홀들을 통해 상기 샤워헤드부와 상기 플레이트 외측으로부터 상기 기체 유출구로의 기체 배기 통로 공간이 제공되는 것을 특징으로 하는, 반도체 제조 장치.
  13. 청구항 11에 있어서,
    상기 플레이트는 절연성 물질로 형성되는 것을 특징으로 하는, 반도체 제조 장치.
  14. 청구항 11에 있어서,
    상기 기체 유출구는 상기 기체 유입부를 중심으로 비대칭적으로 배치되고,
    상기 복수의 홀들은 제1 홀들 및 제2 홀들을 포함하고,
    상기 제1 홀들의 크기, 모양, 및 배치 간격 중 적어도 하나와 상기 제2 홀들의 크기, 모양, 배치 간격 중 적어도 하나는 서로 다른 것을 특징으로 하는, 반도체 제조 장치.
  15. 청구항 11에 있어서,
    상기 복수의 홀들은, 상기 기체 유출구로부터 제1 거리 이내에 배치된 제1 홀들 및 상기 기체 유출구로부터 상기 제1 거리를 넘어서 배치된 제2 홀들을 포함하고,
    상기 제1 홀들의 크기는 상기 제2 홀들의 크기보다 작거나, 상기 제1 홀들의 배치 간격은 상기 제2 홀들의 배치 간격보다 넓은 것을 특징으로 하는, 반도체 제조 장치.
  16. 청구항 11 내지 청구항 15 중 어느 한 항에 있어서,
    탑리드;
    상기 플레이트, 상기 측벽 및 상기 샤워헤드부를 하우징하는 챔버; 및
    상기 샤워헤드부 하부에 배치된 서셉터를 더 포함하고,
    상기 샤워헤드부로부터 상기 서셉터를 향해 분사되는 반응 기체는, 샤워헤드, 반응기 측벽에 형성된 기체 배출 통로, 상기 홀들, 및 상기 기체 유출부를 거쳐 외부로 배기되는 것을 특징으로 하는, 반도체 제조 장치.
  17. 청구항 16에 있어서,
    상기 챔버와 상기 측벽 사이에 위치된 밀폐 부재를 더 포함하는, 반도체 제조 장치.
  18. 청구항 16에 있어서,
    상기 플레이트와 상기 기체 채널 사이에 위치된 밀폐 부재를 더 포함하는 반도체 제조 장치.
  19. 청구항 16에 있어서,
    상기 샤워헤드부는 기체 채널과 샤워헤드로 이루어지는 반도체 제조 장치.
  20. 청구항 19에 있어서, 상기 기체 채널과 상기 샤워헤드 사이에 기체 흐름 통로를 더 포함하는 반도체 제조 장치.
  21. 청구항 16에 있어서, 상기 탑리드는 가열 부재를 더 포함하는 반도체 제조 장치.
  22. 적어도 두 개의 기체 유입구와 적어도 두 개의 기체 유출구를 제공하는 탑리드;
    상기 탑리드에 연결되며 상기 기체 유입구들과 상기 기체 유출구들 중에서 적어도 하나의 기체 유입구와 적어도 하나의 기체 유출구와 각각 연결된 적어도 두 개의 반응 챔버들;
    상기 반응 챔버들은 원료기체 및 반응기체 중 적어도 하나를 공급하는 동일한 기체 공급 장치를 공유하며, 적어도 하나의 동일한 배기 펌프를 공유하는 반도체 제조 장치.
KR1020150096795A 2015-07-07 2015-07-07 박막 증착 장치 KR102417934B1 (ko)

Priority Applications (5)

Application Number Priority Date Filing Date Title
KR1020150096795A KR102417934B1 (ko) 2015-07-07 2015-07-07 박막 증착 장치
TW105119292A TWI615501B (zh) 2015-07-07 2016-06-20 氣流控制裝置、噴頭組件及半導體製造設備
CN201610523486.6A CN106337169B (zh) 2015-07-07 2016-07-05 薄膜沉积装置
US15/202,468 US10662525B2 (en) 2015-07-07 2016-07-05 Thin film deposition apparatus
US16/834,283 US10822695B2 (en) 2015-07-07 2020-03-30 Thin film deposition apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020150096795A KR102417934B1 (ko) 2015-07-07 2015-07-07 박막 증착 장치

Publications (2)

Publication Number Publication Date
KR20170006214A true KR20170006214A (ko) 2017-01-17
KR102417934B1 KR102417934B1 (ko) 2022-07-07

Family

ID=57730782

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150096795A KR102417934B1 (ko) 2015-07-07 2015-07-07 박막 증착 장치

Country Status (4)

Country Link
US (2) US10662525B2 (ko)
KR (1) KR102417934B1 (ko)
CN (1) CN106337169B (ko)
TW (1) TWI615501B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190023560A (ko) * 2017-08-29 2019-03-08 주식회사 테스 기판처리장치 및 그 세정방법
WO2021154950A1 (en) * 2020-01-29 2021-08-05 Lam Research Corporation Gas distribution faceplate with oblique flow paths
US11222772B2 (en) * 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus

Families Citing this family (253)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR102297567B1 (ko) * 2014-09-01 2021-09-02 삼성전자주식회사 가스 주입 장치 및 이를 포함하는 박막 증착 장비
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
KR102417934B1 (ko) * 2015-07-07 2022-07-07 에이에스엠 아이피 홀딩 비.브이. 박막 증착 장치
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
TWD178699S (zh) * 2016-01-08 2016-10-01 ASM知識產權私人控股有&#x9 用於半導體製造設備的氣體分散板
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
TWI649446B (zh) * 2017-03-15 2019-02-01 漢民科技股份有限公司 應用於半導體設備之可拆卸式噴氣裝置
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR20210087084A (ko) * 2018-11-30 2021-07-09 어플라이드 머티어리얼스, 인코포레이티드 3d nand 애플리케이션을 위한 막 스택 오버레이 개선
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11335591B2 (en) 2019-05-28 2022-05-17 Applied Materials, Inc. Thermal process chamber lid with backside pumping
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) * 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202133365A (zh) * 2019-09-22 2021-09-01 美商應用材料股份有限公司 使用具有可調式泵的處理腔室蓋的ald循環時間縮減
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
CN111321463B (zh) * 2020-03-06 2021-10-15 北京北方华创微电子装备有限公司 反应腔室
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
WO2022051079A1 (en) * 2020-09-02 2022-03-10 Applied Materials, Inc. Showerhead design to control stray deposition
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115305458B (zh) * 2022-10-10 2023-02-03 中微半导体设备(上海)股份有限公司 一种气体分配件、气体输送装置及其薄膜处理装置

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20080035442A (ko) * 2006-10-18 2008-04-23 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드 아시아 반도체 공작물 처리반응기에 사용되는 기체분배장치 및반응기
KR20130012361A (ko) * 2011-07-25 2013-02-04 피에스케이 주식회사 배플 및 이를 포함하는 기판 처리 장치
KR101245769B1 (ko) * 2009-07-28 2013-03-20 엘아이지에이디피 주식회사 화학기상증착장치, 화학기상증착장치용 가이드부재 및 화학기상증착장치를 이용한 박막제조방법
KR20140103080A (ko) * 2013-02-15 2014-08-25 노벨러스 시스템즈, 인코포레이티드 온도 제어를 구비한 다중 플레넘 샤워헤드

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5680013A (en) * 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US6300255B1 (en) * 1999-02-24 2001-10-09 Applied Materials, Inc. Method and apparatus for processing semiconductive wafers
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6586343B1 (en) * 1999-07-09 2003-07-01 Applied Materials, Inc. Method and apparatus for directing constituents through a processing chamber
KR100378871B1 (ko) * 2000-02-16 2003-04-07 주식회사 아펙스 라디칼 증착을 위한 샤워헤드장치
CN1468974A (zh) 2001-11-17 2004-01-21 厦门三安电子有限公司 一种制作ⅲ族氮化物材料的方法
US7017514B1 (en) * 2001-12-03 2006-03-28 Novellus Systems, Inc. Method and apparatus for plasma optimization in water processing
KR20040007963A (ko) 2002-07-15 2004-01-28 삼성전자주식회사 단원자층 증착 반응장치
US20050011447A1 (en) * 2003-07-14 2005-01-20 Tokyo Electron Limited Method and apparatus for delivering process gas to a process chamber
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US7622005B2 (en) * 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
JP2006128485A (ja) * 2004-10-29 2006-05-18 Asm Japan Kk 半導体処理装置
US20060137608A1 (en) * 2004-12-28 2006-06-29 Choi Seung W Atomic layer deposition apparatus
KR20060100961A (ko) 2005-03-16 2006-09-22 삼성전자주식회사 샤워헤드 및 이를 구비한 원자층 증착설비
JP2009088229A (ja) * 2007-09-28 2009-04-23 Tokyo Electron Ltd 成膜装置、成膜方法、記憶媒体及びガス供給装置
CN103194737B (zh) * 2012-01-05 2015-06-10 中国科学院微电子研究所 一种用于原子层沉积设备的气体分配器
KR20130086806A (ko) 2012-01-26 2013-08-05 삼성전자주식회사 박막 증착 장치
US9353439B2 (en) * 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
KR101467195B1 (ko) 2013-05-14 2014-12-01 주식회사 아바코 가스 분사기 및 이를 포함하는 박막 증착 장치
CN104746047A (zh) 2013-12-31 2015-07-01 丽佳达普株式会社 原子层沉积装置
CN104046960B (zh) * 2014-06-24 2016-08-17 北京七星华创电子股份有限公司 一种应用于薄膜沉积技术的气体分配器
JP2016039356A (ja) * 2014-08-06 2016-03-22 ピーエスケー・インコーポレーテッド バッフル及びこれを含む基板処理装置
KR102417934B1 (ko) * 2015-07-07 2022-07-07 에이에스엠 아이피 홀딩 비.브이. 박막 증착 장치

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20080035442A (ko) * 2006-10-18 2008-04-23 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드 아시아 반도체 공작물 처리반응기에 사용되는 기체분배장치 및반응기
KR101245769B1 (ko) * 2009-07-28 2013-03-20 엘아이지에이디피 주식회사 화학기상증착장치, 화학기상증착장치용 가이드부재 및 화학기상증착장치를 이용한 박막제조방법
KR20130012361A (ko) * 2011-07-25 2013-02-04 피에스케이 주식회사 배플 및 이를 포함하는 기판 처리 장치
KR20140103080A (ko) * 2013-02-15 2014-08-25 노벨러스 시스템즈, 인코포레이티드 온도 제어를 구비한 다중 플레넘 샤워헤드

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11222772B2 (en) * 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
KR20190023560A (ko) * 2017-08-29 2019-03-08 주식회사 테스 기판처리장치 및 그 세정방법
WO2021154950A1 (en) * 2020-01-29 2021-08-05 Lam Research Corporation Gas distribution faceplate with oblique flow paths

Also Published As

Publication number Publication date
US20170009347A1 (en) 2017-01-12
TWI615501B (zh) 2018-02-21
TW201702422A (zh) 2017-01-16
KR102417934B1 (ko) 2022-07-07
US10822695B2 (en) 2020-11-03
CN106337169B (zh) 2019-12-10
US10662525B2 (en) 2020-05-26
CN106337169A (zh) 2017-01-18
US20200224308A1 (en) 2020-07-16

Similar Documents

Publication Publication Date Title
KR20170006214A (ko) 박막 증착 장치
US10190214B2 (en) Deposition apparatus and deposition system having the same
CN108206151B (zh) 基板处理设备
CN108070846B (zh) 气体供应单元及包括气体供应单元的基板处理装置
US10358721B2 (en) Semiconductor manufacturing system including deposition apparatus
KR102557061B1 (ko) 다중-구역 반응기, 반응기를 포함하는 시스템, 및 이를 이용하는 방법
KR101236108B1 (ko) 기판 처리 장치 및 반도체 장치의 제조 방법
KR102009784B1 (ko) 대칭적인 플라즈마 프로세스 챔버
KR100770461B1 (ko) 가스 처리 장치 및 성막 장치
KR20200091543A (ko) 기판 처리 장치
TWI774283B (zh) 用於產生派形加工的電漿源組件、處理腔室及方法
JP5121698B2 (ja) プラズマ処理装置
KR20140103080A (ko) 온도 제어를 구비한 다중 플레넘 샤워헤드
EP1915470A2 (en) Deposition apparatus for semiconductor processing
KR20150032656A (ko) 급속 열 처리를 이용한 원자 층 증착
KR100796980B1 (ko) 기판 처리 장치 및 방법
KR101152598B1 (ko) 기판처리장치
KR101364196B1 (ko) 배치식 원자층 증착장치 및 이를 포함하는 클러스터형 원자층 증착장치
CN116344310A (zh) 等离子体处理装置和等离子体处理装置的制造方法
KR20190014645A (ko) 박막증착장치
KR20130121265A (ko) 배치식 장치

Legal Events

Date Code Title Description
N231 Notification of change of applicant
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right