KR102009784B1 - 대칭적인 플라즈마 프로세스 챔버 - Google Patents

대칭적인 플라즈마 프로세스 챔버 Download PDF

Info

Publication number
KR102009784B1
KR102009784B1 KR1020130043537A KR20130043537A KR102009784B1 KR 102009784 B1 KR102009784 B1 KR 102009784B1 KR 1020130043537 A KR1020130043537 A KR 1020130043537A KR 20130043537 A KR20130043537 A KR 20130043537A KR 102009784 B1 KR102009784 B1 KR 102009784B1
Authority
KR
South Korea
Prior art keywords
central
assembly
chamber body
region
substrate support
Prior art date
Application number
KR1020130043537A
Other languages
English (en)
Other versions
KR20130050946A (ko
Inventor
제임스 디. 카르두치
하미드 타바솔리
아지트 발라크리쉬나
지강 첸
앤드류 뉴옌
더글라스 에이. 쥬니어 부치버거
카르틱 라마스와미
샤히드 라우프
케네스 에스. 콜린스
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20130050946A publication Critical patent/KR20130050946A/ko
Application granted granted Critical
Publication of KR102009784B1 publication Critical patent/KR102009784B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3323Problems associated with coating uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3344Problems associated with etching isotropy

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 발명의 실시예들은 챔버를 통해 극히 대칭적인 전기적, 열적, 및 가스 플로우 전도성을 허용하는 플라즈마 챔버 디자인을 제공한다. 이러한 대칭성을 제공함으로써, 상기 챔버 내에 형성된 플라즈마는 자연적으로 상기 챔버의 프로세싱 영역 내에 배치된 기판의 표면을 가로질러 개선된 균일성을 갖는다. 나아가, 가스 주입구와 처리되는 기판 사이뿐만 아니라 상부 및 하부 전극들 사이의 간극을 조작하는 능력을 제공하는 것과 같은 다른 챔버 부가 사항들이 종래 시스템들에 대비하여 플라즈마 프로세싱 및 균일성의 더 나은 제어를 허용한다.

Description

대칭적인 플라즈마 프로세스 챔버{SYMMETRIC PLASMA PROCESS CHAMBER}
본 발명은 일반적으로 전극들 사이에 인가되는 RF 파워에 의해 플라즈마가 생기는 기판 제조용 플라즈마 프로세싱 장치에 관한 것이다. 더 상세하게는, 본 발명은 개선된 플라즈마 균일성 제어를 위해 전기적, 가스 흐름, 및 열적 대칭성을 제공하는 플라즈마 프로세싱 챔버에 관한 것이다.
평판 디스플레이(FPDs) 및 집적회로와 같은 전자 장치들은 통상 층들이 기판 상에 증착되고 증착된 재료가 원하는 패턴으로 식각되는 일련의 프로세스 단계들에 의해 제조된다. 상기 프로세스 단계들은 통상 물리 기상 증착(PVD), 화학 기상 증착(CVD), 플라즈마 강화 CVD(PECVD), 및 다른 플라즈마 프로세싱을 포함한다. 특히, 플라즈마 프로세스는 진공 챔버에 프로세싱 가스 혼합물을 공급하고, 전기 또는 전자기 파워(RF 파워)를 인가하여 상기 프로세스 가스를 플라즈마 상태로 여기 시킬 것을 요구한다. 상기 플라즈마는 상기 가스 혼합물을 원하는 증착 또는 식각 프로세스들을 수행하는 이온 종들로 분해한다.
플라즈마 프로세스들에서 직면하는 하나의 문제는 프로세싱 동안 기판 표면 상부에 균일한 플라즈마 밀도를 수립하는 것에 관련된 어려움인데, 이는 기판의 중앙과 가장자리 영역들 사이의 불균일 프로세싱으로 이어진다. 균일한 플라즈마 밀도를 수립함에 있어서의 이 어려움에 대한 하나의 이유는 물리적인 프로세스 챔버 디자인에서의 비대칭성에 기인한 자연적인 전기적, 가스 흐름, 및 열적 왜곡(skews)을 수반한다. 이러한 왜곡은 물론 방위각의 불균일 플라즈마 밀도를 초래할 뿐만 아니라, 중앙-대-가장자리 플라즈마 균일성을 제어하기 위한 다른 프로세싱 변수들 또는 "노브(knobs)"를 사용하기 어렵게 만든다.
그러므로 개선된 플라즈마 균일성 제어를 위해 전기적, 가스 흐름, 및 열정 대칭성을 개선하는 플라즈마 프로세싱 장치에 대한 요구가 있다.
본 발명의 일 실시예에 있어서, 프로세싱 영역을 둘러싸는 챔버 본체와 덮개 어셈블리를 포함하는 플라즈마 프로세싱 장치가 제공된다. 기판 지지 어셈블리가 상기 챔버 본체 내에 배치된다. 상기 챔버 본체 내의 진공 배기 영역을 정의하는 배기 어셈블리가 제공된다. 상기 챔버 본체는 상기 기판 지지 어셈블리의 중심축에 대해 대칭적으로 배치되어 상기 프로세싱 영역을 상기 진공 배기 영역과 유체적으로 연결하는 복수개의 통로들을 포함한다. 상기 기판 지지 어셈블리는 상기 프로세싱 및 진공 배기 영역들로부터 유체적으로 밀봉된 중앙 영역에 배치된 지지 페데스탈(pedestal) 및 하부 전극을 포함한다. 복수개의 액세스 튜브들이 상기 중앙 영역에의 접속을 제공하기 위해 상기 챔버 본체를 통해 위치하며 상기 기판 지지 어셈블리의 중심축에 대해 대칭적으로 정렬된다.
또 다른 실시예에 있어서, 플라즈마 프로세싱 장치는 프로세싱 영역을 둘러싸는 챔버 본체와 덮개 어셈블리를 포함한다. 기판 지지 어셈블리는 상기 챔버 본체 내에 배치된다. 상기 덮개 어셈블리는 프로세싱 가스를 상기 프로세싱 영역으로 분배시키도록 구성된 중앙 매니폴드(manifold)와 프로세싱 가스를 상기 프로세싱 영역으로 분배시키도록 구성된 하나 또는 그 이상의 외측 매니폴드들을 갖는 상부 전극을 포함한다. 상기 덮개 어셈블리는 또한 상기 기판 지지 어셈블리의 중심축에 대해 대칭적으로 정렬된 복수개의 가스 튜브들을 통해 상기 하나 또는 그 이상의 외측 매니폴드들에 결합된 링 매니폴드를 포함한다.
또 다른 실시예에 있어서, 플라즈마 프로세싱 장치는 프로세싱 영역을 둘러싸는 챔버 본체와 덮개 어셈블리를 포함한다. 기판 지지 어셈블리가 상기 챔버 본체 내에 배치된다. 상부 라이너(upper liner)가 상기 챔버 본체 내에 배치되어 상기 프로세싱 영역을 둘러싼다. 상기 상부 라이너는 복수개의 슬롯들(slots)을 구비하는 원통형 벽을 갖는데, 이 슬롯들은 벽을 통해 배치되고 상기 기판 지지 어셈블리의 중심축에 대해 대칭적으로 정렬된다. 백킹 라이너(backing liner)가 상기 원통형 벽에 결합되어 상기 복수개의 슬롯들 중 적어도 하나를 덮는다. 메쉬(mesh) 라이너가 상기 기판 지지 어셈블리 주위에 배치되고 상기 상부 라이너에 전기적으로 결합된다.
위에서 언급된 본 발명의 특징들이 상세하게 이해될 수 있도록, 위에서 간략하게 요약된 본 발명에 대한 더 구체적인 설명이 실시예들을 참조하여 제시되며, 그 중 몇몇은 다음 도면들에 예시된다. 그러나 본 발명은 다른 동등하게 효과적인 실시예들에도 허용될 수 있기 때문에, 다음 도면들은 단지 본 발명의 전형적인 실시예들을 예시하며, 따라서 본 발명의 사상을 제한하는 것으로 간주되지 않아야 한다는 것에 유의해야 한다.
도 1은 본 발명의 일 실시예에 따른 플라즈마 프로세싱 장치의 개략적인 단면도이다.
도 2는 도 1의 상기 프로세싱 장치의 상부 전극의 개략적인 평면도이다.
도 3a는 챔버 본체의 상부 부분 내에 배치되어 도 1의 상기 프로세싱 장치의 프로세싱 영역을 둘러싸는 상부 라이너 어셈블리의 개략적인 사시도이다. 도 3b는 상기 챔버 본체와 상기 상부 라이너 어셈블리의 일부의 부분 단면도이다.
도 4는 도 1에 도시된 선 4-4를 따라 취해진 상기 프로세싱 장치의 개략도이다.
도 5는 도 1의 상기 프로세싱 장치를 통해 연장하는 액세스 튜브들의 레이아웃의 개략도이다.
앞서 언급했듯이, 종래 플라즈마 시스템의 문제는 상기 챔버 내의 비대칭성에 기인하여 균일한 플라즈마 밀도를 제공함에 있어서의 어려움이다. 본 발명의 실시예들은 상기 챔버를 통해 극히 대칭적인 전기적, 열적 및 가스 흐름 전도성을 허용하는 챔버 디자인을 제공함으로써 이 문제를 경감한다. 이러한 대칭성을 제공함으로써, 상기 챔버 내에 형성되는 플라즈마는 자연적으로 상기 챔버의 프로세싱 영역 내에 배치된 기판의 표면에 걸쳐 개선된 균일성을 갖는다. 나아가, 가스 주입구와 처리되는 기판 사이뿐만 아니라 상부 및 하부 전극들 사이의 간극을 조작하는 능력을 제공하는 것과 같은 다른 챔버 부가 기능들이 종래 시스템과 비교하여 플라즈마 프로세싱 및 균일성을 더 잘 제어할 수 있는 더 넓은 프로세스 윈도우를 제공한다.
도 1은 본 발명의 일 실시예에 따른 플라즈마 프로세싱 장치(100)의 개략적인 단면도이다. 상기 플라즈마 프로세싱 장치(100)는 플라즈마 식각 챔버, 플라즈마 강화 화학 기상 증착 챔버, 물리 기상 증착 챔버, 플라즈마 처리 챔버, 이온 주입 챔버, 또는 다른 적합한 진공 프로세싱 챔버일 수 있다. 도 1에 보이듯이, 상기 플라즈마 프로세싱 장치(100)는 일반적으로 챔버 덮개 어셈블리(110), 챔버 본체 어셈블리(140) 및 배기 어셈블리(190)를 포함하며, 이들은 일괄하여 프로세싱 영역(102) 및 배기 영역(104)을 둘러싼다. 실제로, 프로세싱 가스들이 상기 프로세싱 영역(102)으로 도입되고 RF 파워를 이용하여 플라즈마로 점화된다. 기판(105)은 기판 지지 어셈블리(160) 상에 위치하고, 식각, 화학 기상 증착, 물리 기상 증착, 이온 주입, 플라즈마 어닐링, 플라즈마 처리(treating), 제거(abatement), 또는 다른 플라즈마 프로세스들과 같은 플라즈마 프로세스를 상기 기판(105) 상에 수행하도록 상기 프로세싱 영역(102) 내에 생성된 플라즈마에 노출된다. 상기 배기 어셈블리(190)에 의해 상기 프로세싱 영역(102)에 진공이 유지되는데, 상기 배기 어셈블리는 사용된 프로세싱 가스들 및 상기 플라즈마 프로세스에서의 부산물들을 상기 진공 배기 영역(104)을 통해 제거한다.
상기 덮개 어셈블리(110)는 상기 챔버 본체 어셈블리(140)로부터 절연되고 상기 챔버 본체 어셈블리에 의해 지지되는 상부 전극(112) 및 상기 상부 전극(112)을 둘러싸는 챔버 덮개(114)를 일반적으로 포함한다. 도 2는 상기 상부 전극(112)의 개략적인 평면도이다. 상기 상부 전극(112)은 전도성 가스 주입 튜브(126)를 통해 RF 파워 소스(103)에 결합된다. 상기 전도성 가스 주입 튜브(126)는 RF 파워 및 프로세싱 가스들 모두가 대칭적으로 제공되도록 상기 챔버 본체 어셈블리(140)의 중심축(CA)과 동축이다. 상기 상부 전극(112)은 열 전달 플레이트(118)에 부착된 샤워헤드 플레이트(116)를 포함한다. 상기 샤워헤드 플레이트(116), 열 전달 플레이트(118) 및 가스 주입 튜브(126)는 모두 알루미늄이나 스테인레스 스틸과 같은 RF 전도성 재료로 제조된다.
상기 샤워헤드 플레이트(116)는 중앙 매니폴드(120)와 하나 또는 그 이상의 외측 매니폴드들(122)을 갖는다. 상기 하나 또는 그 이상의 외측 매니폴드들(122)은 상기 중앙 매니폴드(120)를 둘러싼다. 상기 중앙 매니폴드(120)는 상기 가스 주입 튜브(126)를 통해 가스 소스(106)로부터 프로세싱 가스들을 받고 복수개의 가스 통로들(121)을 통해 상기 프로세싱 영역(102)의 중앙부에 상기 수용된 프로세싱 가스들을 분배한다. 상기 외측 매니폴드(들)(122)은 상기 중앙 매니폴드(120)에 수용되는 가스들과 동일 또는 다른 혼합물일 수 있는 프로세싱 가스들을 상기 가스 소스(106)로부터 받는다. 상기 외측 매니폴드(들)(122)은 그 후 복수개의 가스 통로들(123)을 통해 상기 프로세싱 영역(102)의 외측 부분에 상기 수용된 프로세싱 가스들을 분배한다. 균일한 압력이 각 매니폴드(120, 122)와 연관된 각 가스 통로(121)에 제공되도록 상기 매니폴드들(120, 122)은 플레넘(plenum)으로 기능하기에 충분한 부피를 갖는다. 상기 샤워헤드 플레이트(116)의 상기 이중 매니폴드 구성은 상기 프로세싱 영역(102)으로의 가스들의 공급제어를 향상시킨다. 예를 들어, 상기 프로세싱 영역(102)의 상기 중앙부, 및 따라서 그 안에 위치하는 상기 기판(105)의 중앙부에 제공되는 프로세싱 가스들은 상기 프로세싱 영역(102)의 외측 부분, 및 따라서 상기 기판(105)의 외측 부분에 제공되는 상기 프로세싱 가스들과는 다른 유량(flow rate) 및/또는 압력으로 도입될 수 있다. 상기 다중 매니폴드 샤워헤드 플레이트(116)는 종래의 단일 매니폴드 버전들과 반대로 프로세싱 결과들의 중앙 대비 가장자리 제어를 강화할 수 있게 한다.
도 1 및 도 2를 참조하면, 상기 가스 소스(106)로부터의 프로세싱 가스가 주입 튜브(127)를 통해 상기 주입 튜브(126) 둘레에 동심원으로 배치된 링 매니폴드(128) 내로 도입된다. 상기 링 매니폴드(128)로부터, 상기 프로세싱 가스는 복수개의 가스 튜브들(129)을 통해 상기 외측 매니폴드(들)(122)에 전달된다. 일 실시예에 있어서, 상기 링 매니폴드(128)는 가스가 동등하게 상기 링 매니폴드(128)로부터 상기 가스 튜브들(129)로 흐르는 것을 보증하는 회귀 가능한(recursive) 가스 경로를 포함한다. 상기 링 매니폴드(128) 및 상기 가스 튜브들(129)은 알루미늄 또는 스테인레스 스틸과 같은 전도성 재료로 제조된다. 따라서, 상기 링 매니폴드(128) 및 상기 가스 튜브들(129)은 상기 RF 전류의 대칭성에 영향을 미칠 수 있으며, 상기 상부 전극(112)에 의해 제공되는 전기장의 왜곡을 유발하고, 상기 프로세스 영역(102) 내의 플라즈마 균일성에 영향을 강하게 초래할 수 있다.
전기장에서의 이러한 왜곡을 방지하기 위해, 상기 가스 튜브들(129)은 상기프로세싱 장치(100)를 통해 수직하게 연장하는 중심축(CA) 주위에 대칭적으로 위치한다. 따라서, 상기 가스 튜브들(129)은 중앙에 위치하는 링 매니폴드(128)로부터 등각(A)으로 연장하여 상기 프로세싱 가스를 상기 냉각 플레이트(118)를 통해 그리고 상기 외측 매니폴드(들)(122) 내로 전달한다. 예를 들면, 도 2에 도시된 실시예는 120도 각도로 이격된 세 개의 가스 튜브들(129)을 나타낸다. 다른 예들(도시하지 않음)에 있어서, 상기 중심축(CA)에 대해 대칭적으로, 즉 서로 등각(A)으로 위치하는 한, 더 많거나 더 적은 가스 튜브들(129)이 사용될 수 있다. 링-형상의 매니폴드를 채용하고 상기 가스 튜브들(129)을 상기 중심축(CA)에 대해 대칭적으로 정렬함으로써, 상기 상부 전극(112)의 전기적 대칭성이 종래 시스템들에 비해 상당히 개선되어 상기 프로세싱 영역(102) 내에 더 균일하고 안정한(consistent) 플라즈마 형성을 가져온다. 추가로, 상기 가스 튜브들(129)의 대칭적인 정렬은 상기 외측 매니폴드(122) 내로 균일한 원형 배열(polar array)로 가스를 제공하고, 그것에 의해 상기 외측 매니폴드(122) 내에 방위각상의(azimuthal) 균일한 압력 분포, 및 그 결과로서, 상기 가스 통로들(123)을 통해 상기 프로세싱 영역(102)으로 가스의 방위각상 균일한 흐름을 제공하고, 그것에 의해 프로세싱 균일성을 향상시킨다.
열 전달 유체가 유체 소스(109)로부터 유체 주입 튜브(130)를 통해 상기 열 전달 플레이트(118)로 전달된다. 상기 유체는 상기 열 전달 플레이트(118) 내에 배치된 하나 또는 그 이상의 유체 채널들(119)을 통해 순환되고 유체 배출 튜브(131)를 통해 상기 유체 소스(109)로 되돌아온다. 적합한 열 전달 유체들은 물, 물-기반 에틸렌 글리콜 혼합물, 과불소 폴리에테로(perfluoropolyether, 예컨대, Galden® 유체), 오일-기반 열 전달 유체들, 또는 유사한 유체들을 포함한다.
상기 유체 주입 튜브(130) 및 유체 배출 튜브(131)는 적합한 플라스틱 재료와 같은 비전도성 재료로 제조된다. 따라서, 상기 튜브들 자체는 상기 상부 전극(112)의 전기적 대칭성에 영향을 주지 않는다. 그러나, 피팅들(fittings, 132)은 알루미늄이나 스테인레스 스틸과 같은 전도성 재료로 제조되고, 따라서 상기 상부 전극(112)의 전기적 대칭성에 영향을 미칠 수 있어 왜곡 효과를 유발할 수 있다. 따라서, 상기 피팅들(132)과 동일한 크기 및 형상을 갖고 동일한 재료로 제조되는, 전도성 플러그들(133)이 도 2에 도시된 바와 같이 중심축(CA)에 대해 대칭적으로 배치되어, 상기 플러그들(133) 및 피팅들(132)이 함께 상기 챔버 본체 어셈블리(140)의 중심축(CA)에 대해 중심축을 갖는 원형 배열을 정의한다. 상기 전도성 플러그들(133)의 추가는 상기 상부 전극(112)의 전기적 대칭성을 개선하여, 종래 시스템들에 적용가능한 것보다 상기 프로세싱 영역(102)에서 더 균일하고 안정한 플라즈마 형성을 가져온다.
다시 도 1을 참조하면, 상기 챔버 본체 어셈블리(140)는 알루미늄 또는 스테인레스 스틸과 같은 프로세싱 환경들에 내성인 전도성 재료로 제조된 챔버 본체(142)를 포함한다. 상기 기판 지지 어셈블리(160)는 상기 챔버 본체(142) 내 중앙에 배치되고 상기 중심축(CA)에 대해 대칭적으로 상기 프로세싱 영역(102)에서 상기 기판(105)을 지지하도록 배치된다.
도 3a는 상기 챔버 본체(142)의 상부 부분 내에 배치되어 상기 프로세싱 영역(102)을 둘러싸는 상부 라이너 어셈블리(144)의 개략적인 사시도이다. 상기 상부 라이너 어셈블리(144)는 알루미늄, 스테인레스 스틸, 및/또는 이트리아(예를 들면, 이트리아 코팅된 알루미늄)와 같은 전도성의, 프로세스 호환성이 있는 재료로 구성될 수 있다. 실제로, 상기 상부 라이너 어셈블리(144)는 상기 프로세싱 영역(102) 내 플라즈마로부터 상기 챔버 본체(142)의 상부 부분을 차폐하며 주기적인 세정 및 유지 보수를 허용하도록 제거가능하다. 일 실시예에 있어서, 상기 상부 라이너 어셈블리(144)는 상기 챔버 내의 열적 대칭성 및 상기 프로세싱 영역(102) 내에 제공된 플라즈마의 대칭성을 강화하기 위해 예컨대 AC 히터(도시하지 않음)에 의해 온도 조절된다.
도 1 및 3a를 참조하면, 상기 챔버 본체(142)는 상기 상부 라이너 어셈블리(144)의 외측 플랜지(145)를 지지하는 수평 돌기(ledge, 143)를 포함한다. 상기 상부 라이너 어셈블리(144)의 내측 플랜지(146)는 상기 상부 전극(112)을 지지한다. 절연체(113)가 상기 상부 라이너 어셈블리(144)와 상기 상부 전극(112) 사이에 위치하여 상기 챔버 본체 어셈블리(140)와 상기 상부 전극(112) 사이에 전기적 절연을 제공한다.
상기 상부 라이너 어셈블리(144)는 상기 내측 및 외측 플랜지들(146,145)에 부착된 외측 벽(147), 바닥 벽(148), 및 내측 벽(149)을 포함한다. 상기 외측 벽(147) 및 내측 벽(149)은 실질적으로 수직한 원통형 벽들이다. 상기 외측 벽(147)은 상기 프로세싱 영역(102) 내의 플라즈마로부터 챔버 본체(142)를 차폐하도록 위치하고, 상기 내측 벽(149)은 상기 프로세싱 영역(102) 내의 플라즈마로부터 상기 기판 지지 어셈블리(160)의 측면을 적어도 부분적으로 차폐하도록 위치한다. 상기 바닥 벽(148)은 본 명세서에서 후술하는 진공 배기 통로들(189)이 형성되는 특정 영역들을 제외하고 상기 내측 및 외측 벽들(149, 147)을 결합한다.
다시 도 1을 참조하면, 상기 프로세싱 영역(102)은 상기 기판 지지 어셈블리(160)로/로부터 기판(105)의 진입 및 제거를 허용하는 상기 챔버 본체(142) 내에 배치된 슬릿 밸브 터널(141)을 통해 접근된다. 상기 상부 라이너 어셈블리(144)는 그것을 통해 배치된 슬롯(150)을 갖는데, 이 슬롯은 그것을 통한 상기 기판(105)의 통과를 허용하도록 상기 슬릿 밸브 터널(141)에 합치한다. 상기 챔버 본체 어셈블리(140)는, 상기 슬릿 밸브 터널(141)과 슬롯(150)을 밀봉하기 위해 상기 슬릿 밸브 도어(153)를 수직하게 뻗고 상기 슬릿 밸브 터널(141)과 슬롯(150)을 통해 접근을 허용하도록 상기 슬릿 밸브 도어(153)를 수직하게 오므리도록 구성되고 위치하는 액추에이터(152)를 포함하는 슬릿 밸브 도어 어셈블리(151)를 포함한다. 상기 슬릿 밸브 도어 어셈블리(151) 및 그 구성 부품들은 도면 혼잡을 최소화하기 위해 도 1에서 해칭되지 않는다. 상기 슬릿 밸브 도어(153)는 상기 라이너 내에 증가된 전기적 대칭성을 제공하기 위해 상기 상부 라이너 어셈블리(144)(예컨대, 이트리아 코팅된 알루미늄)와 실질적으로 합치하는 재료로 만들어질 수 있다. 일 실시예에 있어서, 상기 슬릿 밸브 도어(153)는 상기 상부 라이너 어셈블리(144)의 온도에 합치하여 상기 프로세싱 영역(102) 내에 증가된 열적 대칭성을 제공하도록 예컨대, AC 히터(도시하지 않음)에 의해 온도 조절된다.
도 3a를 참조하면, 슬롯(150)의 크기 및 형상에 실질적으로 합치하는 추가적인 슬롯들(154)이 상기 상부 라이너 어셈블리(144)를 통해 배치된다. 상기 슬롯들(154)은 상기 중심축(CA)에 대해 대칭적으로 상기 상부 라이너 어셈블리(144)를 통해 배치된다. 예를 들면, 도 3a에 보이듯이, 두 개의 슬롯들(154)이 상기 슬롯(150)으로부터 120도의 각도로 배치되어, 상기 슬롯(150)과 슬롯들(154)이 상기 중심축(CA)에 대해 원형 배열(polar array)을 형성한다. 상기 슬롯들(154)은 상기 슬롯(150)의 존재에 기인하여 상기 상부 라이너 어셈블리(144) 내에 있는 전기적 전류 밀도 및/또는 분배에서의 변화를 보상하기 위해 상기 상부 라이너 어셈블리(144)에 대해 대칭적으로 배치된다. 덧붙여, 상기 슬롯들(150 및 154)은 각 가스 튜브들(129)과 나란하게 위치하여 상기 챔버 내에서 개선된 전기적 대칭성을 제공할 수 있다.
도 3b는 상기 챔버 본체(142)와 상기 상부 라이너 어셈블리(144)의 일부의 부분 단면도이다. 백킹 라이너들(155)이 제공되고, 상기 상부 라이너 어셈블리(144)의 슬롯들(154)에 부착되며 그것들을 덮을 수 있다. 상기 백킹 라이너들(155)은 상기 슬릿 밸브 도어(153)와 흡사하게 재료들로 만들어지고, 크기 및 형상이 정해진다. 상기 백킹 라이너들(backing liners, 155)은 또한 상기 상부 라이너 어셈블리(144)와 전도성 접촉하여 상기 상부 라이너 어셈블리(144)와 전기적 및 열적 접촉을 유지한다. 따라서, 상기 백킹 라이너들(155)은 종래 시스템들에 적용가능한 것보다 상기 프로세싱 영역(102) 내에 더 균일한 플라즈마 밀도를 가능하게 하기 위해 상기 상부 라이너 어셈블리(144)에 대해 전기적 뿐만 아니라 열적 대칭성을 더 제공한다.
도 4는 도 1에 도시된 선 4-4를 따라 취해진 상기 프로세싱 장치(100)의 개략도이며, 상기 기판(105)은 명료하게 하기 위해 생략되었다. 도 1 및 도 4를 참조하면, 상기 기판 지지 어셈블리(160)는 상기 챔버 본체 어셈블리(140)의 중앙 영역(156) 내에서 중앙에 배치되고 상기 중심축(CA)을 공유한다. 즉, 중심축(CA)은 상기 기판 지지 어셈블리(160)의 중심을 수직으로 통과한다. 상기 기판 지지 어셈블리(160)는 일반적으로 상기 중심축(CA)이 그 중심을 통과하는 중공의 페데스탈(pedestal, 162) 및 하부 전극(161, 또는 캐소드)을 포함하며, 상기 중앙 영역(156)에 배치되고 상기 챔버 본체(142)에 의해 지지되는 중앙 지지 부재(157)에 의해 지지된다. 상기 중심축(CA)은 또한 상기 중앙 지지 부재(157)의 중심을 통과한다. 상기 하부 전극(161)은 뒤에 설명되듯이 상기 중공의 페데스탈(162)을 경유하는 케이블(도시하지 않음) 및 매칭 네트워크(도시하지 않음)를 통해 상기 RF 파워 소스(103)에 결합된다. RF 파워가 상기 상부 전극(112) 및 상기 하부 전극(161)에 공급될 때, 이들 사이에 형성되는 전기장이 상기 프로세싱 영역(102) 내에 있는 프로세싱 가스들을 플라즈마로 점화한다.
상기 중앙 지지 부재(157)는 예컨대, 패스너들fasteners) 및 오링들(o-rings)에 의해 상기 챔버 본체(142)에 밀봉되고, 상기 하부 전극(161)은 예컨대, 벨로우즈(bellows, 158)에 의해 상기 중앙 지지 부재(157)에 밀봉된다. 따라서, 상기 중앙 영역(156)은, 상기 프로세싱 영역(102)이 진공 조건으로 유지되는 동안, 상기 프로세싱 영역(102)으로부터 밀봉되어 대기압으로 유지될 수 있다.
액추에이션 어셈블리(163)가 상기 중앙 영역(156) 내에 위치하고 상기 챔버 본체(142) 및/또는 상기 중앙 지지 부재(157)에 부착된다. 상기 액추에이션 어셈블리(163)는 도면 혼잡을 최소화하기 위해 해칭(hatching)없이 도시된 것에 유의하라. 상기 액추에이션 어셈블리(163)는 액추에이터(164, 예컨대, 모터), 리드 나사(lead screw, 165) 및 상기 페데스탈(162)에 부착된 너트(166)를 포함한다. 실제로, 상기 액추에이터(164)는 상기 리드 나사(165)를 회전시키고, 이것은 차례로 상기 너트(166), 및 따라서 상기 페데스탈(162)을 올리거나 내린다. 상기 하부 전극(161)이 상기 페데스탈(162)에 의해 지지되기 때문에, 상기 엑추에이션 어셈블리(163)는 상기 챔버 본체(142), 상기 중앙 지지 부재(157) 및 상기 상부 전극(112)에 대한 상기 하부 전극(161)의 수직 이동을 제공한다. 상기 프로세싱 영역(102) 내에서 상기 하부 전극(161)의 이러한 수직 이동은 상기 하부 전극(161)과 상기 상부 전극(112) 사이의 수직 간극을 제공하고, 이는 이들 사이에 형성되는 전기장의 제어를 향상시키고, 차례로, 상기 프로세싱 영역(102) 내에 형성되는 상기 플라즈마 내 밀도를 더 잘 제어하게 한다. 덧붙여, 상기 기판(105)이 상기 하부 전극(161)에 의해 지지되기 때문에, 상기 기판(105)과 상기 샤워헤드 플레이트(116) 사이의 간극이 또한 변할 수 있으며, 이는 상기 기판(105)에 걸쳐서 상기 프로세스 가스 분배를 더 잘 제어하게 한다.
플라즈마 스크린(159)이 또한 제공되고, 상기 하부 전극(161)에 의해 지지되며 상기 상부 라이너 어셈블리(144)의 상기 내측 벽(149)과 중첩하여 상기 프로세싱 영역(102) 내 플라즈마로부터 상기 기판 지지 어셈블리(160) 및 상기 벨로우즈(158)을 보호한다. 상기 플라즈마 스크린(159)은 상기 페데스탈(162)에 결합하여 그것과 함께 수직으로 이동하므로, 상기 플라즈마 스크린(159)과 상기 상부 라이너 어셈블리(144)의 상기 내측 벽(149) 사이의 중첩은, 상기 플라즈마 스크린(159)과 상기 상부 라이너 어셈블리(144)가 이탈되지 않고 상기 페데스탈(162) 아래의 영역의 노출이 프로세스 가스들에 노출되는 것을 허용하지 않으면서 상기 페데스탈(162)이 이동 범위 전체를 이동하기에 충분하다.
상기 기판 지지 어셈블리(160)는 상기 기판(105)의 로딩 및 언로딩을 촉진하기 위한 리프트 핀 어셈블리(167, lift pin assembly)를 더 포함한다. 상기 리프트 핀 어셈블리(167)는 리프트 핀 플레이트(169)에 부착된 리프트 핀들(168)을 포함한다. 상기 리프트 핀 플레이트(169)는 상기 하부 전극(161) 내 개구부(170) 내에 배치되고, 상기 리프트 핀들(168)은 상기 개구부(170)와 상기 프로세싱 영역(102) 사이에 배치된 리프트 핀 홀들(171)을 통해 내민다(extend). 상기 리프트 핀 플레이트(169)는 상기 하부 전극(161) 내 구멍(aperture, 173)을 통해 그리고 상기 중공의 페데스탈(162)로 내미는 리드 나사(172)에 결합된다. 액추에이터(195, 예컨대, 모터)는 상기 페데스탈(162) 상에 위치할 수 있다. 상기 액추에이터(195)는 도면 혼잡을 최소화하기 위해 해칭 없이 도시되었음에 유의하라. 상기 액추에이터(195)는 너트를 회전시키고, 이는 상기 리드 나사(172)를 나아가게 하거나 물러나게 한다. 상기 리드 나사(172)는 상기 리프트 핀 플레이트(169)에 결합된다. 따라서, 상기 액추에이터(195)는 상기 리드 나사(172)가 상기 리프트 핀 플레이트(169)를 들어올리거나 내려가게 하여, 상기 리프트 핀들(168)이 내밀거나 물러나게 한다. 그러므로 상기 액추에이터(195)는 상기 하부 전극(161)의 수직 위치에 무관하게 상기 리프트 핀들(168)을 내밀거나 물러나게 한다. 상기 리프트 핀들(168)의 이러한 독립적인 작동을 제공함으로써, 상기 기판(105)의 수직 위치가 상기 하부 전극(161)의 수직 위치로부터 독립적으로 변경될 수 있어, 예컨대, 후면 가스가 상기 기판 하부로부터 빠져나가는 것을 허용하는 프로세싱 동안 상기 기판을 들어올림으로써, 상기 기판(105)의 프로세싱 동안뿐만 아니라, 상기 기판(105)의 로딩 및 언로딩 동안에 위치 조절을 더 잘할 수 있게 한다.
상기 기판 지지 어셈블리(160)는 상기 개구부(170)를 상기 배기 영역(104)과 결합하는 벤트 라인(vent line, 174)을 더 포함한다. 상기 벤트 라인(174)은 중앙으로 상기 중공의 페데스탈(162)을 거쳐, 그리고 뒤에서 설명되듯이 상기 중심축(CA)에 대해 대칭적인 스포크 패턴(spoke pattern)으로 정렬된 복수개의 액세스 튜브들(180) 중 하나를 거쳐 상기 챔버 본체(142) 밖으로 나간다. 상기 벤트 라인(174)은 상기 리프트 핀 홀들(171)을 통해 상기 개구부(170) 내로 샐 수 있는 프로세싱 가스들을 제거하기 위해 상기 개구부(170)의 진공 배기를 제공한다. 덧붙여, 상기 개구부(170)의 진공 배기는 또한 상기 하부 전극(161) 또는 리프트 핀들(168) 상에 배치된 상기 기판(105)의 뒷면에 존재할 수 있는 프로세싱 가스들을 제거하는데 도움이 된다.
상기 기판 지지 어셈블리(160)는 또한 그것을 통해 배치되고 가스 서플라이 라인(178)을 통해 비활성 가스 서플라이(177)에 결합된 가스 포트(176)를 포함할 수 있다. 상기 가스 서플라이(177)는 프로세싱 가스들이 상기 기판(105)의 뒷면을 처리하는 것을 방지하기 위해 헬륨과 같은 비활성 가스를 상기 가스 서플라이 라인(178) 및 상기 가스 포트(176)를 통해 상기 기판(105)의 뒷면에 공급한다. 상기 가스 서플라이 라인(178)은 또한 상기 중공의 페데스탈(162)을 거쳐 상기 복수개의 액세스 튜브들(180) 중 하나를 통해 상기 챔버 본체(142)의 밖으로 나간다.
상기 기판 지지 어셈블리(160)는 프로세싱 동안 상기 하부 전극(161)에 온도 제어를 제공하기 위해 열 교환 유체 소스(198)로부터 상기 하부 전극(161) 내의 하나 또는 그 이상의 열 교환 채널들(도시하지 않음)로 가는 하나 또는 그 이상의 유체 주입 라인들(179) 및 유체 배출 라인들(181)을 더 포함할 수 있다. 상기 유체 주입 라인들(179) 및 유체 배출 라인들(181)은 상기 하부 전극(161)으로부터 상기 중공의 페데스탈(162)을 거쳐 그리고 상기 복수개의 액세스 튜브들(180) 중 하나를 거쳐 상기 챔버 본체(142)의 밖으로 나간다.
일 실시예에 있어서, 상기 기판 지지 어셈블리(160)는 상기 하부 전극(161)의 온도 제어를 쉽게 하기 위해 상기 하부 전극(161) 내에 배치된 하나 또는 그 이상의 온도 센서들(182)을 더 포함할 수 있다.
일 실시예에 있어서, 상기 하부 전극(161)은 정전기 척(chuck)이며, 따라서 내부에 배치된 하나 또는 그 이상의 전극들(도시하지 않음)을 포함한다. 전압 소스(도시하지 않음)가 상기 기판(105)에 대해 상기 하나 또는 그 이상의 전극들에 바이어스를 걸어 프로세싱 동안 상기 기판(105)을 제자리에 유지하기 위한 인력(attraction force)을 생성한다. 상기 전압 소스에 상기 하나 또는 그 이상의 전극들을 결합하는 케이블이 상기 중공의 페데스탈(162)을 거쳐 그리고 상기 복수개의 액세스 튜브들(180) 중 하나를 거쳐 상기 챔버 본체(142)의 밖으로 나간다.
도 5는 상기 챔버 본체 어셈블리(140)의 스포크들(191) 내 상기 액세스 튜브들(180)의 레이아웃의 개략도이다. 도 1 및 도 5를 참조하면, 상기 스포크들(191) 및 액세스 튜브들(180)은 도시된 바와 같은 스포크 패턴으로 상기 프로세싱 장치(100)의 중심축(CA)에 대해 대칭적으로 정렬된다. 도시된 실시예에 있어서, 세 개의 동일한 액세스 튜브들(180)이 상기 챔버 본체(142)를 통해 상기 중앙 영역(156) 내로 배치되어 상기 챔버 본체(142)의 외부로부터 상기 하부 전극(161)으로의 복수개의 튜브 가설(tubing) 및 케이블 가설(cabling)의 공급을 돕는다. 상기 하부 전극(162)의 수직 이동을 쉽게 하기 위해, 상기 액세스 튜브들(180) 각각을 통하는 개구부(183)는 상기 하부 전극(161)의 수직 행정과 대략 동일하다. 예를 들어, 일 구성예에서, 상기 하부 전극(162)은 약 7.2 인치의 거리를 수직 이동할 수 있다. 이 경우, 상기 액세스 튜브들(180) 각각 내의 상기 개구부(183)의 높이는 또한 약 7.2 인치이다. 이들 거리들을 대략 동일하게 유지하는 것이 상기 하부 전극(161)의 수직 이동 동안 상기 케이블 가설의 조임 및 마모를 방지할 뿐만 아니라 요구되는 케이블 가설의 길이를 최소화하게 한다. 덧붙여, 스포크들(191)의 폭(W)이 높은 종횡비(높이:폭)가 제공되도록 최소화되어, 유틸리티들(예컨대, 가스, 배선)을 위한 충분한 공간을 여전히 허용하면서 진공 배기 통로들(189)의 개방 면적이 보강된다. 이러한 구성은 배기 가스들의 흐름 저항을 감소시키고, 그 결과, 펌핑에 따른 에너지 소모를 감소시키고 더 적은 저렴한 비용의 펌프들이 사용될 수 있게 한다.
상기 하부 전극(161)으로의 케이블 여정(cable routing)을 더 쉽게 하기 위해, 상기 케이블 여정이 상기 복수개의 액세스 튜브들(180) 사이에서 분할된다. 예를 들어, 상기 유체 라인들(179, 181), 상기 가스 서플라이 라인(178) 및 상기 진공 튜브(174)는 모두 상기 액세스 튜브(180a)를 통해 제공될 수 있다; 상기 온도 센서들(184) 및 (예컨대, 액추에이터들(164, 195)로의) 다른 전기적 케이블들은 상기 액세스 튜브(180b)를 통해 제공될 수 있다; 그리고 상기 RF 전압 피드(feed) 및 (예컨대, 척킹 기능을 위한 전극들로의) 다른 전기적 케이블(들)은 상기 액세스 튜브(180c)를 통해 제공될 수 있다. 따라서, 상기 챔버 본체(142)의 외부로부터 상기 하부 전극(162)으로의 케이블 가설의 개수 및 부피는 상기 하부 전극(161)의 이동을 쉽게 하도록 적당한 여유를 제공하면서 상기 액세스 튜브들(180)의 크기를 최소화하기 위해 상기 액세스 튜브들(180) 사이에서 분할된다.
상기 액세스 튜브들(180)은 알루미늄 또는 스테인레스 스틸과 같은 재료로 만들어질 수 있다. 상기 액세스 튜브들(180)의 대칭적인 스포크 정렬은 상기 프로세싱 장치(100)의 전기적 및 열적 대칭성을 더 촉진하도록 설계된다. 일 실시예에 있어서, 상기 액세스 튜브들(180)은 120도 떨어져 위치하고, 상기 액세스 튜브들(180) 각각은 각 가스 튜브(129)와 정렬된다. 프로세싱 동안 상기 프로세싱 영역(102) 내 훨씬 더 균일한 플라즈마 형성 및 상기 기판(105)의 표면에 걸쳐 플라즈마 밀도의 향상된 제어를 허용하기 위해, 상기 액세스 튜브들(180)의 대칭적인 정렬이 상기 챔버 본체(142) 내에 특히 상기 프로세싱 영역(102) 내에 전기적 및 열적 대칭성을 더 제공한다.
다시 도 1 및 도 4를 참조하면, 상기 진공 배기 통로들(189)은 상기 중심축(CA)에 대해 대칭적으로 상기 상부 라이너 어셈블리(144) 내에 위치한다. 상기 진공 배기 통로들(189)은 상기 프로세싱 영역(102)으로부터 상기 진공 배기 영역(104)을 통해 그리고 상기 챔버 본체(142)의 밖으로 상기 배기 포트(196)를 통해 가스들의 진공 배기를 허용한다. 상기 가스들이 상기 진공 배기 통로들(189)을 통해 고르게 인출되도록 상기 배기 포트(196)는 상기 챔버 본체 어셈블리(140)의 중심축(CA)에 대해 중앙에 있다. 진공 배기 동안 프로세싱 가스들로부터 상기 챔버 본체(142)를 보호하기 위해 진공 배기 라이너들(187)이 상기 챔버 본체(142) 내에 제공된 진공 배기 채널들(188) 내에서 상기 진공 배기 통로들(189) 각각의 아래에 각각 위치할 수 있다. 상기 진공 배기 라이너들(187)은 위에서 설명된 바와 같이 상기 상부 라이너 어셈블리(144)와 유사한 재료들로 만들어질 수 있다.
상기 진공 배기 채널들(188)은 실질적으로 아무런 전기적 상호작용이 존재하지 않도록 상기 프로세싱 영역(102)으로부터 떨어져 위치한다. 그러나 상기 중심축(CA)에 대한 상기 진공 배기 채널들(188)의 대칭적인 위치 제어(positioning)는 상기 프로세싱 장치(100) 내에 개선된 열적 및 가스 흐름 대칭성을 제공한다. 예를 들어, 상기 중심축(CA)에 대한 상기 진공 배기 채널들(188)의 대칭적인 위치 제어, 및 따라서 상기 프로세싱 영역(102)은, 상기 프로세싱 영역(102)으로부터 가스들의 대칭적인 제거를 촉진하고, 그 결과, 상기 기판(105)을 가로질러 대칭적인 가스들의 흐름을 가져온다. 덧붙여, 상기 진공 배기 채널들(188)의 대칭적인 위치 제어, 및 따라서 상기 진공 배기 라이너들(187)은 상기 챔버 내 열적 분포에서의 대칭성을 진척시킨다. 따라서, 상기 프로세싱 장치(100) 내 상기 진공 배기 채널들(188)의 대칭적인 위치 제어는 상기 프로세싱 영역(102) 내에 균일한 플라즈마 형성을 촉진하고 상기 프로세싱 영역(102) 내 플라즈마 밀도 및 가스 흐름의 더 많은 제어를 허용한다.
상기 배기 어셈블리(190)는 상기 챔버 본체(142)의 바닥에 상기 진공 배기 영역(104)에 인접하여 위치한다. 상기 배기 어셈블리는 진공 펌프(194)에 결합된 쓰로틀 밸브(192, throttle valve)를 포함할 수 있다. 상기 쓰로틀 밸브(192)는 상기 프로세싱 영역(102)으로부터 상기 진공 배기 통로들(189)을 통해 그리고 상기 챔버의 밖으로 상기 중앙에 위치하는 배기 포트(196)를 통해 배기 가스들을 대칭적으로 인출함으로써 상기 프로세싱 영역(102) 내 진공 조건들을 제어하기 위해 상기 진공 펌프(194)와 공동으로 사용되는 포핏 스타일 밸브(poppet style valve)일 수 있으며, 상기 프로세싱 영역(102) 내의 상기 플라즈마 조건들의 더 많은 제어를 더 제공할 수 있다. 포핏 스타일 밸브는, 도 1에 도시된 바와 같이, 균일한 360도 간극(198)을 제공하는데, 이 간극을 통해 진공 배기 가스들이 상기 배기 포트(196)를 통해 인출된다. 대조적으로, 종래의 댐퍼형 쓰로틀 밸브들은 진공 배기 가스들의 흐름에 대해 불균일한 간극을 제공한다. 예를 들어, 상기 댐퍼형 밸브가 열릴 때, 상기 밸브의 일측은 상기 밸브의 타측보다 더 많은 가스를 인출한다. 따라서, 상기 포핏 스타일 쓰로틀 밸브는 플라즈마 프로세싱 챔버들에 통상적으로 사용되는 전통적인 댐퍼형 쓰로틀 밸브보다 가스 전도성을 왜곡시키는 것에 더 적은 영향을 미친다.
다시, 도 1 및 도 4를 참조하면, 전도성의, 경사진 메쉬 라이너(slant mesh liner, 400)가 상기 상부 라이너 어셈블리(144)의 하부 부분에 위치한다. 상기 경사진 메쉬 라이너(400)는 알루미늄, 스테인레스 스틸, 및/또는 이트리아(예컨대, 이트리아 코팅된 알루미늄)과 같은 전도성의 프로세스 호환성 재료로 만들어질 수 있다. 상기 경사진 메쉬 라이너(400)는 바닥 벽(402) 및 상기 바닥 벽(402)으로부터 바깥으로 그리고 상향 각도로 연장하는 외측 벽(404)을 가질 수 있다. 상기 외측 벽(404)은 그것을 통해 형성된 복수개의 구멍들(apertures, 410)을 가질 수 있다. 상기 구멍들(410)은 상기 경사진 메쉬 라이너(400)의 중심축에 대해 대칭적으로 위치하여 배기 가스들이 그것을 통해 균일하게 인출되도록 할 수 있으며, 이는 다시 상기 프로세싱 영역(102)에 균일한 플라즈마 형성을 돕고 상기 프로세싱 영역(102) 내의 플라즈마 밀도 및 가스 흐름의 더 많은 제어를 허용한다. 일 실시예에 있어서, 상기 경사진 메쉬 라이너(400)의 중심축은 상기 챔버 본체 어셈블리(140)의 중심축(CA)과 정렬된다.
상기 메쉬 라이너(400)의 바닥 벽(402)은 상기 상부 라이너 어셈블리(144)의 바닥 벽(148) 및/또는 내측 벽(149)에 전기적으로 결합될 수 있다. 추가로, 상기 메쉬 라이너(400)의 외측 벽(404)은 상기 상부 라이너 어셈블리(144)의 외측 벽(147)에 전기적으로 결합될 수 있다. RF 플라즈마가 상기 프로세싱 영역(102) 내에 있을 때, 접지로의 회귀 경로(return path)를 찾는 상기 RF 전류는 상기 메쉬 라이너(400)의 표면을 따라 상기 상부 라이너 어셈블리(144)의 외측 벽(147)으로 갈 수 있다. 따라서, 상기 메쉬 라이너(400)의 고리모양으로 대칭적인 구성은 접지로의 대칭적인 RF 회귀를 제공하며 상기 상부 라이너 어셈블리(400)의 하부 부분 내의 임의의 기하학적인 RF 비대칭성들을 우회한다.
그러므로, 본 발명의 실시예들은 상기 챔버를 통해 극히 대칭적인 전기적, 열적 및 가스 흐름 전도를 허용하는 챔버 디자인을 제공함으로써 상기 챔버 내 비대칭성에 기인하여 균일한 플라즈마 밀도를 제공하기 어려운 종래의 플라즈마 시스템들의 문제를 해결한다. 이러한 대칭성을 제공함으로써, 상기 챔버 내에 형성되는 플라즈마는 자연적으로 상기 챔버의 프로세싱 영역 내에 배치된 기판의 표면을 가로질러 개선된 균일성을 갖는다. 이 개선된 대칭성뿐만 아니라, 가스 주입구와 처리되는 기판 사이뿐만 아니라 상부 및 하부 전극들 사이의 간극을 조작하는 능력을 제공하는 것과 같은 다른 챔버 부가 사항들은 종래 시스템들에 대비하여 플라즈마 프로세싱 및 균일성의 더 나은 제어를 허용한다.
앞에서 본 발명의 실시예들이 소개되지만, 본 발명의 다른 실시예들이 본 발명의 기본 사상을 벗어나지 않고 고안될 수 있으며, 본 발명의 사상은 다음의 청구범위에 의해 결정된다.
100 프로세싱 장치 102 프로세싱 영역
103 rf 파워 소스 104 진공 배기 영역
105 기판 106 가스 소스
109 유체 소스 110 덮개 어셈블리
112 상부 전극 113 절연체
114 챔버 덮개 116 샤워헤드 플레이트
118 플레이트 119 유채 채널들
120 중앙 매니폴드 121 가스 통로
122 외측 매니폴드들 123 가스 통로들
126 가스 주입 튜브 127 주입 튜브
128 링 매니폴드 129 가스 튜브들
130 유체 주입 튜브 131 유체 배출 튜브
132 피팅들 133 전도성 플러그들
140 챔버 본체 어셈블리 141 슬릿 밸브 터널
142 챔버 본체 143 수평 돌기
144 상부 라이너 어셈블리 145 외측 플랜지
146 내측 플랜지 147 외측 벽
148 바닥 벽 149 내측 벽
150 슬롯들 151 슬릿 밸브 도어 어셈블리
152 액추에이터 153 슬릿 밸브 도어
154 슬롯들 155 백킹 라이너들
156 중앙 영역 157 중앙 지지 부재
158 벨로우즈 159 플라즈마 스크린
160 기판 지지 어셈블리 161 하부 전극
162 페데스탈 163 액추에이션 어셈블리
164 액추에이터들 165 리드 나사
166 너트 168 리프트 핀들
169 리프트 핀 플레이트 170 개구부
171 리프트 핀 홀들 172 리드 나사
173 구멍 174 진공 튜브
175 진공 소스 176 가스 포트
177 비활성 가스 서플라이 178 가스 서플라이 라인
179 유체 주입 라인들 180 액세스 튜브들
180a 액세스 튜브 180b 액세스 튜브
180c 액세스 튜브 181 유체 배출 라인들
183 개구부 184 온도 센서들
187 진공 배기 라이너들 188 진공 배기 채널들
190 배기 어셈블리 192 쓰로틀 밸브
194 진공 펌프 400 메쉬 라이너
402 바닥 벽 404 외측 벽
410 구멍들

Claims (20)

  1. 플라즈마 프로세싱 장치로서,
    프로세싱 영역을 둘러싸는 챔버 본체 및 덮개 어셈블리;
    상기 챔버 본체 내의 진공 배기 영역을 정의하는 배기 어셈블리;
    상기 덮개 어셈블리 아래에서 상기 챔버 본체에 결합되는 중앙 지지 부재;
    상기 프로세싱 영역과 상기 진공 배기 영역 사이에 배치되며 상기 중앙 지지 부재에 이동가능하게 결합되는 기판 지지 어셈블리로서, 상기 기판 지지 어셈블리는 하부 전극 및 지지 페데스탈(pedestal)을 포함하며, 상기 하부 전극은 중앙 영역을 둘러싸고 상기 중앙 영역을 상기 프로세싱 및 진공 배기 영역들로부터 분리하기 위하여 상기 중앙 지지 부재에 대해 밀봉되는, 상기 기판 지지 어셈블리;
    상기 기판 지지 어셈블리에 대하여 대칭적으로 배치되며, 상기 프로세싱 영역을 상기 진공 배기 영역과 유체적으로 연결하는 복수의 진공 배기 채널들; 및
    상기 중앙 영역에 대한 액세스를 제공하기 위하여 상기 챔버 본체를 통해 그리고 상기 중앙 지지부재 아래에 위치되는 복수의 액세스 튜브들을 포함하는, 장치.
  2. 청구항 1에 있어서,
    상기 챔버 본체는 상기 챔버 본체를 통해 형성된 상기 기판 지지 어셈블리의 중심축에 대해 대칭적인 배기 포트를 갖는, 장치.
  3. 청구항 1에 있어서,
    상기 덮개 어셈블리는 프로세싱 가스를 상기 프로세싱 영역으로 분배시키도록 구성된 중앙 매니폴드(manifold) 및 프로세싱 가스를 상기 프로세싱 영역으로 분배시키도록 구성된 하나 이상의 외측 매니폴드들을 갖는 상부 전극을 포함하는, 장치.
  4. 청구항 3에 있어서,
    상기 덮개 어셈블리는, 상기 기판 지지 어셈블리의 중심축에 대해 대칭적으로 정렬된 복수의 가스 튜브들을 통해 상기 하나 이상의 외측 매니폴드들에 결합된 링 매니폴드를 더 포함하는, 장치.
  5. 청구항 1에 있어서,
    상기 덮개 어셈블리는,
    각기 전도성 피팅(fitting)들을 갖는 유체 주입구 및 유체 배출구; 및
    복수의 전도성 플러그들로서, 상기 전도성 피팅들 및 전도성 플러그들은 상기 기판 지지 어셈블리의 중심축에 대해 대칭적으로 정렬되는, 상기 복수의 전도성 플러그들을 포함하는, 장치.
  6. 청구항 1에 있어서,
    상기 장치는,
    상기 액세스 튜브들 중 하나를 통해 제공되며, 상기 하부 전극 내에 배치된 하나 이상의 리프트 핀 홀들에 유체적으로 결합되는, 진공 튜브를 더 포함하는, 장치.
  7. 청구항 1에 있어서,
    상기 장치는,
    상기 중앙 영역 내에 배치되고, 상기 중앙 지지 부재에 결합되며, 상기 기판 지지 어셈블리를 소정의 거리만큼 수직으로 이동시키도록 구성되는 제 1 액추에이션(actuation) 디바이스를 더 포함하는, 장치.
  8. 청구항 7에 있어서,
    상기 소정의 거리는 상기 액세스 튜브들의 각각의 개구부의 수직 거리와 동일한, 장치.
  9. 청구항 1에 있어서,
    상기 장치는,
    상기 중앙 영역 내에 배치되며, 상기 기판 지지 어셈블리를 내에 배치된 복수의 기판 지지 핀들을 수직으로 이동시키도록 구성되는 제 2 액추에이션 디바이스를 더 포함하는, 장치.
  10. 청구항 1에 있어서,
    상기 장치는,
    상부 라이너(liner)로서, 상기 상부 라이너는 상기 프로세싱 영역을 둘러싸고, 상기 상부 라이너는 원통형 벽을 통해 배치되며 상기 기판 지지 어셈블리의 중심축에 대해 대칭적으로 정렬된 복수의 슬롯들을 갖는 상기 원통형 벽을 갖는, 상기 상부 라이너를 더 포함하는, 장치.
  11. 청구항 10에 있어서,
    상기 장치는,
    상기 복수의 슬롯들 중 적어도 하나를 덮는 상기 원통형 벽에 결합된 백킹 라이너(backing liner)를 더 포함하는, 장치.
  12. 청구항 10에 있어서,
    상기 장치는,
    상기 기판 지지 어셈블리 주위에 환형으로 배치되고 상기 상부 라이너에 전기적으로 결합되는 메쉬(mesh) 라이너를 더 포함하는, 장치.
  13. 청구항 12에 있어서,
    상기 메쉬 라이너는 상기 상부 라이너의 바닥 벽에 결합되고 상기 메쉬 라이너를 통해 배치된 복수의 구멍(aperture)들을 가지며, 상기 복수의 구멍들은 상기 메쉬 라이너의 중심축에 대하여 대칭적으로 정렬되는, 장치.
  14. 플라즈마 프로세싱 장치로서,
    프로세싱 영역을 둘러싸는 챔버 본체 및 덮개 어셈블리로서, 상기 덮개 어셈블리는,
    프로세싱 가스를 상기 프로세싱 영역으로 분배시키도록 구성된 중앙 매니폴드 및 프로세싱 가스를 상기 프로세싱 영역으로 분배시키도록 구성된 하나 이상의 외측 매니폴드들을 갖는 상부 전극; 및
    수직축에 대해 대칭적으로 정렬된 복수의 가스 튜브들을 통해 상기 하나 이상의 외측 매니폴드들에 결합된 링 매니폴드를 포함하는, 상기 챔버 본체 및 덮개 어셈블리; 및
    상기 챔버 본체 내에 상기 수직축에 대해 대칭적으로 배치되는 복수의 진공 배기 채널들;
    상기 덮개 어셈블리 아래에서 상기 챔버 본체에 결합되는 중앙 지지 부재;
    상기 수직축과 동일-선상에(co-linear) 중심축에 주위에서 상기 프로세싱 영역과 진공 배기 영역 사이에서 상기 챔버 본체 내에 배치되는 기판 지지 어셈블리로서, 상기 기판 지지 어셈블리는 상기 중앙 지지 부재에 이동가능하게 결합되며, 상기 기판 지지 어셈블리는,
    상기 중앙 지지 부재에 대해 밀봉된 상기 챔버 본체의 중앙 영역 내에 배치되며, 상기 중앙 영역을 상기 프로세싱 영역으로부터 유체적으로 밀봉하는 지지 페데스탈 및 하부 전극; 및
    상기 중앙 영역에 대한 액세스를 제공하기 위하여 상기 챔버 본체를 통해 위치되는 복수의 액세스 튜브들로서, 상기 복수의 액세스 튜브들은 상기 지지 페데스탈 아래에 배치된 상기 챔버 본체로부터 수평으로 연장하는, 상기 복수의 액세스 튜브들을 포함하는, 상기 기판 지지 어셈블리를 포함하는, 장치.
  15. 청구항 14에 있어서,
    상기 덮개 어셈블리는,
    각기 전도성 피팅들을 갖는 유체 주입구 및 유체 배출구; 및
    복수의 전도성 플러그들로서, 상기 전도성 피팅들 및 전도성 플러그들은 상기 기판 지지 어셈블리의 중심축에 대해 대칭적으로 정렬되는, 상기 복수의 전도성 플러그들을 더 포함하는, 장치.
  16. 청구항 14에 있어서,
    상기 기판 지지 어셈블리는, 상기 중앙 영역 내에 배치되고, 상기 중앙 지지 부재에 결합되며, 상기 기판 지지 어셈블리를 소정의 거리만큼 수직으로 이동시키도록 구성되는 제 1 액추에이션 디바이스를 포함하는, 장치.
  17. 청구항 16에 있어서,
    상기 소정의 거리는 상기 액세스 튜브들의 각각의 개구부의 수직 거리와 동일한, 장치.
  18. 청구항 16에 있어서,
    상기 장치는,
    상기 중앙 영역 내에 배치되며, 상기 기판 지지 어셈블리를 내에 배치된 복수의 기판 지지 핀들을 수직으로 이동시키도록 구성되는 제 2 액추에이션 디바이스를 더 포함하는, 장치.
  19. 삭제
  20. 삭제
KR1020130043537A 2011-10-05 2013-04-19 대칭적인 플라즈마 프로세스 챔버 KR102009784B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201161543565P 2011-10-05 2011-10-05
US61/543,565 2011-10-05

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020120107823A Division KR101361757B1 (ko) 2011-10-05 2012-09-27 대칭적인 플라즈마 프로세스 챔버

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020170107938A Division KR101944894B1 (ko) 2011-10-05 2017-08-25 대칭적인 플라즈마 프로세스 챔버

Publications (2)

Publication Number Publication Date
KR20130050946A KR20130050946A (ko) 2013-05-16
KR102009784B1 true KR102009784B1 (ko) 2019-08-12

Family

ID=48022257

Family Applications (11)

Application Number Title Priority Date Filing Date
KR1020120107823A KR101361757B1 (ko) 2011-10-05 2012-09-27 대칭적인 플라즈마 프로세스 챔버
KR1020120114790A KR102024584B1 (ko) 2011-10-05 2012-10-16 대칭적인 플라즈마 프로세스 챔버
KR1020120114791A KR101944895B1 (ko) 2011-10-05 2012-10-16 대칭적인 플라즈마 프로세스 챔버
KR1020120114793A KR102039454B1 (ko) 2011-10-05 2012-10-16 대칭적인 플라즈마 프로세스 챔버
KR1020120114792A KR102009783B1 (ko) 2011-10-05 2012-10-16 대칭적인 플라즈마 프로세스 챔버
KR1020130043537A KR102009784B1 (ko) 2011-10-05 2013-04-19 대칭적인 플라즈마 프로세스 챔버
KR1020170107938A KR101944894B1 (ko) 2011-10-05 2017-08-25 대칭적인 플라즈마 프로세스 챔버
KR1020190131891A KR102166643B1 (ko) 2011-10-05 2019-10-23 대칭적인 플라즈마 프로세스 챔버
KR1020200130047A KR102299994B1 (ko) 2011-10-05 2020-10-08 대칭적인 플라즈마 프로세스 챔버
KR1020210102480A KR102423749B1 (ko) 2011-10-05 2021-08-04 대칭적인 플라즈마 프로세스 챔버
KR1020220084597A KR20220103078A (ko) 2011-10-05 2022-07-08 대칭적인 플라즈마 프로세스 챔버

Family Applications Before (5)

Application Number Title Priority Date Filing Date
KR1020120107823A KR101361757B1 (ko) 2011-10-05 2012-09-27 대칭적인 플라즈마 프로세스 챔버
KR1020120114790A KR102024584B1 (ko) 2011-10-05 2012-10-16 대칭적인 플라즈마 프로세스 챔버
KR1020120114791A KR101944895B1 (ko) 2011-10-05 2012-10-16 대칭적인 플라즈마 프로세스 챔버
KR1020120114793A KR102039454B1 (ko) 2011-10-05 2012-10-16 대칭적인 플라즈마 프로세스 챔버
KR1020120114792A KR102009783B1 (ko) 2011-10-05 2012-10-16 대칭적인 플라즈마 프로세스 챔버

Family Applications After (5)

Application Number Title Priority Date Filing Date
KR1020170107938A KR101944894B1 (ko) 2011-10-05 2017-08-25 대칭적인 플라즈마 프로세스 챔버
KR1020190131891A KR102166643B1 (ko) 2011-10-05 2019-10-23 대칭적인 플라즈마 프로세스 챔버
KR1020200130047A KR102299994B1 (ko) 2011-10-05 2020-10-08 대칭적인 플라즈마 프로세스 챔버
KR1020210102480A KR102423749B1 (ko) 2011-10-05 2021-08-04 대칭적인 플라즈마 프로세스 챔버
KR1020220084597A KR20220103078A (ko) 2011-10-05 2022-07-08 대칭적인 플라즈마 프로세스 챔버

Country Status (5)

Country Link
US (8) US9741546B2 (ko)
JP (10) JP6308716B2 (ko)
KR (11) KR101361757B1 (ko)
CN (6) CN103094045B (ko)
TW (12) TWI762170B (ko)

Families Citing this family (318)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI502617B (zh) * 2010-07-21 2015-10-01 應用材料股份有限公司 用於調整電偏斜的方法、電漿處理裝置與襯管組件
KR20120043636A (ko) * 2010-10-26 2012-05-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 플라즈마 처리 장치 및 플라즈마 cvd 장치
WO2012128783A1 (en) * 2011-03-22 2012-09-27 Applied Materials, Inc. Liner assembly for chemical vapor deposition chamber
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI762170B (zh) 2011-10-05 2022-04-21 美商應用材料股份有限公司 包括對稱電漿處理腔室的電漿處理設備與用於此設備的蓋組件
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9953825B2 (en) * 2011-11-24 2018-04-24 Lam Research Corporation Symmetric RF return path liner
US9082590B2 (en) 2012-07-20 2015-07-14 Applied Materials, Inc. Symmetrical inductively coupled plasma source with side RF feeds and RF distribution plates
US10170279B2 (en) 2012-07-20 2019-01-01 Applied Materials, Inc. Multiple coil inductively coupled plasma source with offset frequencies and double-walled shielding
US9449794B2 (en) 2012-07-20 2016-09-20 Applied Materials, Inc. Symmetrical inductively coupled plasma source with side RF feeds and spiral coil antenna
US9879684B2 (en) 2012-09-13 2018-01-30 Kla-Tencor Corporation Apparatus and method for shielding a controlled pressure environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR102130061B1 (ko) 2013-03-15 2020-07-03 어플라이드 머티어리얼스, 인코포레이티드 매우 대칭적인 4-폴드 가스 주입부를 갖는 플라즈마 반응기
SG11201508512PA (en) * 2013-05-23 2015-12-30 Applied Materials Inc A coated liner assembly for a semiconductor processing chamber
US9837250B2 (en) * 2013-08-30 2017-12-05 Applied Materials, Inc. Hot wall reactor with cooled vacuum containment
KR101518398B1 (ko) * 2013-12-06 2015-05-08 참엔지니어링(주) 기판 처리 장치
CN104752131B (zh) * 2013-12-25 2017-07-21 北京北方微电子基地设备工艺研究中心有限责任公司 上电极组件进气装置及上电极组件
JP6581602B2 (ja) * 2014-02-06 2019-09-25 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 改善されたフローコンダクタンス及び均一性のため軸対称性を可能にするインラインdpsチャンバハードウェア設計
CN104862667B (zh) * 2014-02-26 2017-04-19 甘志银 对称的气相沉积设备的反应腔体
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6660936B2 (ja) * 2014-04-09 2020-03-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 改良されたフロー均一性/ガスコンダクタンスを備えた可変処理容積に対処するための対称チャンバ本体設計アーキテクチャ
US20150371889A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. Methods for shallow trench isolation formation in a silicon germanium layer
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
KR102262109B1 (ko) * 2014-08-01 2021-06-10 세메스 주식회사 플라즈마 발생 장치, 그를 포함하는 기판 처리 장치, 및 기판 처리 균일도 조절 방법
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6373160B2 (ja) * 2014-10-15 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
KR102438139B1 (ko) 2014-12-22 2022-08-29 어플라이드 머티어리얼스, 인코포레이티드 높은 처리량의 프로세싱 챔버를 위한 프로세스 키트
US11333246B2 (en) * 2015-01-26 2022-05-17 Applied Materials, Inc. Chamber body design architecture for next generation advanced plasma technology
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10049862B2 (en) * 2015-04-17 2018-08-14 Lam Research Corporation Chamber with vertical support stem for symmetric conductance and RF delivery
KR101682155B1 (ko) * 2015-04-20 2016-12-02 주식회사 유진테크 기판 처리 장치
KR101792941B1 (ko) * 2015-04-30 2017-11-02 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드, 상하이 화학기상증착장치 및 그 세정방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11004661B2 (en) * 2015-09-04 2021-05-11 Applied Materials, Inc. Process chamber for cyclic and selective material removal and etching
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6607795B2 (ja) * 2016-01-25 2019-11-20 東京エレクトロン株式会社 基板処理装置
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
KR102206515B1 (ko) * 2016-03-25 2021-01-22 어플라이드 머티어리얼스, 인코포레이티드 고온 프로세싱을 위한 챔버 라이너
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
EP3472852B1 (en) * 2016-06-15 2021-08-11 Evatec AG Vacuum treatment chamber and method of manufacturing a vacuum treated plate-shaped substrate
KR102251209B1 (ko) * 2016-06-15 2021-05-11 어플라이드 머티어리얼스, 인코포레이티드 고 전력 플라즈마 에칭 프로세스들을 위한 가스 분배 플레이트 조립체
KR101680850B1 (ko) * 2016-06-28 2016-11-29 주식회사 기가레인 배기유로의 크기가 조절되는 플라즈마 처리 장치
US9958782B2 (en) * 2016-06-29 2018-05-01 Applied Materials, Inc. Apparatus for post exposure bake
US20180005851A1 (en) * 2016-07-01 2018-01-04 Lam Research Corporation Chamber filler kit for dielectric etch chamber
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6738485B2 (ja) * 2016-08-26 2020-08-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 低圧リフトピンキャビティハードウェア
JP6994502B2 (ja) * 2016-08-26 2022-01-14 アプライド マテリアルズ インコーポレイテッド プラズマ処理チャンバ用プラズマスクリーン
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102587615B1 (ko) * 2016-12-21 2023-10-11 삼성전자주식회사 플라즈마 처리 장치의 온도 조절기 및 이를 포함하는 플라즈마 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN108257840B (zh) * 2016-12-29 2021-03-30 中微半导体设备(上海)股份有限公司 一种等离子处理装置
CN106783500A (zh) * 2017-01-03 2017-05-31 京东方科技集团股份有限公司 镀膜设备
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10559451B2 (en) * 2017-02-15 2020-02-11 Applied Materials, Inc. Apparatus with concentric pumping for multiple pressure regimes
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10636629B2 (en) * 2017-10-05 2020-04-28 Applied Materials, Inc. Split slit liner door
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
CN108346554A (zh) * 2018-04-24 2018-07-31 西南林业大学 一种等离子体刻蚀与沉积设备及方法
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
CN112335342B (zh) * 2018-06-14 2023-07-14 Mks仪器公司 用于远程等离子源的自由基输出监控器和使用方法
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP6921796B2 (ja) 2018-09-28 2021-08-18 芝浦メカトロニクス株式会社 プラズマ処理装置
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
JP7465265B2 (ja) * 2018-11-21 2024-04-10 アプライド マテリアルズ インコーポレイテッド 位相制御を使用してプラズマ分布を調整するためのデバイス及び方法
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN111383896B (zh) * 2018-12-29 2023-10-13 北京北方华创微电子装备有限公司 内衬及反应腔室
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20210135357A (ko) * 2019-04-05 2021-11-12 어플라이드 머티어리얼스, 인코포레이티드 가변 유동 밸브를 갖는 프로세스 시스템
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
WO2020231665A1 (en) * 2019-05-13 2020-11-19 Applied Materials, Inc. Titanium liner to reduce metal contamination
JP7329960B2 (ja) * 2019-05-14 2023-08-21 東京エレクトロン株式会社 載置台およびプラズマ処理装置
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
JP7374016B2 (ja) * 2019-06-18 2023-11-06 東京エレクトロン株式会社 基板処理装置
KR20200145977A (ko) 2019-06-21 2020-12-31 삼성전자주식회사 플라즈마 처리 장치 및 이를 이용한 반도체 소자 제조 방법
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
KR102239116B1 (ko) * 2019-08-07 2021-04-09 세메스 주식회사 기판처리장치
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
CN112447579B (zh) * 2019-09-04 2023-10-31 中微半导体设备(上海)股份有限公司 一种等离子体处理器、晶片顶升装置及其方法
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
CN110571119A (zh) * 2019-09-05 2019-12-13 合肥晞隆光电有限公司 离子源放电室导气结构以及离子源
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11564292B2 (en) * 2019-09-27 2023-01-24 Applied Materials, Inc. Monolithic modular microwave source with integrated temperature control
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11236424B2 (en) 2019-11-01 2022-02-01 Applied Materials, Inc. Process kit for improving edge film thickness uniformity on a substrate
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
CN113035680A (zh) * 2019-12-24 2021-06-25 中微半导体设备(上海)股份有限公司 用于真空设备的调平机构和等离子体处理装置
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11415538B2 (en) 2020-03-06 2022-08-16 Applied Materials, Inc. Capacitive sensor housing for chamber condition monitoring
US11545346B2 (en) 2020-03-06 2023-01-03 Applied Materials, Inc. Capacitive sensing data integration for plasma chamber condition monitoring
US11581206B2 (en) 2020-03-06 2023-02-14 Applied Materials, Inc. Capacitive sensor for chamber condition monitoring
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
US20210343508A1 (en) * 2020-04-30 2021-11-04 Applied Materials, Inc. Metal oxide preclean chamber with improved selectivity and flow conductance
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202203319A (zh) * 2020-06-24 2022-01-16 日商東京威力科創股份有限公司 基板處理裝置
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
JP2022079159A (ja) * 2020-11-16 2022-05-26 東京エレクトロン株式会社 基板処理方法および基板処理装置
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11499223B2 (en) 2020-12-10 2022-11-15 Applied Materials, Inc. Continuous liner for use in a processing chamber
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11881375B2 (en) 2021-04-15 2024-01-23 Applied Materials, Inc. Common substrate and shadow ring lift apparatus
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
JP7308330B2 (ja) * 2021-05-10 2023-07-13 ピコサン オーワイ 基板処理装置及び方法
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
DE102022102768A1 (de) * 2022-02-07 2023-08-10 Stephan Wege Symmetrischer Prozessreaktor
JP7245378B1 (ja) 2022-03-23 2023-03-23 Sppテクノロジーズ株式会社 基板処理装置
JP7092959B1 (ja) * 2022-03-23 2022-06-28 Sppテクノロジーズ株式会社 基板処理装置
KR102627141B1 (ko) * 2023-07-20 2024-01-23 (주)효진이앤하이 플라즈마 가스 변환 시스템

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002343787A (ja) 2001-05-17 2002-11-29 Research Institute Of Innovative Technology For The Earth プラズマ処理装置およびそのクリーニング方法
JP2007266533A (ja) 2006-03-30 2007-10-11 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
JP2008244233A (ja) 2007-03-28 2008-10-09 Tokyo Electron Ltd プラズマ処理装置
JP2009224432A (ja) 2008-03-14 2009-10-01 Sumitomo Precision Prod Co Ltd プラズマ処理装置

Family Cites Families (165)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5841658B2 (ja) * 1979-06-15 1983-09-13 パイオニア株式会社 ドライエッチング装置
JPS5841658A (ja) 1981-09-02 1983-03-10 Furukawa Electric Co Ltd:The 連続鋳造法
US4982067A (en) * 1988-11-04 1991-01-01 Marantz Daniel Richard Plasma generating apparatus and method
JPH02224231A (ja) * 1988-11-30 1990-09-06 Tokyo Electron Ltd プラズマ処理装置
JP2888253B2 (ja) * 1989-07-20 1999-05-10 富士通株式会社 化学気相成長法およびその実施のための装置
JPH0383334A (ja) 1989-08-28 1991-04-09 Tokyo Electron Ltd プラズマ発生装置及びプラズマ処理装置
US6545420B1 (en) 1990-07-31 2003-04-08 Applied Materials, Inc. Plasma reactor using inductive RF coupling, and processes
US5698070A (en) * 1991-12-13 1997-12-16 Tokyo Electron Limited Method of etching film formed on semiconductor wafer
JP3142397B2 (ja) * 1992-09-29 2001-03-07 東京応化工業株式会社 プラズマ処理装置
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
JP2593282Y2 (ja) * 1992-11-10 1999-04-05 株式会社島津製作所 プラズマcvd装置
JPH06295866A (ja) 1993-04-08 1994-10-21 Canon Inc プラズマ反応装置
JPH07249586A (ja) * 1993-12-22 1995-09-26 Tokyo Electron Ltd 処理装置及びその製造方法並びに被処理体の処理方法
JP3671379B2 (ja) 1994-02-03 2005-07-13 アネルバ株式会社 静電吸着された被処理基板の離脱機構を持つプラズマ処理装置および静電吸着された被処理基板の離脱方法
JP3608121B2 (ja) * 1994-03-18 2005-01-05 アネルバ株式会社 基板の機械的脱離機構およびその機構を用いた脱離方法
TW254030B (en) * 1994-03-18 1995-08-11 Anelva Corp Mechanic escape mechanism for substrate
US5900103A (en) 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5641375A (en) * 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
JP3121524B2 (ja) * 1995-06-07 2001-01-09 東京エレクトロン株式会社 エッチング装置
JP3192370B2 (ja) * 1995-06-08 2001-07-23 東京エレクトロン株式会社 プラズマ処理装置
TW286414B (en) 1995-07-10 1996-09-21 Watkins Johnson Co Electrostatic chuck assembly
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5820723A (en) * 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US6432203B1 (en) 1997-03-17 2002-08-13 Applied Komatsu Technology, Inc. Heated and cooled vacuum chamber shield
US6189483B1 (en) 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US6083344A (en) 1997-05-29 2000-07-04 Applied Materials, Inc. Multi-zone RF inductively coupled source configuration
JP3501930B2 (ja) * 1997-12-01 2004-03-02 株式会社ルネサステクノロジ プラズマ処理方法
US6073577A (en) * 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6192827B1 (en) * 1998-07-03 2001-02-27 Applied Materials, Inc. Double slit-valve doors for plasma processing
US6231716B1 (en) * 1998-11-09 2001-05-15 Applied Materials, Inc. Processing chamber with rapid wafer exchange
JP2000286242A (ja) 1999-03-31 2000-10-13 Tokyo Electron Ltd プラズマ処理装置
US20040149214A1 (en) * 1999-06-02 2004-08-05 Tokyo Electron Limited Vacuum processing apparatus
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP2001023955A (ja) * 1999-07-07 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
US6406545B2 (en) * 1999-07-27 2002-06-18 Kabushiki Kaisha Toshiba Semiconductor workpiece processing apparatus and method
JP2001077088A (ja) * 1999-09-02 2001-03-23 Tokyo Electron Ltd プラズマ処理装置
JP4394778B2 (ja) 1999-09-22 2010-01-06 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP4592856B2 (ja) * 1999-12-24 2010-12-08 東京エレクトロン株式会社 バッフル板及びガス処理装置
JP4437351B2 (ja) * 2000-01-14 2010-03-24 キヤノンアネルバ株式会社 プラズマエッチング装置
US6572708B2 (en) 2000-02-28 2003-06-03 Applied Materials Inc. Semiconductor wafer support lift-pin assembly
US6517634B2 (en) 2000-02-28 2003-02-11 Applied Materials, Inc. Chemical vapor deposition chamber lid assembly
US7196283B2 (en) * 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
JP4454781B2 (ja) * 2000-04-18 2010-04-21 東京エレクトロン株式会社 プラズマ処理装置
JP4291499B2 (ja) 2000-06-28 2009-07-08 パナソニック株式会社 真空処理装置
JP4672113B2 (ja) * 2000-07-07 2011-04-20 東京エレクトロン株式会社 誘導結合プラズマ処理装置
US6403491B1 (en) * 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
JP4535356B2 (ja) * 2000-12-19 2010-09-01 東京エレクトロン株式会社 プラズマ装置
WO2002059933A2 (en) 2001-01-22 2002-08-01 Tokyo Electron Limited Vertically translatable chuck assembly and method for a plasma reactor system
US6514870B2 (en) 2001-01-26 2003-02-04 Applied Materials, Inc. In situ wafer heat for reduced backside contamination
KR100443905B1 (ko) * 2001-03-23 2004-08-09 삼성전자주식회사 화학 기상 증착장치
JP2002286242A (ja) * 2001-03-27 2002-10-03 Matsushita Electric Ind Co Ltd 空気調和装置
JP2002367965A (ja) 2001-06-05 2002-12-20 Mitsubishi Electric Corp プラズマ処理装置およびプラズマ処理方法
US6527911B1 (en) * 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
TWI234417B (en) 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
KR100431660B1 (ko) * 2001-07-24 2004-05-17 삼성전자주식회사 반도체 장치의 제조를 위한 건식 식각 장치
JP2003056617A (ja) 2001-08-20 2003-02-26 Nissan Motor Co Ltd 衝撃エネルギ吸収構造部材
JP2003124287A (ja) * 2001-10-19 2003-04-25 Komatsu Electronic Metals Co Ltd エピタキシャルウェハ製造装置及びウェハ製造方法
JP2003163206A (ja) * 2001-11-28 2003-06-06 Tokyo Electron Ltd プラズマ処理装置、プラズマ処理方法及びマルチチャンバシステム
JP4030302B2 (ja) * 2001-12-18 2008-01-09 株式会社アルバック 真空処理装置
JP4389424B2 (ja) 2001-12-25 2009-12-24 東京エレクトロン株式会社 被処理体の搬送機構及び処理システム
JP4504684B2 (ja) * 2001-12-27 2010-07-14 東京エレクトロン株式会社 エッチング方法
JP4574987B2 (ja) * 2002-01-10 2010-11-04 東京エレクトロン株式会社 処理装置
US6664738B2 (en) 2002-02-27 2003-12-16 Hitachi, Ltd. Plasma processing apparatus
JP4127488B2 (ja) 2002-07-03 2008-07-30 東京エレクトロン株式会社 プラズマ処理装置
US20050139321A1 (en) 2002-07-03 2005-06-30 Tokyo Electron Limited Plasma processing apparatus
ITBO20020440A1 (it) * 2002-07-05 2004-01-05 Cefla Coop Unita per l ' acquisizione e la visualizzazione di immagini radiografiche dentali
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
JP4141234B2 (ja) 2002-11-13 2008-08-27 キヤノンアネルバ株式会社 プラズマ処理装置
JP4584565B2 (ja) 2002-11-26 2010-11-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US20040129221A1 (en) 2003-01-08 2004-07-08 Jozef Brcka Cooled deposition baffle in high density plasma semiconductor processing
JP4173389B2 (ja) * 2003-03-19 2008-10-29 東京エレクトロン株式会社 プラズマ処理装置
JP4079834B2 (ja) * 2003-06-04 2008-04-23 東京エレクトロン株式会社 プラズマ処理方法
TW200503903A (en) * 2003-07-18 2005-02-01 Ucb Sa Method of forming heat resistant raised print from radiation curable solid particulate compositions
TWM250219U (en) 2003-07-22 2004-11-11 Global Win Technology Co Ltd Fixing apparatus of water-cooling heat sink
US20050022736A1 (en) * 2003-07-29 2005-02-03 Lam Research Inc., A Delaware Corporation Method for balancing return currents in plasma processing apparatus
KR100901892B1 (ko) * 2003-09-03 2009-06-10 도쿄엘렉트론가부시키가이샤 가스 처리 장치 및 처리 가스 토출 구조체
JP4607517B2 (ja) * 2003-09-03 2011-01-05 東京エレクトロン株式会社 プラズマ処理装置
JP2005089823A (ja) * 2003-09-17 2005-04-07 Seiji Sagawa 成膜装置および成膜方法
JP4288127B2 (ja) * 2003-09-30 2009-07-01 パナソニック株式会社 プラズマ処理装置
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US8317968B2 (en) * 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US20070221130A1 (en) * 2004-05-27 2007-09-27 Tokyo Electron Limited Substrate Processing Apparatus
DE102004028784A1 (de) * 2004-06-16 2006-01-05 Behr Gmbh & Co. Kg Vorrichtung zur Luftzuführung in einen Innenraum eines Fahrzeuges
KR101247857B1 (ko) * 2004-06-21 2013-03-26 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
KR101063737B1 (ko) * 2004-07-09 2011-09-08 주성엔지니어링(주) 기판 제조장비의 샤워헤드
JP4559202B2 (ja) * 2004-07-30 2010-10-06 東京エレクトロン株式会社 プラズマエッチング装置
JP4770167B2 (ja) * 2004-12-16 2011-09-14 株式会社島津製作所 表面波励起プラズマcvd装置を用いた成膜方法
KR100661744B1 (ko) 2004-12-23 2006-12-27 주식회사 에이디피엔지니어링 플라즈마 처리장치
KR100572118B1 (ko) 2005-01-28 2006-04-18 주식회사 에이디피엔지니어링 플라즈마 처리장치
JP4979576B2 (ja) * 2005-03-30 2012-07-18 パナソニック株式会社 プラズマドーピング方法及びプラズマ処理装置
US7541826B2 (en) * 2005-05-13 2009-06-02 Kla-Tencor Corporation Compliant pad wafer chuck
KR20060127599A (ko) * 2005-06-08 2006-12-13 삼성전자주식회사 기판처리장치
US7621285B2 (en) * 2005-09-15 2009-11-24 Steris Inc. Tunnel washer system with improved cleaning efficiency
DE102005046463A1 (de) * 2005-09-22 2007-04-05 Infineon Technologies Ag Plasmabearbeitungsgerät
JP4665795B2 (ja) * 2006-02-23 2011-04-06 セイコーエプソン株式会社 電気光学装置の製造方法
WO2007099957A1 (ja) 2006-02-28 2007-09-07 Tokyo Electron Limited プラズマ処理装置およびそれに用いる基板加熱機構
JP4878188B2 (ja) 2006-03-20 2012-02-15 東京エレクトロン株式会社 基板処理装置、堆積物モニタ装置、及び堆積物モニタ方法
US7432467B2 (en) 2006-03-28 2008-10-07 Tokyo Electron Limited Plasma processing apparatus
KR101089015B1 (ko) * 2006-05-29 2011-12-01 베바스토 아게 냉기 및/또는 열기 축적용 어큐뮬레이터
JP4850592B2 (ja) * 2006-06-14 2012-01-11 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP2007335755A (ja) * 2006-06-16 2007-12-27 Matsushita Electric Ind Co Ltd 基板処理装置および基板処理方法
EP1879382B1 (en) * 2006-07-10 2017-09-06 Samsung Electronics Co., Ltd. Multi-screen display apparatus and method for digital broadcast receiver
US20080029032A1 (en) * 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
JP2008041969A (ja) * 2006-08-08 2008-02-21 Matsushita Electric Ind Co Ltd 基板の脱離方法
WO2008045972A2 (en) 2006-10-10 2008-04-17 Asm America, Inc. Precursor delivery system
US20080193673A1 (en) 2006-12-05 2008-08-14 Applied Materials, Inc. Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
KR101312292B1 (ko) 2006-12-11 2013-09-27 엘아이지에이디피 주식회사 플라즈마 처리장치의 기판 파손 방지장치 및 그 방법
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US7943007B2 (en) * 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
US8444926B2 (en) 2007-01-30 2013-05-21 Applied Materials, Inc. Processing chamber with heated chamber liner
JP4928991B2 (ja) * 2007-03-12 2012-05-09 東京エレクトロン株式会社 基板処理装置
JP4874870B2 (ja) 2007-05-29 2012-02-15 東京エレクトロン株式会社 基板処理システム及び基板処理装置
TWI339860B (en) 2007-06-29 2011-04-01 Winbond Electronics Corp Method of manufacturing a semiconductor structure and method of manufacturing a shallow trench isolation structure
US8416773B2 (en) * 2007-07-11 2013-04-09 Hewlett-Packard Development Company, L.P. Packet monitoring
US8108883B2 (en) * 2007-07-16 2012-01-31 Apteryx, Inc. Methods of populating a third-party document with digital information content
US9184072B2 (en) * 2007-07-27 2015-11-10 Mattson Technology, Inc. Advanced multi-workpiece processing chamber
KR101437522B1 (ko) * 2007-09-05 2014-09-03 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 반응기 챔버에서 웨이퍼 에지 가스 주입부를 갖는캐소드 라이너
US20090071403A1 (en) 2007-09-19 2009-03-19 Soo Young Choi Pecvd process chamber with cooled backing plate
US8313610B2 (en) 2007-09-25 2012-11-20 Lam Research Corporation Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
JP2009088298A (ja) * 2007-09-29 2009-04-23 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
JP5329072B2 (ja) * 2007-12-03 2013-10-30 東京エレクトロン株式会社 処理容器およびプラズマ処理装置
US20090162262A1 (en) * 2007-12-19 2009-06-25 Applied Material, Inc. Plasma reactor gas distribution plate having path splitting manifold side-by-side with showerhead
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
US20090159213A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Plasma reactor gas distribution plate having a path splitting manifold immersed within a showerhead
WO2009078921A1 (en) 2007-12-19 2009-06-25 Applied Materials, Inc. Plasma reactor gas distribution plate with path splitting manifold
US20090188624A1 (en) * 2008-01-25 2009-07-30 Applied Materials, Inc. Method and apparatus for enhancing flow uniformity in a process chamber
US20090188625A1 (en) * 2008-01-28 2009-07-30 Carducci James D Etching chamber having flow equalizer and lower liner
JP5222442B2 (ja) 2008-02-06 2013-06-26 東京エレクトロン株式会社 基板載置台、基板処理装置及び被処理基板の温度制御方法
KR101659095B1 (ko) * 2008-02-08 2016-09-22 램 리써치 코포레이션 측방향 벨로우 및 비접촉 입자 밀봉을 포함하는 조정가능한 갭이 용량적으로 커플링되는 rf 플라즈마 반응기
JP5264238B2 (ja) 2008-03-25 2013-08-14 東京エレクトロン株式会社 プラズマ処理装置
US7987814B2 (en) * 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
JP2008226857A (ja) 2008-05-16 2008-09-25 Matsushita Electric Ind Co Ltd プラズマ処理方法及び装置
JP2010016343A (ja) * 2008-06-30 2010-01-21 Advanced Display Process Engineering Co Ltd ガス供給装置及びこれを用いた基板処理装置{Apparatusforsupplyinggasandapparatusforprocessingsubstrateusingthesame}
KR101216790B1 (ko) * 2008-07-31 2012-12-28 캐논 아네르바 가부시키가이샤 플라즈마 처리 장치 및 전자 디바이스의 제조 방법
WO2010019430A2 (en) * 2008-08-12 2010-02-18 Applied Materials, Inc. Electrostatic chuck assembly
KR20100055618A (ko) * 2008-11-18 2010-05-27 주식회사 케이씨텍 샤워헤드 및 이를 구비하는 플라즈마 처리장치
CN101740340B (zh) * 2008-11-25 2011-12-21 北京北方微电子基地设备工艺研究中心有限责任公司 反应腔室及半导体加工设备
US8627783B2 (en) * 2008-12-19 2014-01-14 Lam Research Corporation Combined wafer area pressure control and plasma confinement assembly
TW201112886A (en) * 2009-01-09 2011-04-01 Ulvac Inc Plasma treatment apparatus
JP2010171286A (ja) * 2009-01-26 2010-08-05 Hitachi High-Technologies Corp プラズマ処理装置
US20100186674A1 (en) 2009-01-26 2010-07-29 E. I. Du Pont De Nemours And Company Methods and compositions for treating fertilized avian eggs
US8313612B2 (en) 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
US20100247804A1 (en) * 2009-03-24 2010-09-30 Applied Materials, Inc. Biasable cooling pedestal
JP5350043B2 (ja) * 2009-03-31 2013-11-27 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR101058832B1 (ko) 2009-05-11 2011-08-24 엘아이지에이디피 주식회사 플라즈마를 이용한 기판처리장치의 안테나 구조
US8360003B2 (en) * 2009-07-13 2013-01-29 Applied Materials, Inc. Plasma reactor with uniform process rate distribution by improved RF ground return path
DE102009035386B4 (de) * 2009-07-30 2011-12-15 Cochlear Ltd. Hörhilfeimplantat
JP5496568B2 (ja) * 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP5650935B2 (ja) 2009-08-07 2015-01-07 東京エレクトロン株式会社 基板処理装置及び位置決め方法並びにフォーカスリング配置方法
CN102056395B (zh) 2009-10-27 2014-05-07 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
JP5551420B2 (ja) * 2009-12-04 2014-07-16 東京エレクトロン株式会社 基板処理装置及びその電極間距離の測定方法並びにプログラムを記憶する記憶媒体
JP2011146464A (ja) * 2010-01-13 2011-07-28 Panasonic Corp プラズマ処理装置
JP5554099B2 (ja) 2010-03-18 2014-07-23 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US20110226739A1 (en) * 2010-03-19 2011-09-22 Varian Semiconductor Equipment Associates, Inc. Process chamber liner with apertures for particle containment
JP5567392B2 (ja) * 2010-05-25 2014-08-06 東京エレクトロン株式会社 プラズマ処理装置
TWI502617B (zh) 2010-07-21 2015-10-01 應用材料股份有限公司 用於調整電偏斜的方法、電漿處理裝置與襯管組件
JP5723130B2 (ja) 2010-09-28 2015-05-27 東京エレクトロン株式会社 プラズマ処理装置
JP5781349B2 (ja) 2011-03-30 2015-09-24 東京エレクトロン株式会社 プラズマ処理装置
JP2012222719A (ja) 2011-04-13 2012-11-12 Nec Access Technica Ltd 中継装置及び電力制御方法
TWI762170B (zh) 2011-10-05 2022-04-21 美商應用材料股份有限公司 包括對稱電漿處理腔室的電漿處理設備與用於此設備的蓋組件
TWM520621U (zh) * 2015-01-29 2016-04-21 Hsueh-Ju Chen 瓦斯熱水器精密步進電機控制氣閥

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002343787A (ja) 2001-05-17 2002-11-29 Research Institute Of Innovative Technology For The Earth プラズマ処理装置およびそのクリーニング方法
JP2007266533A (ja) 2006-03-30 2007-10-11 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
JP2008244233A (ja) 2007-03-28 2008-10-09 Tokyo Electron Ltd プラズマ処理装置
JP2009224432A (ja) 2008-03-14 2009-10-01 Sumitomo Precision Prod Co Ltd プラズマ処理装置

Also Published As

Publication number Publication date
TWI672981B (zh) 2019-09-21
CN103050363B (zh) 2017-09-22
TWI661746B (zh) 2019-06-01
KR20130037197A (ko) 2013-04-15
TW201325323A (zh) 2013-06-16
JP2020098787A (ja) 2020-06-25
TWI594667B (zh) 2017-08-01
KR20130037196A (ko) 2013-04-15
TWI666975B (zh) 2019-07-21
CN103035469A (zh) 2013-04-10
US10535502B2 (en) 2020-01-14
US11315760B2 (en) 2022-04-26
KR102039454B1 (ko) 2019-11-01
JP6177567B2 (ja) 2017-08-09
JP6300451B2 (ja) 2018-03-28
CN103094045B (zh) 2018-01-26
CN103094044B (zh) 2018-11-02
KR102299994B1 (ko) 2021-09-08
JP2022028829A (ja) 2022-02-16
CN103050363A (zh) 2013-04-17
TW201325326A (zh) 2013-06-16
CN103035469B (zh) 2017-10-27
JP2023078317A (ja) 2023-06-06
US9741546B2 (en) 2017-08-22
CN107516627B (zh) 2020-04-24
TW201937994A (zh) 2019-09-16
KR20220103078A (ko) 2022-07-21
TWI830183B (zh) 2024-01-21
CN103050362A (zh) 2013-04-17
TWI719473B (zh) 2021-02-21
TW201325324A (zh) 2013-06-16
JP7250098B2 (ja) 2023-03-31
JP2013179055A (ja) 2013-09-09
KR20200120588A (ko) 2020-10-21
US20220254606A1 (en) 2022-08-11
CN103050362B (zh) 2017-05-17
JP6308716B2 (ja) 2018-04-11
JP6346698B2 (ja) 2018-06-20
TW202231135A (zh) 2022-08-01
TWI638587B (zh) 2018-10-11
TW201743663A (zh) 2017-12-16
CN107516627A (zh) 2017-12-26
JP2013084602A (ja) 2013-05-09
US10453656B2 (en) 2019-10-22
JP2013179054A (ja) 2013-09-09
JP2013211268A (ja) 2013-10-10
KR101944895B1 (ko) 2019-02-01
KR20130037195A (ko) 2013-04-15
CN103094044A (zh) 2013-05-08
US20200185192A1 (en) 2020-06-11
JP2013211269A (ja) 2013-10-10
US20160314942A1 (en) 2016-10-27
US10615006B2 (en) 2020-04-07
KR20190122626A (ko) 2019-10-30
KR102166643B1 (ko) 2020-10-16
KR102423749B1 (ko) 2022-07-22
JP7030144B2 (ja) 2022-03-04
TW202131764A (zh) 2021-08-16
TW201813454A (zh) 2018-04-01
JP6815302B2 (ja) 2021-01-20
US20160314937A1 (en) 2016-10-27
KR20130037168A (ko) 2013-04-15
US20160314940A1 (en) 2016-10-27
TW201813453A (zh) 2018-04-01
TW201325325A (zh) 2013-06-16
JP2018037413A (ja) 2018-03-08
KR102024584B1 (ko) 2019-09-24
US20130087286A1 (en) 2013-04-11
JP6168823B2 (ja) 2017-07-26
KR20170102189A (ko) 2017-09-08
TWI762170B (zh) 2022-04-21
US10546728B2 (en) 2020-01-28
KR101361757B1 (ko) 2014-02-12
KR102009783B1 (ko) 2019-08-12
TWI646869B (zh) 2019-01-01
KR20130037198A (ko) 2013-04-15
TW201316846A (zh) 2013-04-16
CN103094045A (zh) 2013-05-08
US20160314936A1 (en) 2016-10-27
US10580620B2 (en) 2020-03-03
TWI568319B (zh) 2017-01-21
TWI659674B (zh) 2019-05-11
KR20210100056A (ko) 2021-08-13
KR101944894B1 (ko) 2019-02-01
KR20130050946A (ko) 2013-05-16
TW201813455A (zh) 2018-04-01
JP2017228531A (ja) 2017-12-28
US20170271129A1 (en) 2017-09-21

Similar Documents

Publication Publication Date Title
KR102423749B1 (ko) 대칭적인 플라즈마 프로세스 챔버

Legal Events

Date Code Title Description
A107 Divisional application of patent
A107 Divisional application of patent
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant