JP5222442B2 - 基板載置台、基板処理装置及び被処理基板の温度制御方法 - Google Patents

基板載置台、基板処理装置及び被処理基板の温度制御方法 Download PDF

Info

Publication number
JP5222442B2
JP5222442B2 JP2008026235A JP2008026235A JP5222442B2 JP 5222442 B2 JP5222442 B2 JP 5222442B2 JP 2008026235 A JP2008026235 A JP 2008026235A JP 2008026235 A JP2008026235 A JP 2008026235A JP 5222442 B2 JP5222442 B2 JP 5222442B2
Authority
JP
Japan
Prior art keywords
substrate
mounting table
power feeding
substrate mounting
cooling gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2008026235A
Other languages
English (en)
Other versions
JP2009188162A (ja
JP2009188162A5 (ja
Inventor
康晴 佐々木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2008026235A priority Critical patent/JP5222442B2/ja
Priority to EP09152132A priority patent/EP2088616A3/en
Priority to US12/366,177 priority patent/US8696862B2/en
Priority to TW098103675A priority patent/TWI445124B/zh
Priority to KR1020090009726A priority patent/KR101115659B1/ko
Priority to CN2009100051604A priority patent/CN101504928B/zh
Publication of JP2009188162A publication Critical patent/JP2009188162A/ja
Publication of JP2009188162A5 publication Critical patent/JP2009188162A5/ja
Application granted granted Critical
Publication of JP5222442B2 publication Critical patent/JP5222442B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Description

本発明は、静電チャックを備えた半導体ウェハ等の基板の載置台に関し、静電チャックにおける給電線周囲の熱伝導の特異性による基板温度の不均一を解消することのできる基板載置台、この載置台を備えた基板処理装置及び被処理基板の温度制御方法に関する。
半導体ウェハ等の基板の処理装置においては、基板を保持・固定するために静電チャックが用いられることが多い。静電チャックは、基板の載置台表面を誘電体で構成し、その直下に金属性の電極板を埋め込んで、この電極板に直流高電圧を印加し、クーロン力又はジョンソン・ラーベック力により、基板を吸着保持するものである。
また、プラズマ処理装置においては、被処理基板であるウェハが上方から熱を受けるため、基板の載置台を熱伝導率の高い材料、例えば金属で構成し、その内部に冷媒流路を設けて載置台を冷却するとともに、載置台とウェハ裏面との間隙にHeガス等の熱伝達用ガスを導入して、ウェハの冷却を促進させている。
静電チャックの電極板には、電源から印加電圧を供給するために給電線が取り付けられている。この給電線は、例えば下記特許文献1に記載のあるように載置台中央部に設けられる場合と、例えば下記特許文献2のように載置台周縁部に設けられる場合とがある。
特開2000−317761号公報 特開2001−274228号公報
静電チャックの電極板に印加される電圧が高電圧であるため、給電線の周囲は絶縁材料で構成しなければならない。一般に絶縁材料は熱伝導率も低いため、給電線周囲の絶縁材の部分と、それ以外の高熱伝導率材料の部分とで、基板から載置台へ伝熱量が相違するという問題が生じる。すなわち、給電線の周囲は冷媒への伝熱量が少なく、載置台表面温度が高くなる。そのため、基板から載置台への抜熱量が小さくなり、基板温度が他の部分より高くなってしまう。これによりプラズマエッチング等の処理をしたときに、給電線の周囲とその他の部分とでエッチング等の処理特性に差が生じるおそれがあり、好ましくない。
そこで、本発明は、プラズマ処理を行う減圧チャンバー内において、静電チャックにより被処理基板を吸着・保持する基板載置台であって、静電チャックの給電線周囲とその他の部分で、基板からの抜熱量を所望の値に制御して、基板全体の温度をほぼ均一にすることのできる基板載置台と温度制御方法を提供することを課題としている。
上記課題を解決するための本発明の基板載置台は、基板をプラズマ処理する処理チャンバー内に設けられ、給電線周囲が絶縁材料で構成された給電部と、内部に冷却媒体流路とを備えた基板載置台であって、前記載置台の基板載置面側を複数の領域に仕切る凸部と、前記凸部で仕切られた領域に冷却ガスを導入する導入口と、前記冷却ガスの圧力又は流量を調節する調節手段とを設けたことを特徴とする。
この載置台は、前記凸部で仕切られた複数の領域のそれぞれに、前記冷却ガスの導入口
と排出口とを含むガス流路が形成されているものであってもよい。前記凸部と、前記凸部で仕切られた複数の領域上に載置される被処理基板とにより形成される前記領域の空間は、前記給電部の中心に近い領域の空間ほど小さくなっていることは好ましい。
前記給電部は、前記基板載置台の中心部にあってもよい。この場合において、前記給電部の周囲を所定の半径で同心円状に複数の領域に仕切る凸部が形成されていてもよい。
また、この場合において、前記凸部は、前記給電部の中心を中心軸として同心円状に形成されているものであってもよい。
前記給電部が、少なくとも1個以上、基板載置台の周縁部にあってもよい。この場合において、前記給電部の周囲を所定の半径で同心円状に少なくとも1個以上の領域に仕切る凸部が形成されていてもよい。また、前記凸部は、前記給電部の中心を中心軸として同心円状に形成されているものであってもよい。
本発明の被処理基板の温度制御方法は、基板をプラズマ処理する処理チャンバー内に設けられ、給電線周囲が絶縁材料で構成された給電部と、内部に冷却媒体流路とを備えた基板載置台に載置される被処理基板の温度制御方法であって、前記載置台の基板載置面側に、前記給電線の周囲を複数の領域に仕切る凸部を形成し、前記凸部で仕切られた前記複数の領域のそれぞれに冷却ガスを供給し、前記複数の領域それぞれから冷却ガスを排気し、前記領域に供給する前記冷却ガスの圧力又は流量を調節することにより、被処理基板の温度を調整することを特徴とするものである。
この温度制御方法においては、前記領域の面積をその外側領域の面積より小さくして被処理基板の温度を調整することが好ましい。
また、前記給電部を中心としてその周囲を所定の半径で同心円状に複数の領域に仕切る凸部を形成し、被処理基板の温度を調整することが好ましい。
また、本発明は前記の基板載置台を備えた基板処理装置を含む。
本発明によれば、載置台に設けられた静電チャックの給電線周囲における伝熱の特異状態が解消され、載置台上の基板温度を均一にでき、被処理基板の品質を向上させることができるようになった。
以下、実施例の図面を参照して本発明を詳細に説明する。図1に、本発明の実施に用いられるプラズマ処理装置(プラズマエッチング装置)の全体の概略構成を示す。図1において、チャンバー1は、例えばアルミニウム、ステンレス鋼等の材質からなり、内部を気密に密閉可能な円筒形のものである。このチャンバー1はアースに接地されている。
チャンバー1の内部には、被処理基板として例えば半導体ウェハWが載置される載置台(以下、サセプタ)2が設けられる。図1に示すサセプタ2は、半導体ウェハWと接触して熱交換を行うことにより、半導体ウェハWの温度を調節する熱交換プレートとして用いられる。サセプタ2は、アルミニウム等の導電性及び熱伝導性に富む材質からなり、下部電極を兼ねている。
サセプタ2は、セラミックス等の絶縁性の筒状保持部3に支持される。筒状保持部3はチャンバー1の筒状支持部4に支持される。筒状保持部3の上面には、サセプタ2の上面を環状に囲む石英等からなるフォーカスリング5が配置される。
チャンバー1の側壁と筒状支持部4との間には、環状の排気路6が形成されている。この排気路6の入口又は途中に環状のバッフル板7が取り付けられる。排気路6の底部は排気管8を介して排気装置9に接続される。排気装置9は、真空ポンプを有しており、チャンバー1内の空間を所定の真空度まで減圧する。チャンバー1の側壁には、半導体ウェハWの搬入出口10を開閉するゲートバルブ11が取り付けられる。
サセプタ2には、プラズマ生成用の高周波電源が、整合器及び給電棒(いずれも図示していない)を介して電気的に接続される。高周波電源は、例えば40MHzの高い周波数の高周波電力をサセプタ2が兼ねる下部電極に供給する。チャンバー1の天井部には、シャワーヘッド15が上部電極として設けられる。高周波電源からの高周波電力により、サセプタ2とシャワーヘッド15との間にプラズマが生成される。
またサセプタ2には、プラズマ中のイオンを半導体ウェハWに引き込むバイアス用の高周波電源が、整合器及び給電棒(いずれも図示していない)を介して接続される。バイアス用の高周波電源は、例えば12.88MHz、3.2MHz等のやや低い周波数の高周波電力をサセプタ2に供給する。
サセプタ2の上面には、半導体ウェハWを静電吸着力で保持するために、セラミックス等の誘電体からなる静電チャック16が設けられる。静電チャック16の内部には、導電体、例えば銅、タングステン等の導電膜からなる内部電極17が埋め込まれている。内部電極17には、高電圧、例えば2500V,3000V等の直流高圧電源12が給電線13を介して電気的に接続されている。直流高圧電源12から内部電極17に直流高電圧を印加すると、クーロン力又はジョンソン・ラーベック力により半導体ウェハWが静電チャック16に吸着保持される。
サセプタ2の内部には、冷媒流路18が設けられる。この冷媒流路18には、冷媒ユニット19より配管20を介して、例えば冷水が循環供給される。
静電チャック16の縁には、周縁環状凸部21が設けてあり、静電チャック16の表面と半導体ウェハWの裏面との間には隙間が形成されている。この隙間は給電線13の周囲に所定半径で設けられた内部環状凸部31により内側領域32と外側領域33に区画されている。冷却ガス供給部22からの冷却ガス、例えばHeガスが、ガス供給管23aを介して内側領域32に、ガス供給管23bを介して外側領域33に別々に供給される。この冷却ガスは、その圧力を変えることにより、静電チャック16、すなわちサセプタ2と半導体ウェハWとの間の熱伝導の程度を任意に制御する効果を有する。これが本発明のポイントであるが、詳細は後述する。
シャワーヘッド15は、多数のガス通気孔を有する下面の電極板24と、この電極板24を着脱可能に支持する電極支持体25とを有する。電極支持体25の内部にはバッファ室26が設けられ、このバッファ室26のガス導入口27には、処理ガス供給部28からのガス導入管29が接続される。
シャワーヘッド15とサセプタ2は平行に対向して設置され、一対の電極すなわち上部電極と下部電極として機能する。シャワーヘッド15と、半導体ウェハWが載置されたサセプタ2との間の空間には、高周波電力によって鉛直方向の高周波電界が形成され、高周波の放電によって半導体ウェハWの表面近傍に高密度のプラズマが生成される。また、チャンバー1の周囲には、チャンバー1と同心円状に環状のリング磁石30が配置され、シャワーヘッド15とサセプタ2との間の処理空間に磁場を形成する。
図2は、本実施例におけるサセプタ上部の構造を示す図で、図2(a)は断面図、図2(b)は、図2(a)のA−A矢視断面の平面図である。サセプタ2の上部には誘電体層34が形成され、その直下には導電膜からなる内部電極17が埋め込まれている。内部電極17には給電線13により直流高電圧が印加される。給電線13の周囲には円筒状の絶縁部材14が配されて漏電を防止する。サセプタ2は熱伝導率の高い材料、例えば金属で構成され、その内部に冷媒流路18が設けられている。冷媒流路18には配管20aから冷媒が供給され、配管20bから排出されて、冷媒が循環する。
サセプタ2の上端外縁全周には、周縁環状凸部21が設けられ、基板Wはこの周縁環状凸部21の上に載置される。このため、基板Wと誘電体層34との間には僅かな空間が形成される。また、絶縁部材14を囲むように、内部環状凸部31が設けられており、上記の空間は内側領域32と外側領域33に区画されている。
内側領域32にはガス供給管23aを介して冷却ガスが供給され、ガス排出管35aから排出される。外側領域33にはガス供給管23bを介して冷却ガスが供給され、ガス排出管35bから排出される。ガス供給管23a,23b及びガス排出管35a,35bには流量調節弁36が配設され、かつガス供給管23a,23bにはそれぞれ圧力計37が設置されている。流量調節弁36を調節することにより、内側領域32及び外側領域33の圧力を所望の値に制御することができる。
なお、本実施例においては、冷却ガスの供給管と排出管とが設けられているが、排出管は必ずしも必要ではない。周縁環状凸部21や内部環状凸部31と基板Wとの間のシールの仕方(密着性の度合い)を調整することにより、冷却ガスをチャンバー内に漏出させることでガス流を生じせしめることができるためである。
本発明において、サセプタ2と基板Wとの隙間を内側領域32と外側領域33に区画して、それぞれ独立に冷却ガスを流す理由は、基板Wからの抜熱量を調節して、基板全体でその温度を一様に保つためである。給電線13の周囲の絶縁部材14は熱伝導度が小さいため冷媒へ伝熱しにくい。そのため、内側領域32では外側領域33よりもサセプタ2の表面温度が高くなり、基板Wからサセプタ2への抜熱量が低くなる。そこで、サセプタ2表面と基板Wとの隙間に流す冷却ガスの圧力を、内側領域32と外側領域33で別々に制御して、内側領域32での冷却ガスを介しての伝熱を促進させることが本発明のポイントである。
すなわち、プラズマ処理装置内においては、空間の維持圧力に対し空間の代表長が短いため、装置内に導入された冷却ガスは分子流領域にある。分子流領域では、ガスの熱伝導度がその圧力に比例するので、内側領域32の圧力を外側領域33よりも高くすることにより、基板Wからサセプタ2へ伝熱を促進することができ、これにより基板Wの全体の温度を一様にすることができる。これが可能になる根拠については、後に詳しく説明する。
図3は、本発明の第二の実施例におけるサセプタ上部の構造を示す図(中央付近のみ拡大して示す)で、図3(a)は断面図、図3(b)は平面図である。この例においては、給電線13の周囲の絶縁部材14の周辺に、2重の内部環状凸部、すなわち内側の第一環状凸部31aと外側の第二環状凸部31bとが設けられている。これにより、サセプタ2と基板Wとの間隙は、第一内側領域32a、第二内側領域32bと外側領域33とに3分割されている。各領域にはそれぞれ独立に冷却ガスが吹き込まれ、独立に領域内部の圧力を制御できるようになっている。
すなわち、第一内側領域32aには、ガス供給管23aを介して冷却ガスが供給され、ガス排出管35aから排出される。第二内側領域32bには、ガス供給管23cを介して冷却ガスが供給され、ガス排出管35cから排出される。また、外側領域33には、ガス供給管23bを介して冷却ガスが供給され、ガス排出管35bから排出される。ガス供給管23a,23b,23c及びガス排出管35a,35b,35cには、それぞれ流量調節弁(図示していない)が配設されて、各領域の圧力を独立に制御することができる。このように3つの領域に分割する理由は、基板温度の制御精度を高めるためであるが、詳細は後述する。
なお、本実施例では、第一環状凸部31aと第二環状凸部31bは、給電線13の中心を中心軸として同心円状に形成されているが、同心円の中心が給電線13の中心と一致していなくとも、おおよそその付近にあればよい。
図4は、本発明の第三の実施例におけるサセプタ上部の構造を示す図で、図4(a)は断面図、図4(b)は、図4(a)のB−B矢視断面の平面図である。この例においては、給電線13はサセプタ2の中央ではなく、周縁付近に一対、ほぼ対象の位置に設けられている。給電線13の周囲が絶縁部材14で絶縁されることは、図2と同様である。従って、それぞれの絶縁部材14の周囲に内部環状凸部31が設けられ、サセプタ2と基板Wとの間隙は、2個の内側領域32と外側領域33に分割されている。このような構造にすることによって、内部電極17への給電線13がサセプタ2の周縁にある場合でも、本発明の目的を達することができる。
次に本発明の根拠となる熱解析の結果について説明する。図5は、サセプタ上部の伝熱解析の条件及び結果の説明図である。計算を簡単にするために、高さ方向のみに熱が流れることを想定した一次元の熱伝導モデルで考える。熱流束は、どの位置でも2W/cmの一定値とし、冷媒温度すなわち冷媒流路の表面温度はT(一定値)、伝熱層の厚みは15mmとする。また、給電線周囲の絶縁材料(AL)の熱伝導率は16W/m・Kとし、それ以外の構造材料(Al)の熱伝導率は160W/m・Kとする。
この条件でサセプタ表面の温度を計算した結果、絶縁材と構造材の表面温度の差ΔT=T(絶縁材)−T(構造材)は16.9℃となることが明らかになった。サセプタ表面温度にこれだけの差が生じると、基板もこれに近い温度差が生じて、プラズマ処理の品質の均一性に悪影響を及ぼす可能性が大きい。
実際の熱流は一次元でなく、横方向にも熱が拡散するので、軸対象の二次元伝熱モデルで考える必要がある。図6は、実際のサセプタ表面温度分布の概念図と、これに対応したゾーン分割の考え方の説明図である。図6(a)に示すように、表面温度Tがピーク状に高くなっているゾーン1と、Tがすそ野を引いて漸減するゾーン2と、Tが一定値となるゾーン3に別けられる。したがって、図6(b)に示すように、基板Wとサセプタ2との間の空間を、第一環状凸部31aと第二環状凸部31bで2重に仕切りを設けて、第一内側領域(ゾーン1)と第二内側領域(ゾーン2)と外側領域(ゾーン3)に分割し、その圧力Pが(ゾーン1)>(ゾーン2)>(ゾーン3)になるように制御すればよい。
このようにして、ゾーン1〜3の圧力を適切に制御することにより、基板Wの温度の一様性をより高めることができる。前述した第二実施例は、このような考え方に基き、第一実施例よりも精密な基板温度の制御を行うことを意図したものである。なお、ゾーンの分割数をさらに多くしてもよい。
また、第三実施例のような給電線13がサセプタ2の周縁部に設けられている場合も、各給電線13の絶縁部14に2重又はそれ以上の環状凸部31を設けて、各3ゾーン以上に分割してもよい。これにより基板温度制御の精度を高めることができる。
次に、冷却ガス圧力の伝熱に及ぼす影響について説明する。プラズマ処理等の真空処理装置においては、基板Wとサセプタ2との間の空間に導入された冷却ガスは分子流域にあり、その熱伝導度は絶対圧力に比例する。そのため、冷却ガスを介して基板Wからサセプタ2に伝導される熱量は、冷却ガスの圧力に比例的に増大する。そこで、熱流束とサセプタ表面温度が一定として、冷却ガス(He)の圧力と基板温度との関係を試算した結果の例を図7に示す。同図においては、He圧力50Torrの場合を基準とし、この時に基板温度が0℃になるように条件を設定して、He圧力が変わった時の基板温度を計算した。
図7に見られるように、基板温度はHe圧力50Torrの場合を0℃として、He圧力の低下とともに上昇し、圧力20Torrで約10℃、10Torrで約20℃となる。先の図5で示した絶縁材と構造材の表面温度の差16.9℃に相当するように、基板Wからサセプタ2への伝熱量を増加させるには、絶縁材の部分(内側領域32)のHe圧力を50Torrとし、構造材の部分(外側領域33)の圧力を13.5Torr程度にすればよいことが理解される。以上はおおよその推定であるが、本発明の方法でHe圧力を上記のように制御することに困難はなく、本発明により給電線部分の基板温度の特異性を回避し得ることが明らかになった。
本発明の実施に用いられるプラズマ処理装置(プラズマエッチング装置)の全体の概略構成を示す図である。 本発明の一実施例におけるサセプタ上部の構造を示す図である。 本発明の第二の実施例におけるサセプタ上部の構造を示す図である。 本発明の第三の実施例におけるサセプタ上部の構造を示す図である。 サセプタ上部の伝熱解析の条件及び結果の説明図である。 実際のサセプタ表面温度分布と、これに対応したゾーン分割の考え方を示す説明図である。 冷却ガスの圧力と基板温度の関係を試算した結果の例を示す図である。
符号の説明
1 チャンバー
2 サセプタ(載置台)
3 筒状保持部
4 筒状支持部
5 フォーカスリング
6 排気路
7 バッフル板
8 排気管
9 排気装置
10 搬入出口
11 ゲートバルブ
12 直流高圧電源
13 給電線
14 絶縁部材
15 シャワーヘッド
16 静電チャック
17 内部電極
18 冷媒流路
19 冷媒ユニット
20,20a,20b 配管
21 周縁環状凸部
22 冷却ガス供給部
23a,23b,23c ガス供給管
24 電極板
25 電極支持体
26 バッファ室
27 ガス導入口
28 処理ガス供給部
29 ガス導入管
30 リング磁石
31,31a,31b 内部環状凸部
32,32a,32b 内側領域
33 外側領域
34 誘電体層
35a,35b,35c ガス排出管
36 流量調節弁
37 圧力計
W 基板(半導体ウェハ)

Claims (5)

  1. 基板をプラズマ処理する処理チャンバー内に設けられ、給電線周囲が絶縁材料で構成された給電部と、内部に冷却媒体流路とを備えた基板載置台であって、
    前記基板載置台の基板載置面側を、前記給電部の中心を中心軸として同心円状に仕切る凸部と、
    前記凸部で仕切られた領域のそれぞれに冷却ガスを導入する導入口と、
    前記冷却ガスの圧力又は流量を調節する調節手段と、
    前記凸部で仕切られた複数の領域のそれぞれに形成された前記冷却ガスの排出口とを備え、
    前記凸部と、基板載置台に載置される被処理基板とにより形成される複数の空間領域のうち、前記給電部の中心に近い空間領域は、他の空間領域よりも小さいことを特徴とする基板載置台。
  2. 前記給電部が、基板載置台の中心部にあることを特徴とする請求項1に記載の基板載置台。
  3. 前記給電部が、少なくとも1個以上、基板載置台の周縁部にあることを特徴とする請求項1に記載の基板載置台。
  4. 請求項1から3のいずれかに記載の基板載置台を備えた基板処理装置。
  5. 基板をプラズマ処理する処理チャンバー内に設けられ、給電線周囲が絶縁材料で構成された給電部と、内部に冷却媒体流路とを備えた基板載置台に載置される被処理基板の温度制御方法であって、
    前記載置台の基板載置面側に、前記給電部の周囲を所定の半径で同心円状に複数の領域に仕切る凸部を、前記給電部の中心に近い領域の、前記凸部と、基板載置台に載置される被処理基板とにより形成される空間領域が、他の領域の前記凸部と、基板載置台に載置される被処理基板とにより形成される空間領域よりも小さくなるように形成し、
    前記凸部で仕切られた前記複数の領域のそれぞれに冷却ガスを供給し、
    前記複数の領域それぞれから冷却ガスを排気し、
    前記領域に供給する前記冷却ガスの圧力又は流量を調節することにより、被処理基板の温度を調整することを特徴とする被処理基板の温度制御方法。
JP2008026235A 2008-02-06 2008-02-06 基板載置台、基板処理装置及び被処理基板の温度制御方法 Expired - Fee Related JP5222442B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2008026235A JP5222442B2 (ja) 2008-02-06 2008-02-06 基板載置台、基板処理装置及び被処理基板の温度制御方法
US12/366,177 US8696862B2 (en) 2008-02-06 2009-02-05 Substrate mounting table, substrate processing apparatus and substrate temperature control method
TW098103675A TWI445124B (zh) 2008-02-06 2009-02-05 A substrate stage, a substrate processing apparatus, and a substrate to be processed
EP09152132A EP2088616A3 (en) 2008-02-06 2009-02-05 Substrate mounting table, substrate processing apparatus and substrate temperature control method
KR1020090009726A KR101115659B1 (ko) 2008-02-06 2009-02-06 기판 탑재대, 기판 처리 장치 및 피처리 기판의 온도 제어 방법
CN2009100051604A CN101504928B (zh) 2008-02-06 2009-02-06 基板载置台、基板处理装置和被处理基板的温度控制方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008026235A JP5222442B2 (ja) 2008-02-06 2008-02-06 基板載置台、基板処理装置及び被処理基板の温度制御方法

Publications (3)

Publication Number Publication Date
JP2009188162A JP2009188162A (ja) 2009-08-20
JP2009188162A5 JP2009188162A5 (ja) 2011-03-03
JP5222442B2 true JP5222442B2 (ja) 2013-06-26

Family

ID=40404297

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008026235A Expired - Fee Related JP5222442B2 (ja) 2008-02-06 2008-02-06 基板載置台、基板処理装置及び被処理基板の温度制御方法

Country Status (6)

Country Link
US (1) US8696862B2 (ja)
EP (1) EP2088616A3 (ja)
JP (1) JP5222442B2 (ja)
KR (1) KR101115659B1 (ja)
CN (1) CN101504928B (ja)
TW (1) TWI445124B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102762749B (zh) * 2010-02-26 2014-04-09 株式会社Ihi 炉内运送用辊

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101866826B (zh) * 2010-04-29 2012-04-11 中微半导体设备(上海)有限公司 一种用于真空处理系统的流体传输装置
JP5101665B2 (ja) * 2010-06-30 2012-12-19 東京エレクトロン株式会社 基板載置台、基板処理装置および基板処理システム
TWI762170B (zh) * 2011-10-05 2022-04-21 美商應用材料股份有限公司 包括對稱電漿處理腔室的電漿處理設備與用於此設備的蓋組件
JP5993568B2 (ja) * 2011-11-09 2016-09-14 東京エレクトロン株式会社 基板載置システム、基板処理装置、静電チャック及び基板冷却方法
DE102012101923B4 (de) * 2012-03-07 2019-11-07 Osram Opto Semiconductors Gmbh Substratträgeranordnung, Beschichtungsanlage mit Substratträgeranordnung und Verfahren zur Durchführung eines Beschichtungsverfahrens
JP5946365B2 (ja) * 2012-08-22 2016-07-06 株式会社アルバック 静電吸着装置、残留吸着除去方法
JP6010433B2 (ja) * 2012-11-15 2016-10-19 東京エレクトロン株式会社 基板載置台および基板処理装置
EP3594998B1 (en) * 2013-03-06 2022-01-05 Plasma-Therm, Llc Method for plasma dicing a semi-conductor wafer
US20150060013A1 (en) * 2013-09-05 2015-03-05 Applied Materials, Inc. Tunable temperature controlled electrostatic chuck assembly
CN104465450B (zh) * 2013-09-22 2017-05-10 中微半导体设备(上海)有限公司 一种用于冷却静电吸盘的供气装置及供气方法
CN104752301B (zh) * 2013-12-31 2018-05-25 北京北方华创微电子装备有限公司 一种静电卡盘以及腔室
CN103792974B (zh) * 2014-01-22 2015-12-02 清华大学 一种可快速精细调节温度场空间分布的加热盘及控制方法
WO2015145663A1 (ja) * 2014-03-27 2015-10-01 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
CN103972013B (zh) 2014-05-14 2016-08-24 京东方科技集团股份有限公司 一种真空设备
JP6469985B2 (ja) * 2014-07-28 2019-02-13 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9613839B2 (en) * 2014-11-19 2017-04-04 Varian Semiconductor Equipment Associates, Inc. Control of workpiece temperature via backside gas flow
KR101670457B1 (ko) * 2014-11-28 2016-10-31 세메스 주식회사 지지 유닛 및 이를 포함하는 기판 처리 장치
JP2016136554A (ja) * 2015-01-23 2016-07-28 株式会社日立ハイテクノロジーズ プラズマ処理装置
US10515786B2 (en) * 2015-09-25 2019-12-24 Tokyo Electron Limited Mounting table and plasma processing apparatus
WO2017126534A1 (ja) * 2016-01-19 2017-07-27 住友大阪セメント株式会社 静電チャック装置
JP6847610B2 (ja) * 2016-09-14 2021-03-24 株式会社Screenホールディングス 熱処理装置
DE102017200588A1 (de) * 2017-01-16 2018-07-19 Ers Electronic Gmbh Vorrichtung zum Temperieren eines Substrats und entsprechendes Herstellungsverfahren
WO2018183557A1 (en) * 2017-03-31 2018-10-04 Lam Research Corporation Electrostatic chuck with flexible wafer temperature control
JP7030557B2 (ja) * 2018-02-27 2022-03-07 日本特殊陶業株式会社 保持装置
JP7145625B2 (ja) * 2018-03-07 2022-10-03 東京エレクトロン株式会社 基板載置構造体およびプラズマ処理装置
CN110767568B (zh) * 2018-07-26 2022-05-27 北京北方华创微电子装备有限公司 压力调节组件、下电极装置、工艺腔室和半导体处理设备
JP2020043171A (ja) * 2018-09-07 2020-03-19 東京エレクトロン株式会社 温調方法
JP7209515B2 (ja) * 2018-11-27 2023-01-20 東京エレクトロン株式会社 基板保持機構および成膜装置
CN111326390B (zh) * 2018-12-17 2023-09-12 中微半导体设备(上海)股份有限公司 射频电极组件和等离子体处理设备
US11875970B2 (en) * 2018-12-17 2024-01-16 Advanced Micro-Fabrication Equipment Inc. China Radio frequency electrode assembly for plasma processing apparatus, and plasma processing apparatus
JP7186096B2 (ja) * 2019-01-09 2022-12-08 東京エレクトロン株式会社 熱板の冷却方法及び加熱処理装置
JP7254542B2 (ja) * 2019-02-01 2023-04-10 東京エレクトロン株式会社 載置台及び基板処理装置
JP7407529B2 (ja) 2019-07-10 2024-01-04 東京エレクトロン株式会社 基板載置台、基板処理装置及び温度制御方法
WO2021060843A1 (ko) * 2019-09-27 2021-04-01 주식회사 뷰웍스 신틸레이터 증착을 위한 기판 고정 장치, 이를 포함하는 기판 증착 장치 및 이를 이용한 신틸레이터의 증착 방법
JP7402037B2 (ja) 2019-12-23 2023-12-20 日本特殊陶業株式会社 静電チャック
CN113053715B (zh) * 2019-12-27 2023-03-31 中微半导体设备(上海)股份有限公司 下电极组件、等离子体处理装置及其工作方法
CN113130279B (zh) * 2019-12-30 2023-09-29 中微半导体设备(上海)股份有限公司 下电极组件、等离子体处理装置及其工作方法
JP7442347B2 (ja) * 2020-03-06 2024-03-04 東京エレクトロン株式会社 基板処理装置及び基板処理方法
CN115552586A (zh) * 2020-05-25 2022-12-30 日本碍子株式会社 静电卡盘

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3086970B2 (ja) * 1991-07-03 2000-09-11 東京エレクトロン株式会社 基板処理装置
JPH0567551A (ja) 1991-09-05 1993-03-19 Canon Inc ウエハチヤツク
US5738165A (en) * 1993-05-07 1998-04-14 Nikon Corporation Substrate holding apparatus
TW277139B (ja) * 1993-09-16 1996-06-01 Hitachi Seisakusyo Kk
US5609720A (en) * 1995-09-29 1997-03-11 Lam Research Corporation Thermal control of semiconductor wafer during reactive ion etching
JPH09213777A (ja) * 1996-01-31 1997-08-15 Kyocera Corp 静電チャック
JP2000317761A (ja) 1999-03-01 2000-11-21 Toto Ltd 静電チャックおよび吸着方法
US6740853B1 (en) * 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
JP4317329B2 (ja) 2000-01-20 2009-08-19 日本碍子株式会社 静電チャック
US20050211385A1 (en) * 2001-04-30 2005-09-29 Lam Research Corporation, A Delaware Corporation Method and apparatus for controlling spatial temperature distribution
US7161121B1 (en) * 2001-04-30 2007-01-09 Lam Research Corporation Electrostatic chuck having radial temperature control capability
JP3810300B2 (ja) * 2001-10-30 2006-08-16 京セラ株式会社 静電チャック
JP2003282692A (ja) * 2002-03-27 2003-10-03 Matsushita Electric Ind Co Ltd 基板搬送用トレーおよびこれを用いた基板処理装置
US7156951B1 (en) * 2002-06-21 2007-01-02 Lam Research Corporation Multiple zone gas distribution apparatus for thermal control of semiconductor wafer
JP4367685B2 (ja) * 2002-11-18 2009-11-18 キヤノンアネルバ株式会社 静電チャック装置
JP2005079415A (ja) 2003-09-02 2005-03-24 Hitachi High-Technologies Corp プラズマ処理装置
JP4540407B2 (ja) * 2004-06-28 2010-09-08 京セラ株式会社 静電チャック
KR101064872B1 (ko) * 2004-06-30 2011-09-16 주성엔지니어링(주) 정전척
US8021521B2 (en) * 2005-10-20 2011-09-20 Applied Materials, Inc. Method for agile workpiece temperature control in a plasma reactor using a thermal model
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102762749B (zh) * 2010-02-26 2014-04-09 株式会社Ihi 炉内运送用辊

Also Published As

Publication number Publication date
JP2009188162A (ja) 2009-08-20
EP2088616A2 (en) 2009-08-12
CN101504928B (zh) 2011-08-03
KR101115659B1 (ko) 2012-03-14
EP2088616A3 (en) 2013-01-02
TW201001612A (en) 2010-01-01
US20090194264A1 (en) 2009-08-06
CN101504928A (zh) 2009-08-12
TWI445124B (zh) 2014-07-11
US8696862B2 (en) 2014-04-15
KR20090086171A (ko) 2009-08-11

Similar Documents

Publication Publication Date Title
JP5222442B2 (ja) 基板載置台、基板処理装置及び被処理基板の温度制御方法
JP6453240B2 (ja) 取り外し可能なガス分配プレートを有するシャワーヘッド
US7815740B2 (en) Substrate mounting table, substrate processing apparatus and substrate processing method
US9460893B2 (en) Substrate processing apparatus
US20040163601A1 (en) Plasma processing apparatus
TW202044478A (zh) 載置台及基板處理裝置
US10741368B2 (en) Plasma processing apparatus
US20150060013A1 (en) Tunable temperature controlled electrostatic chuck assembly
KR20150021889A (ko) 플라즈마 처리 장치
US20060037702A1 (en) Plasma processing apparatus
US20140146434A1 (en) Mounting table structure and method of holding focus ring
JP2008034669A (ja) プラズマ処理装置およびプラズマ処理方法
JP2010157559A (ja) プラズマ処置装置
KR20170012108A (ko) 플라즈마 처리 장치
JP2011119708A (ja) 基板保持装置、及び、プラズマ処理装置
KR20210089787A (ko) 온도에 민감한 프로세스들을 위해 열적 커플링이 개선된 정전 척
CN110581051B (zh) 用于处理基板的装置和方法
JP4642358B2 (ja) ウエハ載置用電極
JP2006060073A (ja) プラズマ処理装置
KR20160145865A (ko) 정전 척 및 기판 처리 장치
JP2001093881A (ja) プラズマ処理装置
US11705346B2 (en) Substrate processing apparatus
KR20110083979A (ko) 플라즈마 처리 장치
KR100683255B1 (ko) 플라즈마 처리 장치 및 배기 장치
JP2004119987A (ja) 半導体製造装置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110117

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110117

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111215

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120117

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120308

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120719

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120917

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130213

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130309

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160315

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 5222442

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees