TW201937994A - 對稱電漿處理腔室 - Google Patents

對稱電漿處理腔室 Download PDF

Info

Publication number
TW201937994A
TW201937994A TW108116239A TW108116239A TW201937994A TW 201937994 A TW201937994 A TW 201937994A TW 108116239 A TW108116239 A TW 108116239A TW 108116239 A TW108116239 A TW 108116239A TW 201937994 A TW201937994 A TW 201937994A
Authority
TW
Taiwan
Prior art keywords
gas
conductive
assembly
substrate support
central axis
Prior art date
Application number
TW108116239A
Other languages
English (en)
Other versions
TWI719473B (zh
Inventor
詹姆士D 卡度希
哈米德 塔法梭利
阿吉特 波拉克里斯那
陳誌剛
安德魯恩 蓋葉
道格拉斯A 布希博格二世
卡提克 拉馬斯瓦米
沙西德 羅夫
肯尼士S 柯林斯
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201937994A publication Critical patent/TW201937994A/zh
Application granted granted Critical
Publication of TWI719473B publication Critical patent/TWI719473B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3323Problems associated with coating uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3344Problems associated with etching isotropy

Abstract

本發明提供一種對稱電漿處理腔室。本發明實施例提供允許極其對稱的電、熱和氣體傳導通過腔室的腔室設計。藉由提供這種對稱,形成在腔室內的電漿自然地在設置在腔室的處理區域中的基板的表面上具有改進的均勻性。此外,其他腔室的附加情況(諸如提供操縱上下電極之間以及在氣體入口和被處理的基板之間的間隙的能力)相較於習知的系統允許對電漿處理和均勻性更好的控制。

Description

對稱電漿處理腔室
本發明一般涉及用於製造在基板中電漿被施加在電極之間的RF功率激發的基板的電漿處理設備。更具體地,本發明涉及為改進的電漿均勻控制而提供電、氣體流和熱對稱的電漿處理腔室。
諸如平板顯示器和積體電路的電子裝置藉由一系列處理步驟來製造,在該等處理步驟中,層沉積在基板上,並且沉積的材料被蝕刻為期望的圖案。處理步驟通常包括物理氣相沉積(PVD)、化學氣相沉積(CVD)、電漿增強CVD(PECVD)和其他電漿處理。具體地,電漿處理要求將處理氣體混合物供應到真空處理腔室,並施加電或者電磁功率(RF功率)以將處理氣體激發到電漿狀態。電漿將氣體混合物分解成執行期望的沉積或者蝕刻處理的離子顆粒。
電漿處理遇到的一個問題是與在處理過程中在基板的表面上建立均勻的電漿密度相關的困難,此情況會導致在基板的中心和邊緣區域之間不均勻的處理。建立均勻電漿密度的困難的一個原因涉及由於物理處理腔室設計的不對稱而造成的固有的電、氣流和熱差異(skew)。這種差異不僅造成固有地、方位角的、非均勻電漿密度,而且還難以使用其他處理變數或者「旋鈕」來控制中心到邊緣的電漿均勻性。
因而,存在對提高電、氣流和熱對稱性以提高電漿均勻控制的電漿處理設備的需要。
在本發明的一個實施例中,提供一種電漿處理設備,該電漿處理設備包括蓋組件和腔室體,蓋組件和腔室體圍成處理區域。基板支撐組件設置在腔室體中。提供設置在腔室體內限定抽空區域的排氣組件。腔室體包括圍繞基板支撐組件的中心軸線對稱設置並將處理區域與抽空區域流體連接的複數個通道。基板支撐組件包括下電極和設置在中心區域中的基板基座,中心區域與處理和抽空區域流體地密封。複數個進出管貫穿腔室體定位以提供到中心區域的進出,並佈置成圍繞基板支撐組件的中心軸線而對稱佈置。
在另一實施例中,電漿處理設備包括蓋組件和腔室體,蓋組件和腔室體圍成處理區域。基板支撐組件設置在腔室體中。蓋組件包括上電極,上電極具有配置成將處理氣體分配到處理區域中的中心歧管和配置成將處理氣體分配到處理區域中的一或多個外部歧管。蓋組件還包括環形歧管,環形歧管經由複數個氣體管耦合到一或多個外部歧管,氣體管圍繞基板支撐組件的中心軸線對稱地佈置。
在另一實施例中,電漿設備包括蓋組件和腔室體,蓋組件和腔室體圍成處理區域。基板支撐組件設置在腔室體中。上襯裡設置在腔室體內並包圍處理區域。上襯裡具有圓柱形壁,該圓柱形壁具有複數個槽,該複數個槽貫穿圓柱形壁設置並圍繞基板支撐組件的中心軸線對稱地佈置。背襯耦合到圓柱形壁並覆蓋複數個個槽中的至少一者。網襯圍繞基板支撐組件環形地設置,並電耦合到上襯裡。
如之前提及,習知的電漿系統的問題是由於腔室的不對稱而難以提供均勻電漿密度。本發明的實施例藉由提供允許極其對稱的電、熱和氣流傳導通過腔室的腔室設計而緩解此問題。藉由提供這種在腔室內形成的對稱、電漿,已經提高了設置在腔室的處理區域中的基板的表面上均勻性。此外,其他腔室的附加情況,諸如提供操縱上下電極之間以及氣體入口和被處理的基板之間的間隙的能力,與習知的系統相比提供能更好地控制電漿處理和均勻性的大的處理窗。
圖1是根據本發明的一個實施例的電漿處理設備100的示意橫截面視圖。電漿處理設備100可以是電漿蝕刻腔室、電漿增強化學氣相沉積腔室、物理氣相沉積腔室、電漿處理腔室、離子植入腔室或者其他適合的真空處理腔室。如圖1所圖示,電漿處理設備100一般包括腔室蓋組件110、腔室體組件140和排氣組件190,該等組件一起圍成處理區域102和抽空區域104。在實踐中,處理氣體引入到處理區域102中,並使用RF功率點燃成電漿。基板105定位在基板支撐組件160上,並暴露到在處理區域102中產生的電漿,以在基板105上執行電漿處理,諸如蝕刻、化學氣相沉積、物理氣相沉積、植入、電漿退火、電漿處理、除塵或者其他電漿處理。藉由排氣組件190在處理區域102中維持真空,該排氣組件190藉由抽空區域104從電漿處理去除已經使用的處理氣體和副產物。
蓋組件110一般包括從腔室體組件140隔離並被腔室體組件140支撐的上電極112(或者陽極)和包圍上電極112的腔室蓋114。圖2是上電極112的示意頂視圖。上電極112經由導電的氣體入口管126而耦合到RF功率源103。導電的氣體入口管126與腔室體組件140的中心軸線(CA)同軸,使得RF功率和處理氣體對稱設置。上電極112包括附接到傳熱板118的噴頭板116。噴頭板116、傳熱板118和氣體入口管126都由諸如鋁或者不銹鋼的RF導電材料製成。
噴頭板116具有中心歧管120和一或多個外部歧管122。一或多個外部歧管122包圍中心歧管120。中心歧管120藉由氣體入口管126接收來自氣體源106處理氣體,並將接收到的處理氣體藉由複數個氣體通道121而分配到處理區域102的中心區域。一或多個外部歧管122從氣體源106接收處理氣體,該氣體可以是與在中心歧管120中接收到的氣體相同或者不同的混合物。一或多個外部歧管122然後將所接收到的處理氣體藉由複數個氣體通道123而分配到處理區域102的外部。歧管120、122具有足夠的體積以用作增壓腔室,使得均勻的壓力提供到與各個歧管120、122相關的每個氣體通道121。噴頭板116的雙歧管構造允許提高對氣體輸送到處理區域102中的控制。例如,提供到處理區域102的中心部分因而提供到位於處理區域102的中心部分中的基板105的中心部分的處理氣體可以以與提供到處理區域102的外部因而基板105的外部的處理氣體不同的流速和/或壓力引入。與習知的單歧管版本相反,多歧管噴頭板116能夠增強對處理結果的中心到邊緣的控制。
參照圖1和圖2可見,來自氣體源106的處理氣體藉由入口管127輸送到圍繞入口管126共心地設置的環形歧管128。處理氣體從環形歧管128藉由複數個氣體管129輸送到一或多個外部歧管122。在一個實施例中,環形歧管128包括回歸氣體路徑以確保氣體從環形歧管128平均地流入氣體管129中。環形歧管128和氣體管129由諸如鋁或者不銹鋼的導電材料製造。因而,環形歧管128和氣體管129可以影響RF電流的對稱性,造成上電極112提供的電場的差異,潛在地造成處理區域102內電漿均勻性的效果。
為了防止電場中的這種差異,氣體管129繞豎直延伸通過處理設備100的中心軸線(CA)對稱地定位。因而,氣體管129以等角度(A)從中心定位的環形歧管128延伸,以輸送處理氣體通過冷卻板118,並進入到一或多個外部歧管122中。例如,圖2中所圖示的實施例描述了120度的角度間隔開的三個氣體管129。在其他實例(未圖示)中,可以使用更多或者更少的氣體管129,只要該等氣體管圍繞中心軸線(CA)對稱地(即,彼此等角度(A)地)定位。藉由採用環形歧管並圍繞中心軸線(CA)對稱地佈置氣體管129,上電極112的電氣對稱性相較於習知的系統顯著地得到改善,從而在處理區域102中得到更均勻和一致的電漿形成。另外,氣體管129的對稱佈置將氣體以均勻極性(polar)陣列提供到外部歧管122中,由此在外部歧管122內提供方位角均勻壓力分佈,結果,提供通過外部歧管123到處理區域102中氣體的方位角均勻的流動,由此,增強處理均勻性。
傳熱流體從流體源109通過流體入口管130輸送到傳熱板118。流體循環通過設置在傳熱板118中的一或多個流體通道119,並經由流體出口管131返回到流體源109。適合的傳熱流體包括水、水基乙二醇混合物、全氟聚醚(例如,Galden®流體)、油基傳熱流體或者類似的流體。
流體入口管130和流體出口管131各由諸如適合的塑膠材料的非導電材料製造。因而,管子自身不影響上電極112的電氣對稱。然而,配件132由諸如鋁或者不銹鋼的導電材料製造,因而可以影響上電極112的電氣對稱,因而造成差異效果。因而,導電塞133由與配件132相同的材料製造並具有相同尺寸和形狀,並如圖2所圖示圍繞中心軸線(CA)對稱地設置,使得塞子133和配件132一起限定以腔室體組件140的中心軸線(CA)為中心的極性陣列。導電塞133的添加提高了上電極112的電氣對稱,造成在處理區域102中比習知的系統更均勻和一致的電漿形成。
回來參照圖1,腔室體組件140包括由對處理環境有耐性的導電材料(諸如鋁或者不銹鋼)製造的腔室體142。基板支撐組件160設置在腔室體142的中心,並定位成在處理區域102中圍繞中心軸線(CA)對稱地支撐基板105。
圖3A是設置在腔室體142的上部內並包圍處理區域102的上襯裡組件144的示意等距視圖。上襯裡組件144可以由諸如鋁、不銹鋼和/或氧化釔(例如,塗覆氧化釔的鋁)的導電、處理相容的材料構造。在實踐中,上襯裡組件144遮蔽腔室體142的上部免受處理區域102中的電漿,並可移除以允許週期性地清潔和維護。在一個實施例中,上襯裡組件144的溫度受到控制,諸如藉由AC加熱器(未圖示),以增強腔室內的熱對稱和設置在處理區域102中的電漿的對稱。
參照圖1和圖3A,腔室體142包括對上襯裡組件144的外凸緣145進行支撐的壁架143。上襯裡組件144的內凸緣146支撐上電極112。絕緣體113定位在上襯裡組件144和上電極112之間以提供腔室體組件140和上電極112之間的電氣絕緣。
上襯裡組件144包括附接到內外凸緣(146、145)的外壁147、底壁148和內壁149。外壁147和內壁149是大致豎直的圓柱形的壁。外壁147定位成對於腔室體142遮罩處理區域102中的電漿,並且內壁149定位成對於基板支撐組件160的一側至少部分地遮罩處理區域102中的電漿。底壁148除了在形成抽空通道189的某些區域之外將外壁和內壁(149、147)結合起來,這些區域隨後將在此處討論。
回來參照圖1,通過設置在腔室體142中的狹縫閥隧道141而進入處理區域102,狹縫閥隧道允許基板105從基板支撐組件160進入和移除。上襯裡組件144具有貫穿設置的槽150,槽150與狹縫閥隧道141匹配以允許基板105貫穿通過。腔室體組件140包括狹縫閥門組件151,狹縫閥門組件151包括定位和構造成使得狹縫閥門153豎直延伸以密封狹縫閥隧道141和槽150並使得狹縫閥門153豎直收縮以允許通過狹縫閥隧道141和槽150進入的致動器152。狹縫閥門組件151及其部件在圖1沒有以陰影繪製,以使附圖的雜亂最小。狹縫閥門153可以由與上襯裡組件144的材料(例如,塗有氧化釔的鋁)大致匹配的材料構成,以在襯裡中提供增大的電氣對稱。在一個實施例中,狹縫閥門153的溫度受到控制,諸如藉由AC加熱器(未圖示),以與上襯裡組件144的溫度匹配,以在處理區域102中提供增大的熱對稱。
參照圖3A,附加槽154與槽150的尺寸和形狀大致匹配,並貫穿上襯裡組件144設置。槽154貫穿上襯裡組件144圍繞中心軸線(CA)對稱地設置。例如,如圖3A所圖示,兩個槽154以與槽150成120度的角度設置,使得槽150和槽154形成圍繞中心軸線(CA)的環形陣列。槽154圍繞上襯裡組件144對稱地設置,以補償由於槽150的存在而引起的上襯裡組件144中出現的電流密度和/或分佈的變化。此外,槽150和154可以按照各個氣管129定位,以在腔室中提供改善的電氣對稱。
圖3B是腔室體142和上襯裡組件144的一部分的局部橫截面視圖。可以設置背襯155,以附接和覆蓋上襯裡組件144的槽154。背襯155的尺寸、形狀和構成材料可以確定為模仿狹縫閥門153。背襯155還與上襯裡組件144導電接觸,以維持與上襯裡組件144的電氣和熱接觸。因而,背襯155還提供圍繞上襯裡組件144的電以及熱對稱,以相較於習知的系統在處理區域102內實現更均勻電漿密度。
圖4是沿著圖1所圖示的線4-4所取的處理設備100的示意圖,且為了清楚而將基板105移除。參照圖1和圖4,基板支撐組件160對稱設置在腔室體組件140的中心區域156內,並共用中心軸線(CA)。即,中心軸線(CA)豎直經過基板支撐組件160的中心。基板支撐組件160一般包括下電極161(或者陰極)和中空基座162,並被中心支撐構件157支撐,其中,中心軸線(CA)經過中空基座162的中心,中心支撐構件157設置在中心區域156中並被腔室體142支撐。中心軸線(CA)還經過中心支撐構件157的中心。下電極161通過隨後要描述的匹配網路(未圖示)和經過中空基座162的纜線(未圖示)耦合到RF功率源103。當RF功率供應到上電極112和下電極161時,形成在上電極112和下電極161之間的電場將處理區域102中存在的處理氣體點燃成電漿。
中心支撐構件157諸如藉由緊固件和O環(未圖示)而被密封到腔室體142,並且下電極161諸如藉由波紋管158被密封到中心支撐構件157。因而,中心區域156被從處理區域102密封,並可以維持在大氣壓力下,同時處理區域102維持在真空的條件下。
致動組件163定位在中心區域156內,並附接到腔室體142和/或中心支撐構件157。注意,致動組件163在沒有繪製陰影的情況下圖示出以使附圖的雜亂最小。致動組件163包括致動器164(例如,電動機)、螺桿165和附接到基座162的螺母166。在實踐中,致動器164使螺桿165旋轉,螺桿165又使螺母166旋轉因而使基座162升高或者降低。由於下電極161被基座162支撐,致動組件163提供下電極161相對於腔室體142、中心支撐構件157和上電極112的豎直移動。因為下電極161在處理區域102內的這種豎直移動提供下電極161和上電極112之間可變的間隙,從而允許增大對下電極161和上電極112之間形成的電場的控制,進而提供對在處理區域102中形成的電漿的密度的更大的控制。此外,由於基板105被下電極161支撐,基板105和噴頭板116之間的間隙還可以變化,造成對基板105上的處理氣體分佈更大的控制。
還設置電漿隔幕159,電漿隔幕159由下電極161支撐,並與上襯裡組件144的內壁149重疊,以保護基板支撐組件160和波紋管158免受處理區域102中的電漿。由於電漿隔幕159耦合到基座162並相對於基座162豎直移動,電漿隔幕159和上襯裡組件144的內壁149之間的重疊足以允許基座162在電漿隔幕159和上襯裡組件144不分離的情況下享有充分的移動範圍,並允許基座162下方的區域暴露以暴露於處理氣體。
基板支撐組件160還包括升降銷組件167以便於基板105的裝載和卸載。升降銷組件167包括附接到升降銷板169的升降銷168。升降銷板169設置在下電極161內的開口170內,並且升降銷168延伸通過設置在開口170和處理區域102之間的升降銷孔171。升降銷板169耦合到螺桿172,螺桿172延伸通過下電極161中的開孔173,並進入到中空基座162中。致動器195(例如,電動機)可以定位在基座162上。注意,致動器195在沒有繪製陰影的情況下圖示出以使附圖雜亂最小化。致動器195使螺母旋轉,從而使螺桿172前進或者後退。螺桿172耦合到升降銷板169。因而,隨著致動器195使螺桿172升高或者降低升降銷板169,升降銷168延長或者收縮。因而,不管下電極161的豎直定位如何,致動器195都允許升降銷168延長或者收縮。藉由提供這樣的升降銷168的分開致動,能與下電極161的豎直定位分開地改變基板105的豎直定位,從而允許在基板105的裝載和卸載過程中以及在基板105的處理過程中對定位的更大的控制,例如藉由在處理過程中升降基板以允許背側氣體從基板的下方逃逸。
基板支撐組件160還包括將開口170與排氣區域104耦合的通氣管路174。通氣管路174沿著中心行進通過中空的基座162,並通過複數個進出管(access tube)180中的一者而離開腔室體142,如隨後所述,進出管180以輪輻的圖案圍繞中心軸線(CA)對稱地佈置。通氣管路174為開口170的抽空而設置,以去除會經由升降銷孔171而洩露到開口170中的任何處理氣體。此外,開口170的抽空還有助於去除會存在於基板105的背側的任何處理氣體,該基板105設置在下電極161或者升降銷168上。
基板支撐組件160還可以包括貫穿設置並經由氣體供應管路178而耦合到惰性氣體供應177的氣體埠176。氣體供應177將諸如氦的惰性氣體通過氣體供應管路178和氣體埠176而供應到基板105的背側,以幫助阻止處理氣體處理基板105的背側。氣體供應管路178還通過中空基座162行進,並通過複數個進出管180中的一者而離開腔室體142。
基板支撐組件160還可以包括從熱交換流體源198通過下電極161中的一或多個熱交換通道(未圖示)而行進的一或多個流體入口管路179和流體出口管路181,以在處理過程中提供對下電極161的溫度控制。流體入口管路179和流體出口管路181從下電極161行進通過中空基座162,並通過複數個進出管180中的一者而離開腔室體142。
在一個實施例中,基板支撐組件160還可以包括設置在下電極161中的一或多個溫度感測器182,以便於下電極161的溫度控制。
在一個實施例中,下電極161是靜電吸盤,因而包括設置在下電極161中的一或多個電極(未圖示)。在處理過程中,電壓源(未圖示)相對於基板105而對該一或多個電極加偏壓,以形成吸引力以將基板105保持就位。將一或多個電極耦合到電壓源的纜線行進通過中空基座162,並通過複數個進出管180中的一者而離開腔室體142。
圖5是腔室體組件140的輪輻191內的進出管180的佈局的示意描述。參照圖1和圖5,輪輻191和進出管180以所圖示的輪輻圖案圍繞處理設備100的中心軸線(CA)對稱佈置。在所圖示的實施例中,三個相同的進出管180設置成穿過腔室體142進入中心區域156中以便於將複數個管道和纜線從腔室體142的外部供應到下電極161。為了便於下電極162的豎直移動,通過每個進出管180的開口183大致等於下電極161的豎直行程。例如,在一個配置中,下電極162可豎直移動約7.2吋的距離。在此情況下,每個進出管180中開口183的高度也為約7.2吋。保持這些距離大致相等有助於使得所要求的纜線的長度最小,並防止在下電極161的豎直移動過程中纜線的纏繞和磨損。此外,輪輻191的寬度(W)最小化,使得提供高的縱橫比(高度:寬度),使得用於抽空通道189的敞開面積得到提高,同時還允許足夠的空間供使用(例如,氣體、配線)。這種配置降低排氣氣體的流動阻力,導致由於泵送和更小成本的泵而降低能耗。
為了進一步便於纜線行進到下電極161,纜線的行進路線在複數個進出管180之間劃分。例如,流體管路(179、181)、氣體供應管路178和真空管174可以都設置成通過進出管180a;用於溫度感測器184的纜線和其他電纜(例如,到致動器164、195)可以設置成通過進出管180b;並且RF電壓饋送和一或多個其他電纜(例如,到用於卡夾功能的電極)可以設置成通過進出管180c。因而,從腔室體142的外部到下電極162的電纜的數目和體積在進出管180之間劃分,以使進出管180的尺寸最小化,同時提供足夠的間隙以便於下電極161的移動。
進出管180可以由諸如鋁或者不銹鋼的材料構成。進出管180的對稱輪輻佈置設計成進一步便於處理設備100的電氣和熱對稱。在一個實施例中,進出管180以120度間隔開定位,並且每個進出管180與各個氣體管129對齊。進出管180的對稱佈置還在腔室體142中尤其是在處理區域102中提供電氣和熱對稱,以在處理過程中允許在處理區域102中形成更加均勻的電漿,並改善對基板105的表面上電漿密度的控制。
回來參照圖1和圖4,抽空通道189圍繞中心軸線(CA)對稱地定位在上襯裡組件144中。抽空通道189允許將來自處理區域102的氣體通過抽空區域104並通過排氣埠196而離開腔室體142而抽空。排氣埠196圍繞腔室體組件140的中心軸線(CA)定位在中心,使得氣體均勻地抽吸經過抽空通道189。抽空襯裡187可以分別定位在設置在腔室體142中的抽空管道188中每個抽空通道189的下方,以在抽空過程中保護腔室體142免受處理氣體。抽空襯裡187可以由類似於如上所述的上襯裡組件144的材料構造。
抽空管道188定位成遠離處理區域102,使得基本上沒有電氣相互作用存在。然而,抽空管道188圍繞中心軸線(CA)的對稱定位在處理設備100內提供改進的熱和氣流對稱。例如,抽空管道188圍繞中心軸線(CA)並且因而處理區域102的對稱定位促進從處理區域102對稱地去除氣體,造成氣體在基板105上對稱流動。此外,抽空管道188和抽空襯裡187的對稱定位促進腔室中熱分佈的對稱。因而,在處理設備100中抽空管道188的對稱定位便於在處理區域102中形成均勻的電漿,並允許對處理區域102中的電漿密度和氣體流動更大的控制。
排氣組件190在腔室體142的底部與抽空區域104相鄰定位。排氣組件可以包括耦合到真空泵194的節流閥192。節流閥192可以是提升閥,與真空泵194結合使用,藉由從處理區域102經過抽空通道189並通過中心定位的排氣埠196而從腔室對稱地抽吸排氣氣體來控制處理區域102內的真空狀況,並進一步提供對處理區域102中的電漿狀況的更大的控制。提升閥如圖1所圖示提供均勻的360度間隙198,抽空氣體通過該間隙被抽吸經過排氣埠196。相反,習知的阻尼式節流閥提供了非均勻間隙供抽空氣體流動。例如,當阻尼式閥打開時,閥的一側吸出比閥的另一側更多的氣體。因而,提升節流閥相較於在電漿處理腔室中習知地使用的傳統的阻尼式節流閥對差異的氣體傳導具有更小的影響。
再次,參照圖1和圖4,導電的傾斜網襯400定位在上襯裡組件144的下部中。傾斜的網襯400可以由諸如鋁、不銹鋼和/或者氧化釔(例如,塗有氧化釔的鋁)的導電的、製程相容的材料構成。傾斜的網襯400可以具有底壁402和從底壁402以向外和向上的角度延伸的外壁404。外壁404可以具有複數個貫穿形成的開孔410。開孔410可以圍繞傾斜網襯400的中心軸線對稱定位,以允許排氣氣體被貫穿地均勻抽吸,這便於在處理區域102中形成均勻的電漿,並允許對處理區域102中電漿密度和氣體流動更大的控制。在一個實施例中,傾斜網襯400的中心軸線與腔室體組件140的中心軸線(CA)對齊。
網襯400的底壁402可以電耦合到上襯裡組件144的底壁148和/或者內壁149。另外,網襯400的外壁404可以電耦合到上襯裡組件144的外壁147。當RF電漿出現在處理區域102內時,尋找向接地的返回路徑的RF電流可以沿著網襯400的表面行進到上襯裡組件144的外壁147。因而,網襯400的環形對稱構造提供到接地的對稱RF返回,並繞過上襯裡組件400的下部中的任何RF對稱。
因而,本發明的實施例藉由提供允許極其對稱的電、熱和氣體傳導通過腔室的腔室設計,解決了習知的電漿系統中由於腔室的不對稱而難以提供均勻電漿密度的問題。藉由提供這種對稱,形成在腔室內的電漿自然地在設置在腔室的處理區域中的基板的表面上具有改進的均勻性。這種改進的對稱性以及其他腔室的附加情況(諸如提供操縱上下電極之間以及在氣體入口和被處理的基板之間的間隙的能力)相較於習知的系統允許對電漿處理和均勻性更好的控制。
儘管前述涉及本發明的實施例,但是本發明的其他和進一步的實施例可以在不脫離本發明基本範圍的情況下進行設計,並且本發明之範圍由以下申請專利範圍確定。
100‧‧‧電漿處理設備
102‧‧‧處理區域
103‧‧‧RF功率源
104‧‧‧抽空區域
105‧‧‧基板
106‧‧‧氣體源
109‧‧‧流體源
110‧‧‧腔室蓋組件
112‧‧‧上電極
113‧‧‧絕緣體
114‧‧‧腔室蓋
116‧‧‧噴頭板
118‧‧‧傳熱板
119‧‧‧流體通道
120‧‧‧中心歧管
121‧‧‧氣體通道
122‧‧‧外部歧管
123‧‧‧氣體通道
126‧‧‧氣體入口管
127‧‧‧入口管
128‧‧‧環形歧管
129‧‧‧氣體管
130‧‧‧流體入口管
131‧‧‧流體出口管
132‧‧‧配件
133‧‧‧導電塞
140‧‧‧腔室體組件
141‧‧‧狹縫閥隧道
142‧‧‧腔室體
143‧‧‧壁架
144‧‧‧上襯裡組件
145‧‧‧外凸緣
146‧‧‧內凸緣
147‧‧‧外壁
148‧‧‧底壁
149‧‧‧內壁
150‧‧‧槽
151‧‧‧狹縫閥門組件
152‧‧‧致動器
153‧‧‧狹縫閥門
154‧‧‧槽
155‧‧‧背襯
156‧‧‧中心區域
157‧‧‧中心支撐構件
158‧‧‧波紋管
159‧‧‧電漿隔幕
160‧‧‧基板支撐組件
161‧‧‧下電極
162‧‧‧基座
163‧‧‧致動組件
164‧‧‧致動器
165‧‧‧螺桿
166‧‧‧螺母
167‧‧‧升降銷組件
168‧‧‧升降銷
169‧‧‧升降銷板
170‧‧‧開口
171‧‧‧升降銷孔
172‧‧‧螺桿
173‧‧‧開孔
174‧‧‧通氣管路
176‧‧‧氣體埠
177‧‧‧惰性氣體供應
178‧‧‧氣體供應管路
179‧‧‧流體入口管路
180‧‧‧進出管
181‧‧‧流體出口管路
182‧‧‧溫度感測器
183‧‧‧開口
187‧‧‧抽空襯裡
188‧‧‧抽空管道
189‧‧‧抽空通道
190‧‧‧排氣組件
191‧‧‧輪輻
192‧‧‧節流閥
194‧‧‧真空泵
195‧‧‧致動器
196‧‧‧排氣埠
198‧‧‧間隙
400‧‧‧網襯
402‧‧‧底壁
404‧‧‧外壁
410‧‧‧開孔
CA‧‧‧中心軸線
以本發明以上所述的特徵能被詳細理解的方式,藉由參照實施例,對以上簡要概括的本發明進行更具體地描述,實施例的一部分圖示在附圖中。然而,要注意,附圖僅僅圖示本發明的典型實施例,因而不能認為限制本發明的範圍,因為本發明允許其他等同的實施例。
圖1是根據本發明的一個實施例的電漿處理設備的示意橫截面視圖。
圖2是圖1的處理設備的上電極的示意頂視圖。
圖3A是設置在腔室體的上部內包圍圖1的處理設備的處理區域的上襯裡組件的示意等距視圖。圖3B是腔室體和上襯裡組件的一部分的局部、橫截面視圖。
圖4是沿著圖1所圖示的線4-4所取的處理設備的示意視圖。
圖5是延伸穿過圖1的處理設備的進出管的佈局的示意描述。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無

Claims (15)

  1. 一種電漿處理設備,包括: 一蓋組件及一腔室體,該蓋組件及該腔室體圍成一處理區域;以及一基板支撐組件,該基板支撐組件設置在該腔室體中,其中該蓋組件包括: 一上電極,該上電極具有一或多個流體入口及一或多個流體出口,該上電極具有配置成將處理氣體分配到該處理區域中的一中心歧管,以及配置成將處理氣體分配到該處理區域中的一或多個外部歧管; 一環形歧管,該環形歧管經由複數個氣體管耦合到該一或多個外部歧管,該等氣體管圍繞該基板支撐組件的一中心軸線對稱地佈置; 複數個導電配件,其中該等導電配件中的一個耦合到該一或多個流體入口及該一或多個流體出口中的各者;以及 複數個導電塞,該複數個導電塞耦合到該上電極,其中該等導電配件與該等導電塞圍繞該基板支撐組件的一中心軸線對稱地佈置。
  2. 如請求項1所述之電漿處理設備,其中該等導電塞的一材料與該等導電配件的一材料相同。
  3. 如請求項1所述之電漿處理設備,其中該環形歧管與該複數個氣體管包含導電材料。
  4. 如請求項1所述之電漿處理設備,其中該等導電塞的一尺寸與形狀與該等導電配件的一尺寸與形狀實質相同。
  5. 如請求項1所述之電漿處理設備,進一步包括: 一氣體入口管,該氣體入口管流體耦合到該上電極的該中心歧管,其中該氣體入口管與該基板支撐組件的該中心軸線同軸。
  6. 如請求項5所述之電漿處理設備,其中該環形歧管包圍該氣體入口管。
  7. 如請求項6所述之電漿處理設備,其中該環形歧管具有一回歸氣體路徑,使得氣體平均地從該環形歧管流入該複數個氣體管中。
  8. 如請求項1所述之電漿處理設備,其中該等導電塞與該等導電配件一起限定以該基板支撐組件的該中心軸線為中心的一極性陣列。
  9. 一種用於電漿處理設備的蓋組件,包括: 一上電極,該上電極具有一或多個流體入口及一或多個流體出口,該上電極具有配置成將處理氣體分配到一處理區域中的一中心歧管,以及配置成將處理氣體分配到該處理區域中的一或多個外部歧管; 一環形歧管,該環形歧管經由複數個氣體管耦合到該一或多個外部歧管,該等氣體管以彼此等角度從該環形歧管延伸; 複數個導電配件,其中該等導電配件中的一個耦合到該一或多個流體入口及該一或多個流體出口中的各者;以及 複數個導電塞,該複數個導電塞耦合到該上電極,其中該等導電配件與該等導電塞圍繞一基板支撐組件的一中心軸線對稱地佈置。
  10. 如請求項9所述之蓋組件,其中該等導電塞的一材料與該等導電配件的一材料相同。
  11. 如請求項9所述之蓋組件,其中該環形歧管與該複數個氣體管包含導電材料。
  12. 如請求項11所述之蓋組件,其中該等導電塞的一尺寸與形狀與該等導電配件的一尺寸與形狀實質相同。
  13. 如請求項9所述之蓋組件,進一步包括: 一氣體入口管,該氣體入口管流體耦合到該上電極的該中心歧管,其中該氣體入口管與該基板支撐組件的該中心軸線同軸。
  14. 一種電漿處理設備,包括: 一蓋組件及一腔室體,該蓋組件及該腔室體圍成一處理區域; 一基板支撐組件,該基板支撐組件設置在該腔室體中,其中該蓋組件包括: 一上電極,該上電極具有一或多個流體入口及一或多個流體出口,該上電極具有配置成將處理氣體分配到該處理區域中的一中心歧管,以及配置成將處理氣體分配到該處理區域中的一或多個外部歧管; 一環形歧管,該環形歧管經由複數個氣體管耦合到該一或多個外部歧管,該複數個氣體管圍繞該基板支撐組件的一中心軸線對稱地佈置; 複數個導電配件,其中該等導電配件中的一個耦合到該一或多個流體入口及該一或多個流體出口中的各者;以及 複數個導電塞,該複數個導電塞耦合到該上電極,其中該等導電配件與該等導電塞圍繞該基板支撐組件的一中心軸線對稱地佈置;以及 一排氣組件,該排氣組件限定該腔室體內的一抽空區域,其中該腔室體包括複數個通道,該複數個通道圍繞該基板支撐組件的該中心軸線對稱設置並將該處理區域與該抽空區域流體連接。
  15. 如請求項14所述之設備,其中該腔室體具有一排氣埠,該排氣埠貫穿該腔室體而形成,且該排氣埠圍繞該基板支撐組件的該中心軸線呈對稱。
TW108116239A 2011-10-05 2012-09-20 對稱電漿處理腔室 TWI719473B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201161543565P 2011-10-05 2011-10-05
US61/543,565 2011-10-05

Publications (2)

Publication Number Publication Date
TW201937994A true TW201937994A (zh) 2019-09-16
TWI719473B TWI719473B (zh) 2021-02-21

Family

ID=48022257

Family Applications (12)

Application Number Title Priority Date Filing Date
TW110103365A TWI762170B (zh) 2011-10-05 2012-09-20 包括對稱電漿處理腔室的電漿處理設備與用於此設備的蓋組件
TW101134512A TWI594667B (zh) 2011-10-05 2012-09-20 對稱電漿處理腔室
TW106137303A TWI666975B (zh) 2011-10-05 2012-09-20 對稱電漿處理腔室
TW102107023A TW201325326A (zh) 2011-10-05 2012-09-20 電漿處理設備及其基板支撐組件
TW102107021A TWI659674B (zh) 2011-10-05 2012-09-20 電漿處理設備及蓋組件
TW108116239A TWI719473B (zh) 2011-10-05 2012-09-20 對稱電漿處理腔室
TW102107016A TWI568319B (zh) 2011-10-05 2012-09-20 電漿處理設備及其蓋組件(二)
TW106137301A TWI646869B (zh) 2011-10-05 2012-09-20 對稱電漿處理腔室
TW106121069A TWI672981B (zh) 2011-10-05 2012-09-20 對稱電漿處理腔室
TW111111549A TWI830183B (zh) 2011-10-05 2012-09-20 包括對稱電漿處理腔室的電漿處理設備與用於此設備的蓋組件
TW106137302A TWI638587B (zh) 2011-10-05 2012-09-20 對稱電漿處理腔室
TW102107011A TWI661746B (zh) 2011-10-05 2012-09-20 電漿處理設備及其蓋組件(一)

Family Applications Before (5)

Application Number Title Priority Date Filing Date
TW110103365A TWI762170B (zh) 2011-10-05 2012-09-20 包括對稱電漿處理腔室的電漿處理設備與用於此設備的蓋組件
TW101134512A TWI594667B (zh) 2011-10-05 2012-09-20 對稱電漿處理腔室
TW106137303A TWI666975B (zh) 2011-10-05 2012-09-20 對稱電漿處理腔室
TW102107023A TW201325326A (zh) 2011-10-05 2012-09-20 電漿處理設備及其基板支撐組件
TW102107021A TWI659674B (zh) 2011-10-05 2012-09-20 電漿處理設備及蓋組件

Family Applications After (6)

Application Number Title Priority Date Filing Date
TW102107016A TWI568319B (zh) 2011-10-05 2012-09-20 電漿處理設備及其蓋組件(二)
TW106137301A TWI646869B (zh) 2011-10-05 2012-09-20 對稱電漿處理腔室
TW106121069A TWI672981B (zh) 2011-10-05 2012-09-20 對稱電漿處理腔室
TW111111549A TWI830183B (zh) 2011-10-05 2012-09-20 包括對稱電漿處理腔室的電漿處理設備與用於此設備的蓋組件
TW106137302A TWI638587B (zh) 2011-10-05 2012-09-20 對稱電漿處理腔室
TW102107011A TWI661746B (zh) 2011-10-05 2012-09-20 電漿處理設備及其蓋組件(一)

Country Status (5)

Country Link
US (8) US9741546B2 (zh)
JP (10) JP6308716B2 (zh)
KR (11) KR101361757B1 (zh)
CN (6) CN103094045B (zh)
TW (12) TWI762170B (zh)

Families Citing this family (318)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI502617B (zh) * 2010-07-21 2015-10-01 應用材料股份有限公司 用於調整電偏斜的方法、電漿處理裝置與襯管組件
KR20120043636A (ko) * 2010-10-26 2012-05-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 플라즈마 처리 장치 및 플라즈마 cvd 장치
WO2012128783A1 (en) * 2011-03-22 2012-09-27 Applied Materials, Inc. Liner assembly for chemical vapor deposition chamber
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI762170B (zh) 2011-10-05 2022-04-21 美商應用材料股份有限公司 包括對稱電漿處理腔室的電漿處理設備與用於此設備的蓋組件
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9953825B2 (en) * 2011-11-24 2018-04-24 Lam Research Corporation Symmetric RF return path liner
US9082590B2 (en) 2012-07-20 2015-07-14 Applied Materials, Inc. Symmetrical inductively coupled plasma source with side RF feeds and RF distribution plates
US10170279B2 (en) 2012-07-20 2019-01-01 Applied Materials, Inc. Multiple coil inductively coupled plasma source with offset frequencies and double-walled shielding
US9449794B2 (en) 2012-07-20 2016-09-20 Applied Materials, Inc. Symmetrical inductively coupled plasma source with side RF feeds and spiral coil antenna
US9879684B2 (en) 2012-09-13 2018-01-30 Kla-Tencor Corporation Apparatus and method for shielding a controlled pressure environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR102130061B1 (ko) 2013-03-15 2020-07-03 어플라이드 머티어리얼스, 인코포레이티드 매우 대칭적인 4-폴드 가스 주입부를 갖는 플라즈마 반응기
SG11201508512PA (en) * 2013-05-23 2015-12-30 Applied Materials Inc A coated liner assembly for a semiconductor processing chamber
US9837250B2 (en) * 2013-08-30 2017-12-05 Applied Materials, Inc. Hot wall reactor with cooled vacuum containment
KR101518398B1 (ko) * 2013-12-06 2015-05-08 참엔지니어링(주) 기판 처리 장치
CN104752131B (zh) * 2013-12-25 2017-07-21 北京北方微电子基地设备工艺研究中心有限责任公司 上电极组件进气装置及上电极组件
JP6581602B2 (ja) * 2014-02-06 2019-09-25 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 改善されたフローコンダクタンス及び均一性のため軸対称性を可能にするインラインdpsチャンバハードウェア設計
CN104862667B (zh) * 2014-02-26 2017-04-19 甘志银 对称的气相沉积设备的反应腔体
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6660936B2 (ja) * 2014-04-09 2020-03-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 改良されたフロー均一性/ガスコンダクタンスを備えた可変処理容積に対処するための対称チャンバ本体設計アーキテクチャ
US20150371889A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. Methods for shallow trench isolation formation in a silicon germanium layer
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
KR102262109B1 (ko) * 2014-08-01 2021-06-10 세메스 주식회사 플라즈마 발생 장치, 그를 포함하는 기판 처리 장치, 및 기판 처리 균일도 조절 방법
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6373160B2 (ja) * 2014-10-15 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
KR102438139B1 (ko) 2014-12-22 2022-08-29 어플라이드 머티어리얼스, 인코포레이티드 높은 처리량의 프로세싱 챔버를 위한 프로세스 키트
US11333246B2 (en) * 2015-01-26 2022-05-17 Applied Materials, Inc. Chamber body design architecture for next generation advanced plasma technology
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10049862B2 (en) * 2015-04-17 2018-08-14 Lam Research Corporation Chamber with vertical support stem for symmetric conductance and RF delivery
KR101682155B1 (ko) * 2015-04-20 2016-12-02 주식회사 유진테크 기판 처리 장치
KR101792941B1 (ko) * 2015-04-30 2017-11-02 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드, 상하이 화학기상증착장치 및 그 세정방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11004661B2 (en) * 2015-09-04 2021-05-11 Applied Materials, Inc. Process chamber for cyclic and selective material removal and etching
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6607795B2 (ja) * 2016-01-25 2019-11-20 東京エレクトロン株式会社 基板処理装置
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
KR102206515B1 (ko) * 2016-03-25 2021-01-22 어플라이드 머티어리얼스, 인코포레이티드 고온 프로세싱을 위한 챔버 라이너
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
EP3472852B1 (en) * 2016-06-15 2021-08-11 Evatec AG Vacuum treatment chamber and method of manufacturing a vacuum treated plate-shaped substrate
KR102251209B1 (ko) * 2016-06-15 2021-05-11 어플라이드 머티어리얼스, 인코포레이티드 고 전력 플라즈마 에칭 프로세스들을 위한 가스 분배 플레이트 조립체
KR101680850B1 (ko) * 2016-06-28 2016-11-29 주식회사 기가레인 배기유로의 크기가 조절되는 플라즈마 처리 장치
US9958782B2 (en) * 2016-06-29 2018-05-01 Applied Materials, Inc. Apparatus for post exposure bake
US20180005851A1 (en) * 2016-07-01 2018-01-04 Lam Research Corporation Chamber filler kit for dielectric etch chamber
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6738485B2 (ja) * 2016-08-26 2020-08-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 低圧リフトピンキャビティハードウェア
JP6994502B2 (ja) * 2016-08-26 2022-01-14 アプライド マテリアルズ インコーポレイテッド プラズマ処理チャンバ用プラズマスクリーン
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102587615B1 (ko) * 2016-12-21 2023-10-11 삼성전자주식회사 플라즈마 처리 장치의 온도 조절기 및 이를 포함하는 플라즈마 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN108257840B (zh) * 2016-12-29 2021-03-30 中微半导体设备(上海)股份有限公司 一种等离子处理装置
CN106783500A (zh) * 2017-01-03 2017-05-31 京东方科技集团股份有限公司 镀膜设备
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10559451B2 (en) * 2017-02-15 2020-02-11 Applied Materials, Inc. Apparatus with concentric pumping for multiple pressure regimes
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10636629B2 (en) * 2017-10-05 2020-04-28 Applied Materials, Inc. Split slit liner door
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
CN108346554A (zh) * 2018-04-24 2018-07-31 西南林业大学 一种等离子体刻蚀与沉积设备及方法
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
CN112335342B (zh) * 2018-06-14 2023-07-14 Mks仪器公司 用于远程等离子源的自由基输出监控器和使用方法
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP6921796B2 (ja) 2018-09-28 2021-08-18 芝浦メカトロニクス株式会社 プラズマ処理装置
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
JP7465265B2 (ja) * 2018-11-21 2024-04-10 アプライド マテリアルズ インコーポレイテッド 位相制御を使用してプラズマ分布を調整するためのデバイス及び方法
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN111383896B (zh) * 2018-12-29 2023-10-13 北京北方华创微电子装备有限公司 内衬及反应腔室
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20210135357A (ko) * 2019-04-05 2021-11-12 어플라이드 머티어리얼스, 인코포레이티드 가변 유동 밸브를 갖는 프로세스 시스템
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
WO2020231665A1 (en) * 2019-05-13 2020-11-19 Applied Materials, Inc. Titanium liner to reduce metal contamination
JP7329960B2 (ja) * 2019-05-14 2023-08-21 東京エレクトロン株式会社 載置台およびプラズマ処理装置
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
JP7374016B2 (ja) * 2019-06-18 2023-11-06 東京エレクトロン株式会社 基板処理装置
KR20200145977A (ko) 2019-06-21 2020-12-31 삼성전자주식회사 플라즈마 처리 장치 및 이를 이용한 반도체 소자 제조 방법
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
KR102239116B1 (ko) * 2019-08-07 2021-04-09 세메스 주식회사 기판처리장치
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
CN112447579B (zh) * 2019-09-04 2023-10-31 中微半导体设备(上海)股份有限公司 一种等离子体处理器、晶片顶升装置及其方法
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
CN110571119A (zh) * 2019-09-05 2019-12-13 合肥晞隆光电有限公司 离子源放电室导气结构以及离子源
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11564292B2 (en) * 2019-09-27 2023-01-24 Applied Materials, Inc. Monolithic modular microwave source with integrated temperature control
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11236424B2 (en) 2019-11-01 2022-02-01 Applied Materials, Inc. Process kit for improving edge film thickness uniformity on a substrate
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
CN113035680A (zh) * 2019-12-24 2021-06-25 中微半导体设备(上海)股份有限公司 用于真空设备的调平机构和等离子体处理装置
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11415538B2 (en) 2020-03-06 2022-08-16 Applied Materials, Inc. Capacitive sensor housing for chamber condition monitoring
US11545346B2 (en) 2020-03-06 2023-01-03 Applied Materials, Inc. Capacitive sensing data integration for plasma chamber condition monitoring
US11581206B2 (en) 2020-03-06 2023-02-14 Applied Materials, Inc. Capacitive sensor for chamber condition monitoring
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
US20210343508A1 (en) * 2020-04-30 2021-11-04 Applied Materials, Inc. Metal oxide preclean chamber with improved selectivity and flow conductance
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202203319A (zh) * 2020-06-24 2022-01-16 日商東京威力科創股份有限公司 基板處理裝置
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
JP2022079159A (ja) * 2020-11-16 2022-05-26 東京エレクトロン株式会社 基板処理方法および基板処理装置
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11499223B2 (en) 2020-12-10 2022-11-15 Applied Materials, Inc. Continuous liner for use in a processing chamber
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11881375B2 (en) 2021-04-15 2024-01-23 Applied Materials, Inc. Common substrate and shadow ring lift apparatus
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
JP7308330B2 (ja) * 2021-05-10 2023-07-13 ピコサン オーワイ 基板処理装置及び方法
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
DE102022102768A1 (de) * 2022-02-07 2023-08-10 Stephan Wege Symmetrischer Prozessreaktor
JP7245378B1 (ja) 2022-03-23 2023-03-23 Sppテクノロジーズ株式会社 基板処理装置
JP7092959B1 (ja) * 2022-03-23 2022-06-28 Sppテクノロジーズ株式会社 基板処理装置
KR102627141B1 (ko) * 2023-07-20 2024-01-23 (주)효진이앤하이 플라즈마 가스 변환 시스템

Family Cites Families (169)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5841658B2 (ja) * 1979-06-15 1983-09-13 パイオニア株式会社 ドライエッチング装置
JPS5841658A (ja) 1981-09-02 1983-03-10 Furukawa Electric Co Ltd:The 連続鋳造法
US4982067A (en) * 1988-11-04 1991-01-01 Marantz Daniel Richard Plasma generating apparatus and method
JPH02224231A (ja) * 1988-11-30 1990-09-06 Tokyo Electron Ltd プラズマ処理装置
JP2888253B2 (ja) * 1989-07-20 1999-05-10 富士通株式会社 化学気相成長法およびその実施のための装置
JPH0383334A (ja) 1989-08-28 1991-04-09 Tokyo Electron Ltd プラズマ発生装置及びプラズマ処理装置
US6545420B1 (en) 1990-07-31 2003-04-08 Applied Materials, Inc. Plasma reactor using inductive RF coupling, and processes
US5698070A (en) * 1991-12-13 1997-12-16 Tokyo Electron Limited Method of etching film formed on semiconductor wafer
JP3142397B2 (ja) * 1992-09-29 2001-03-07 東京応化工業株式会社 プラズマ処理装置
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
JP2593282Y2 (ja) * 1992-11-10 1999-04-05 株式会社島津製作所 プラズマcvd装置
JPH06295866A (ja) 1993-04-08 1994-10-21 Canon Inc プラズマ反応装置
JPH07249586A (ja) * 1993-12-22 1995-09-26 Tokyo Electron Ltd 処理装置及びその製造方法並びに被処理体の処理方法
JP3671379B2 (ja) 1994-02-03 2005-07-13 アネルバ株式会社 静電吸着された被処理基板の離脱機構を持つプラズマ処理装置および静電吸着された被処理基板の離脱方法
JP3608121B2 (ja) * 1994-03-18 2005-01-05 アネルバ株式会社 基板の機械的脱離機構およびその機構を用いた脱離方法
TW254030B (en) * 1994-03-18 1995-08-11 Anelva Corp Mechanic escape mechanism for substrate
US5900103A (en) 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5641375A (en) * 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
JP3121524B2 (ja) * 1995-06-07 2001-01-09 東京エレクトロン株式会社 エッチング装置
JP3192370B2 (ja) * 1995-06-08 2001-07-23 東京エレクトロン株式会社 プラズマ処理装置
TW286414B (en) 1995-07-10 1996-09-21 Watkins Johnson Co Electrostatic chuck assembly
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5820723A (en) * 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US6432203B1 (en) 1997-03-17 2002-08-13 Applied Komatsu Technology, Inc. Heated and cooled vacuum chamber shield
US6189483B1 (en) 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US6083344A (en) 1997-05-29 2000-07-04 Applied Materials, Inc. Multi-zone RF inductively coupled source configuration
JP3501930B2 (ja) * 1997-12-01 2004-03-02 株式会社ルネサステクノロジ プラズマ処理方法
US6073577A (en) * 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6192827B1 (en) * 1998-07-03 2001-02-27 Applied Materials, Inc. Double slit-valve doors for plasma processing
US6231716B1 (en) * 1998-11-09 2001-05-15 Applied Materials, Inc. Processing chamber with rapid wafer exchange
JP2000286242A (ja) 1999-03-31 2000-10-13 Tokyo Electron Ltd プラズマ処理装置
US20040149214A1 (en) * 1999-06-02 2004-08-05 Tokyo Electron Limited Vacuum processing apparatus
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP2001023955A (ja) * 1999-07-07 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
US6406545B2 (en) * 1999-07-27 2002-06-18 Kabushiki Kaisha Toshiba Semiconductor workpiece processing apparatus and method
JP2001077088A (ja) * 1999-09-02 2001-03-23 Tokyo Electron Ltd プラズマ処理装置
JP4394778B2 (ja) 1999-09-22 2010-01-06 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP4592856B2 (ja) * 1999-12-24 2010-12-08 東京エレクトロン株式会社 バッフル板及びガス処理装置
JP4437351B2 (ja) * 2000-01-14 2010-03-24 キヤノンアネルバ株式会社 プラズマエッチング装置
US6572708B2 (en) 2000-02-28 2003-06-03 Applied Materials Inc. Semiconductor wafer support lift-pin assembly
US6517634B2 (en) 2000-02-28 2003-02-11 Applied Materials, Inc. Chemical vapor deposition chamber lid assembly
US7196283B2 (en) * 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
JP4454781B2 (ja) * 2000-04-18 2010-04-21 東京エレクトロン株式会社 プラズマ処理装置
JP4291499B2 (ja) 2000-06-28 2009-07-08 パナソニック株式会社 真空処理装置
JP4672113B2 (ja) * 2000-07-07 2011-04-20 東京エレクトロン株式会社 誘導結合プラズマ処理装置
US6403491B1 (en) * 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
JP4535356B2 (ja) * 2000-12-19 2010-09-01 東京エレクトロン株式会社 プラズマ装置
WO2002059933A2 (en) 2001-01-22 2002-08-01 Tokyo Electron Limited Vertically translatable chuck assembly and method for a plasma reactor system
US6514870B2 (en) 2001-01-26 2003-02-04 Applied Materials, Inc. In situ wafer heat for reduced backside contamination
KR100443905B1 (ko) * 2001-03-23 2004-08-09 삼성전자주식회사 화학 기상 증착장치
JP2002286242A (ja) * 2001-03-27 2002-10-03 Matsushita Electric Ind Co Ltd 空気調和装置
JP2002343787A (ja) 2001-05-17 2002-11-29 Research Institute Of Innovative Technology For The Earth プラズマ処理装置およびそのクリーニング方法
JP2002367965A (ja) 2001-06-05 2002-12-20 Mitsubishi Electric Corp プラズマ処理装置およびプラズマ処理方法
US6527911B1 (en) * 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
TWI234417B (en) 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
KR100431660B1 (ko) * 2001-07-24 2004-05-17 삼성전자주식회사 반도체 장치의 제조를 위한 건식 식각 장치
JP2003056617A (ja) 2001-08-20 2003-02-26 Nissan Motor Co Ltd 衝撃エネルギ吸収構造部材
JP2003124287A (ja) * 2001-10-19 2003-04-25 Komatsu Electronic Metals Co Ltd エピタキシャルウェハ製造装置及びウェハ製造方法
JP2003163206A (ja) * 2001-11-28 2003-06-06 Tokyo Electron Ltd プラズマ処理装置、プラズマ処理方法及びマルチチャンバシステム
JP4030302B2 (ja) * 2001-12-18 2008-01-09 株式会社アルバック 真空処理装置
JP4389424B2 (ja) 2001-12-25 2009-12-24 東京エレクトロン株式会社 被処理体の搬送機構及び処理システム
JP4504684B2 (ja) * 2001-12-27 2010-07-14 東京エレクトロン株式会社 エッチング方法
JP4574987B2 (ja) * 2002-01-10 2010-11-04 東京エレクトロン株式会社 処理装置
US6664738B2 (en) 2002-02-27 2003-12-16 Hitachi, Ltd. Plasma processing apparatus
JP4127488B2 (ja) 2002-07-03 2008-07-30 東京エレクトロン株式会社 プラズマ処理装置
US20050139321A1 (en) 2002-07-03 2005-06-30 Tokyo Electron Limited Plasma processing apparatus
ITBO20020440A1 (it) * 2002-07-05 2004-01-05 Cefla Coop Unita per l ' acquisizione e la visualizzazione di immagini radiografiche dentali
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
JP4141234B2 (ja) 2002-11-13 2008-08-27 キヤノンアネルバ株式会社 プラズマ処理装置
JP4584565B2 (ja) 2002-11-26 2010-11-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US20040129221A1 (en) 2003-01-08 2004-07-08 Jozef Brcka Cooled deposition baffle in high density plasma semiconductor processing
JP4173389B2 (ja) * 2003-03-19 2008-10-29 東京エレクトロン株式会社 プラズマ処理装置
JP4079834B2 (ja) * 2003-06-04 2008-04-23 東京エレクトロン株式会社 プラズマ処理方法
TW200503903A (en) * 2003-07-18 2005-02-01 Ucb Sa Method of forming heat resistant raised print from radiation curable solid particulate compositions
TWM250219U (en) 2003-07-22 2004-11-11 Global Win Technology Co Ltd Fixing apparatus of water-cooling heat sink
US20050022736A1 (en) * 2003-07-29 2005-02-03 Lam Research Inc., A Delaware Corporation Method for balancing return currents in plasma processing apparatus
KR100901892B1 (ko) * 2003-09-03 2009-06-10 도쿄엘렉트론가부시키가이샤 가스 처리 장치 및 처리 가스 토출 구조체
JP4607517B2 (ja) * 2003-09-03 2011-01-05 東京エレクトロン株式会社 プラズマ処理装置
JP2005089823A (ja) * 2003-09-17 2005-04-07 Seiji Sagawa 成膜装置および成膜方法
JP4288127B2 (ja) * 2003-09-30 2009-07-01 パナソニック株式会社 プラズマ処理装置
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US8317968B2 (en) * 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US20070221130A1 (en) * 2004-05-27 2007-09-27 Tokyo Electron Limited Substrate Processing Apparatus
DE102004028784A1 (de) * 2004-06-16 2006-01-05 Behr Gmbh & Co. Kg Vorrichtung zur Luftzuführung in einen Innenraum eines Fahrzeuges
KR101247857B1 (ko) * 2004-06-21 2013-03-26 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
KR101063737B1 (ko) * 2004-07-09 2011-09-08 주성엔지니어링(주) 기판 제조장비의 샤워헤드
JP4559202B2 (ja) * 2004-07-30 2010-10-06 東京エレクトロン株式会社 プラズマエッチング装置
JP4770167B2 (ja) * 2004-12-16 2011-09-14 株式会社島津製作所 表面波励起プラズマcvd装置を用いた成膜方法
KR100661744B1 (ko) 2004-12-23 2006-12-27 주식회사 에이디피엔지니어링 플라즈마 처리장치
KR100572118B1 (ko) 2005-01-28 2006-04-18 주식회사 에이디피엔지니어링 플라즈마 처리장치
JP4979576B2 (ja) * 2005-03-30 2012-07-18 パナソニック株式会社 プラズマドーピング方法及びプラズマ処理装置
US7541826B2 (en) * 2005-05-13 2009-06-02 Kla-Tencor Corporation Compliant pad wafer chuck
KR20060127599A (ko) * 2005-06-08 2006-12-13 삼성전자주식회사 기판처리장치
US7621285B2 (en) * 2005-09-15 2009-11-24 Steris Inc. Tunnel washer system with improved cleaning efficiency
DE102005046463A1 (de) * 2005-09-22 2007-04-05 Infineon Technologies Ag Plasmabearbeitungsgerät
JP4665795B2 (ja) * 2006-02-23 2011-04-06 セイコーエプソン株式会社 電気光学装置の製造方法
WO2007099957A1 (ja) 2006-02-28 2007-09-07 Tokyo Electron Limited プラズマ処理装置およびそれに用いる基板加熱機構
JP4878188B2 (ja) 2006-03-20 2012-02-15 東京エレクトロン株式会社 基板処理装置、堆積物モニタ装置、及び堆積物モニタ方法
US7432467B2 (en) 2006-03-28 2008-10-07 Tokyo Electron Limited Plasma processing apparatus
JP5031252B2 (ja) 2006-03-30 2012-09-19 東京エレクトロン株式会社 プラズマ処理装置
KR101089015B1 (ko) * 2006-05-29 2011-12-01 베바스토 아게 냉기 및/또는 열기 축적용 어큐뮬레이터
JP4850592B2 (ja) * 2006-06-14 2012-01-11 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP2007335755A (ja) * 2006-06-16 2007-12-27 Matsushita Electric Ind Co Ltd 基板処理装置および基板処理方法
EP1879382B1 (en) * 2006-07-10 2017-09-06 Samsung Electronics Co., Ltd. Multi-screen display apparatus and method for digital broadcast receiver
US20080029032A1 (en) * 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
JP2008041969A (ja) * 2006-08-08 2008-02-21 Matsushita Electric Ind Co Ltd 基板の脱離方法
WO2008045972A2 (en) 2006-10-10 2008-04-17 Asm America, Inc. Precursor delivery system
US20080193673A1 (en) 2006-12-05 2008-08-14 Applied Materials, Inc. Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
KR101312292B1 (ko) 2006-12-11 2013-09-27 엘아이지에이디피 주식회사 플라즈마 처리장치의 기판 파손 방지장치 및 그 방법
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US7943007B2 (en) * 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
US8444926B2 (en) 2007-01-30 2013-05-21 Applied Materials, Inc. Processing chamber with heated chamber liner
JP4928991B2 (ja) * 2007-03-12 2012-05-09 東京エレクトロン株式会社 基板処理装置
JP5348848B2 (ja) 2007-03-28 2013-11-20 東京エレクトロン株式会社 プラズマ処理装置
JP4874870B2 (ja) 2007-05-29 2012-02-15 東京エレクトロン株式会社 基板処理システム及び基板処理装置
TWI339860B (en) 2007-06-29 2011-04-01 Winbond Electronics Corp Method of manufacturing a semiconductor structure and method of manufacturing a shallow trench isolation structure
US8416773B2 (en) * 2007-07-11 2013-04-09 Hewlett-Packard Development Company, L.P. Packet monitoring
US8108883B2 (en) * 2007-07-16 2012-01-31 Apteryx, Inc. Methods of populating a third-party document with digital information content
US9184072B2 (en) * 2007-07-27 2015-11-10 Mattson Technology, Inc. Advanced multi-workpiece processing chamber
KR101437522B1 (ko) * 2007-09-05 2014-09-03 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 반응기 챔버에서 웨이퍼 에지 가스 주입부를 갖는캐소드 라이너
US20090071403A1 (en) 2007-09-19 2009-03-19 Soo Young Choi Pecvd process chamber with cooled backing plate
US8313610B2 (en) 2007-09-25 2012-11-20 Lam Research Corporation Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
JP2009088298A (ja) * 2007-09-29 2009-04-23 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
JP5329072B2 (ja) * 2007-12-03 2013-10-30 東京エレクトロン株式会社 処理容器およびプラズマ処理装置
US20090162262A1 (en) * 2007-12-19 2009-06-25 Applied Material, Inc. Plasma reactor gas distribution plate having path splitting manifold side-by-side with showerhead
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
US20090159213A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Plasma reactor gas distribution plate having a path splitting manifold immersed within a showerhead
WO2009078921A1 (en) 2007-12-19 2009-06-25 Applied Materials, Inc. Plasma reactor gas distribution plate with path splitting manifold
US20090188624A1 (en) * 2008-01-25 2009-07-30 Applied Materials, Inc. Method and apparatus for enhancing flow uniformity in a process chamber
US20090188625A1 (en) * 2008-01-28 2009-07-30 Carducci James D Etching chamber having flow equalizer and lower liner
JP5222442B2 (ja) 2008-02-06 2013-06-26 東京エレクトロン株式会社 基板載置台、基板処理装置及び被処理基板の温度制御方法
KR101659095B1 (ko) * 2008-02-08 2016-09-22 램 리써치 코포레이션 측방향 벨로우 및 비접촉 입자 밀봉을 포함하는 조정가능한 갭이 용량적으로 커플링되는 rf 플라즈마 반응기
JP5188849B2 (ja) * 2008-03-14 2013-04-24 Sppテクノロジーズ株式会社 プラズマ処理装置
JP5264238B2 (ja) 2008-03-25 2013-08-14 東京エレクトロン株式会社 プラズマ処理装置
US7987814B2 (en) * 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
JP2008226857A (ja) 2008-05-16 2008-09-25 Matsushita Electric Ind Co Ltd プラズマ処理方法及び装置
JP2010016343A (ja) * 2008-06-30 2010-01-21 Advanced Display Process Engineering Co Ltd ガス供給装置及びこれを用いた基板処理装置{Apparatusforsupplyinggasandapparatusforprocessingsubstrateusingthesame}
KR101216790B1 (ko) * 2008-07-31 2012-12-28 캐논 아네르바 가부시키가이샤 플라즈마 처리 장치 및 전자 디바이스의 제조 방법
WO2010019430A2 (en) * 2008-08-12 2010-02-18 Applied Materials, Inc. Electrostatic chuck assembly
KR20100055618A (ko) * 2008-11-18 2010-05-27 주식회사 케이씨텍 샤워헤드 및 이를 구비하는 플라즈마 처리장치
CN101740340B (zh) * 2008-11-25 2011-12-21 北京北方微电子基地设备工艺研究中心有限责任公司 反应腔室及半导体加工设备
US8627783B2 (en) * 2008-12-19 2014-01-14 Lam Research Corporation Combined wafer area pressure control and plasma confinement assembly
TW201112886A (en) * 2009-01-09 2011-04-01 Ulvac Inc Plasma treatment apparatus
JP2010171286A (ja) * 2009-01-26 2010-08-05 Hitachi High-Technologies Corp プラズマ処理装置
US20100186674A1 (en) 2009-01-26 2010-07-29 E. I. Du Pont De Nemours And Company Methods and compositions for treating fertilized avian eggs
US8313612B2 (en) 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
US20100247804A1 (en) * 2009-03-24 2010-09-30 Applied Materials, Inc. Biasable cooling pedestal
JP5350043B2 (ja) * 2009-03-31 2013-11-27 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR101058832B1 (ko) 2009-05-11 2011-08-24 엘아이지에이디피 주식회사 플라즈마를 이용한 기판처리장치의 안테나 구조
US8360003B2 (en) * 2009-07-13 2013-01-29 Applied Materials, Inc. Plasma reactor with uniform process rate distribution by improved RF ground return path
DE102009035386B4 (de) * 2009-07-30 2011-12-15 Cochlear Ltd. Hörhilfeimplantat
JP5496568B2 (ja) * 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP5650935B2 (ja) 2009-08-07 2015-01-07 東京エレクトロン株式会社 基板処理装置及び位置決め方法並びにフォーカスリング配置方法
CN102056395B (zh) 2009-10-27 2014-05-07 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
JP5551420B2 (ja) * 2009-12-04 2014-07-16 東京エレクトロン株式会社 基板処理装置及びその電極間距離の測定方法並びにプログラムを記憶する記憶媒体
JP2011146464A (ja) * 2010-01-13 2011-07-28 Panasonic Corp プラズマ処理装置
JP5554099B2 (ja) 2010-03-18 2014-07-23 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US20110226739A1 (en) * 2010-03-19 2011-09-22 Varian Semiconductor Equipment Associates, Inc. Process chamber liner with apertures for particle containment
JP5567392B2 (ja) * 2010-05-25 2014-08-06 東京エレクトロン株式会社 プラズマ処理装置
TWI502617B (zh) 2010-07-21 2015-10-01 應用材料股份有限公司 用於調整電偏斜的方法、電漿處理裝置與襯管組件
JP5723130B2 (ja) 2010-09-28 2015-05-27 東京エレクトロン株式会社 プラズマ処理装置
JP5781349B2 (ja) 2011-03-30 2015-09-24 東京エレクトロン株式会社 プラズマ処理装置
JP2012222719A (ja) 2011-04-13 2012-11-12 Nec Access Technica Ltd 中継装置及び電力制御方法
TWI762170B (zh) 2011-10-05 2022-04-21 美商應用材料股份有限公司 包括對稱電漿處理腔室的電漿處理設備與用於此設備的蓋組件
TWM520621U (zh) * 2015-01-29 2016-04-21 Hsueh-Ju Chen 瓦斯熱水器精密步進電機控制氣閥

Also Published As

Publication number Publication date
TWI672981B (zh) 2019-09-21
CN103050363B (zh) 2017-09-22
TWI661746B (zh) 2019-06-01
KR20130037197A (ko) 2013-04-15
TW201325323A (zh) 2013-06-16
JP2020098787A (ja) 2020-06-25
TWI594667B (zh) 2017-08-01
KR20130037196A (ko) 2013-04-15
TWI666975B (zh) 2019-07-21
KR102009784B1 (ko) 2019-08-12
CN103035469A (zh) 2013-04-10
US10535502B2 (en) 2020-01-14
US11315760B2 (en) 2022-04-26
KR102039454B1 (ko) 2019-11-01
JP6177567B2 (ja) 2017-08-09
JP6300451B2 (ja) 2018-03-28
CN103094045B (zh) 2018-01-26
CN103094044B (zh) 2018-11-02
KR102299994B1 (ko) 2021-09-08
JP2022028829A (ja) 2022-02-16
CN103050363A (zh) 2013-04-17
TW201325326A (zh) 2013-06-16
CN103035469B (zh) 2017-10-27
JP2023078317A (ja) 2023-06-06
US9741546B2 (en) 2017-08-22
CN107516627B (zh) 2020-04-24
KR20220103078A (ko) 2022-07-21
TWI830183B (zh) 2024-01-21
CN103050362A (zh) 2013-04-17
TWI719473B (zh) 2021-02-21
TW201325324A (zh) 2013-06-16
JP7250098B2 (ja) 2023-03-31
JP2013179055A (ja) 2013-09-09
KR20200120588A (ko) 2020-10-21
US20220254606A1 (en) 2022-08-11
CN103050362B (zh) 2017-05-17
JP6308716B2 (ja) 2018-04-11
JP6346698B2 (ja) 2018-06-20
TW202231135A (zh) 2022-08-01
TWI638587B (zh) 2018-10-11
TW201743663A (zh) 2017-12-16
CN107516627A (zh) 2017-12-26
JP2013084602A (ja) 2013-05-09
US10453656B2 (en) 2019-10-22
JP2013179054A (ja) 2013-09-09
JP2013211268A (ja) 2013-10-10
KR101944895B1 (ko) 2019-02-01
KR20130037195A (ko) 2013-04-15
CN103094044A (zh) 2013-05-08
US20200185192A1 (en) 2020-06-11
JP2013211269A (ja) 2013-10-10
US20160314942A1 (en) 2016-10-27
US10615006B2 (en) 2020-04-07
KR20190122626A (ko) 2019-10-30
KR102166643B1 (ko) 2020-10-16
KR102423749B1 (ko) 2022-07-22
JP7030144B2 (ja) 2022-03-04
TW202131764A (zh) 2021-08-16
TW201813454A (zh) 2018-04-01
JP6815302B2 (ja) 2021-01-20
US20160314937A1 (en) 2016-10-27
KR20130037168A (ko) 2013-04-15
US20160314940A1 (en) 2016-10-27
TW201813453A (zh) 2018-04-01
TW201325325A (zh) 2013-06-16
JP2018037413A (ja) 2018-03-08
KR102024584B1 (ko) 2019-09-24
US20130087286A1 (en) 2013-04-11
JP6168823B2 (ja) 2017-07-26
KR20170102189A (ko) 2017-09-08
TWI762170B (zh) 2022-04-21
US10546728B2 (en) 2020-01-28
KR101361757B1 (ko) 2014-02-12
KR102009783B1 (ko) 2019-08-12
TWI646869B (zh) 2019-01-01
KR20130037198A (ko) 2013-04-15
TW201316846A (zh) 2013-04-16
CN103094045A (zh) 2013-05-08
US20160314936A1 (en) 2016-10-27
US10580620B2 (en) 2020-03-03
TWI568319B (zh) 2017-01-21
TWI659674B (zh) 2019-05-11
KR20210100056A (ko) 2021-08-13
KR101944894B1 (ko) 2019-02-01
KR20130050946A (ko) 2013-05-16
TW201813455A (zh) 2018-04-01
JP2017228531A (ja) 2017-12-28
US20170271129A1 (en) 2017-09-21

Similar Documents

Publication Publication Date Title
TWI646869B (zh) 對稱電漿處理腔室