JP2013179055A - 対称プラズマ処理チャンバ - Google Patents

対称プラズマ処理チャンバ Download PDF

Info

Publication number
JP2013179055A
JP2013179055A JP2013079053A JP2013079053A JP2013179055A JP 2013179055 A JP2013179055 A JP 2013179055A JP 2013079053 A JP2013079053 A JP 2013079053A JP 2013079053 A JP2013079053 A JP 2013079053A JP 2013179055 A JP2013179055 A JP 2013179055A
Authority
JP
Japan
Prior art keywords
gas
assembly
manifold
substrate support
chamber body
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2013079053A
Other languages
English (en)
Other versions
JP6177567B2 (ja
Inventor
D Carducci James
ディー カードゥッチ ジェームズ
Tabassoli Hamid
タバッソリ ハミッド
Ajit Balakrishna
バラクリシュナ アジト
Zhigang Chen
チェン ジガング
Andrew Nguyen
ヌグエン アンドリュー
A Buchberger Douglas Jr
エー ブッフベルガー ジュニア ダグラス
Ramaswamy Kartik
ラマスワミー カーティク
Shahid Rauf
ラウフ シャヒド
Kenneth S Collins
エス コリンズ ケネス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2013179055A publication Critical patent/JP2013179055A/ja
Application granted granted Critical
Publication of JP6177567B2 publication Critical patent/JP6177567B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3323Problems associated with coating uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3344Problems associated with etching isotropy

Abstract

【課題】プラズマ均一性制御のための電気的な、ガス流の、及び熱的な対称性を向上させたプラズマ処理装置の提供。
【解決手段】処理領域102を囲むチャンバ蓋アセンブリ110及びチャンバ本体142と、チャンバ本体142内に配置された基板支持アセンブリ160を含み、チャンバ蓋アセンブリ110が、処理ガスを処理領域102内へ分配するように構成された中央マニホールド120と、処理ガスを処理領域102内へ分配するように構成された1以上の外側マニホールド122を有する上部電極112と、基板支持アセンブリ160の中心軸CAの周りに対称的に配置された複数のガスチューブ129を介して1以上の外側マニホールド122に結合されたリングマニホールド128を含む。
【選択図】図1

Description

発明の背景
(発明の分野)
本発明は概して、電極間に印加された高周波電力によってプラズマが励起される基板製造用プラズマ処理装置に関する。より具体的には、本発明は、電気的な、ガス流の、及び熱的な対称性を改良されたプラズマ均一性制御に対して提供するプラズマ処理チャンバに関する。
(関連技術の説明)
フラットパネルディスプレイや集積回路等の電子デバイスは、一般に、層を基板上に堆積し、堆積された材料を所望のパターンにエッチングする一連の処理工程によって製造される。処理工程は、一般的に、物理蒸着(PVD)、化学蒸着(CVD)、プラズマCVD(PECVD)、及び他のプラズマ処理を含む。具体的には、プラズマ処理は、真空チャンバに処理ガス混合物を供給し、電気的又は電磁気的な力(RF電力)を印加して、処理ガスをプラズマ状態に励起する必要がある。プラズマは、ガス混合物を所望の蒸着又はエッチング処理を行うイオン種に分解する。
プラズマ処理が遭遇する一つの問題は、基板の中心とエッジ領域の間の不均一な処理を引き起こす処理中に、基板表面上に均一なプラズマ密度を確立することに伴う困難性である。均一なプラズマ密度を確立することの難しさの理由の一つは、物理的なプロセスチャンバ設計の非対称性に起因する自然の電気的な、ガス流の、及び熱的な偏り(スキュー)に関与している。このような偏りは当然、方位による不均一なプラズマ密度をもたらすのみならず、中心から端部までのプラズマ均一性を制御するための他の処理変数又は「ノブ」の使用を困難にしている。
したがって、改良されたプラズマ均一性制御のための電気的な、ガス流の、及び熱的な対称性を向上させたプラズマ処理装置に対する必要性が存在する。
本発明の一実施形態では、処理領域を囲む蓋アセンブリ及びチャンバ本体を含むプラズマ処理装置が提供される。基板支持アセンブリは、チャンバ本体内に配置される。チャンバ本体内の排気領域を画定する排気アセンブリが提供される。チャンバ本体は、処理領域を排気領域と流体接続する基板支持アセンブリの中心軸の周りに対称的に配置された複数の通路を含む。基板支持アセンブリは、処理領域及び排気領域から流体的に密閉された中央領域内に配置された下部電極及び支持台を含む。複数のアクセスチューブが、中央領域へのアクセスを提供するためにチャンバ本体を通して配置され、基板支持アセンブリの中心軸周りに対称的に配置されている。
別の一実施形態では、プラズマ処理装置は、処理領域を囲む蓋アセンブリ及びチャンバ本体を含む。基板支持アセンブリは、チャンバ本体内に配置される。蓋アセンブリは、処理ガスを処理領域内に分配するように構成された中央マニホールドと、処理ガスを処理領域内に分配するように構成された1以上の外側マニホールドを有する上部電極を含む。また、蓋アセンブリは、基板支持アセンブリの中心軸周りに対称的に配置された複数のガスチューブを介して、1以上の外側マニホールドに結合されたリングマニホールドを含む。
更に別の一実施形態では、プラズマ処理装置は、処理領域を囲む蓋アセンブリ及びチャンバ本体を含む。基板支持アセンブリは、チャンバ本体内に配置される。上部ライナーは、処理領域を囲むチャンバ本体内に配置される。上部ライナーは、貫通して配置され、基板支持アセンブリの中心軸周りに対称的に配置された複数のスロットを備えた円筒壁を有する。バッキングライナーは、複数のスロットのうちの少なくとも1つを覆う円筒壁に結合される。メッシュライナーは、基板支持アセンブリの周りに環状に配置され、上部ライナーに電気的に結合される。
本発明の上述した構成を詳細に理解することができるように、上記に簡単に要約した本発明のより具体的な説明を、実施形態を参照して行う。実施形態のいくつかは添付図面に示されている。しかしながら、添付図面は本発明の典型的な実施形態を示しているに過ぎず、したがってこの範囲を制限していると解釈されるべきではなく、本発明は他の等しく有効な実施形態を含み得ることに留意すべきである。
本発明の一実施形態に係るプラズマ処理装置の概略断面図である。 図1の処理装置の上部電極の概略上面図である。 図1の処理装置の処理領域を囲むチャンバ本体の上部内に配置されている上部ライナーアセンブリの概略斜視図である。 チャンバ本体と上部ライナーアセンブリの一部の部分断面図である。 図1に示される線4−4に沿った処理装置の概略図である。 図1の処理装置を通って延びるアクセスチューブのレイアウトの概略図である。
詳細な説明
前述したように、従来のプラズマシステムの問題は、チャンバ内の非対称性のために均一なプラズマ密度を提供することが困難であることである。本発明の実施形態では、チャンバを通して、極めて対称的な、電気的な、熱的な、及びガス流のコンダクタンスを可能にするチャンバ設計を提供することによって、この問題を軽減する。このような対称性を提供することによって、チャンバ内で形成されたプラズマは、チャンバの処理領域内に配置された基板の表面全体に亘る均一性を自然に改善する。更に、他のチャンバへの追加(例えば、上部電極と下部電極の間や、ガス流入口と被処理基板の間のギャップを操作する機能を提供するなど)は、従来のシステムに比べて、より優れたプラズマ処理の制御と均一性を可能にする大型のプロセスウィンドウを提供する。
図1は、本発明の一実施形態に係るプラズマ処理装置100の概略断面図である。プラズマ処理装置100は、プラズマエッチングチャンバ、プラズマ化学蒸着チャンバ、物理蒸着チャンバ、プラズマ処理チャンバ、イオン注入チャンバ、又は他の適当な真空処理チャンバが可能である。図1に示されるように、プラズマ処理装置100は、一般的に、チャンバ蓋アセンブリ110、チャンバ本体アセンブリ140、排気アセンブリ190を含み、これらは総じて処理領域102及び排気領域104を囲んでいる。実際には、処理ガスは、処理領域102内に導入され、RF電力を用いて点火され、プラズマとなる。基板105は基板支持アセンブリ160上に配置され、基板105上でプラズマ処理(例えば、エッチング、化学蒸着法、物理蒸着法、イオン注入、プラズマアニール、プラズマ処理、軽減処理、又はその他のプラズマ処理)を行うために、処理領域102内に生成されたプラズマに曝される。排気領域104を介してプラズマ処理から使用済み処理ガスと副産物を除去する排気アセンブリ190によって、真空は処理領域102内で維持される。
蓋アセンブリ110は、一般的に、チャンバ本体アセンブリ140によって分離支持される上部電極112(又は陽極)と、上部電極112を囲むチャンバ蓋114を含む。図2は、上部電極112の概略上面図である。上部電極112は、導電性ガス入口チューブ126を介してRF電源103に結合される。導電性ガス入口チューブ126は、チャンバ本体アセンブリ140の中心軸(CA)と同軸であり、これによってRF電力と処理ガスの両方が対称的に供給される。上部電極112は、伝熱板118に取り付けられたシャワーヘッド板116を含む。シャワーヘッド板116、伝熱板118、及びガス入口チューブ126は全て、RF導電性材料(アルミニウムやステンレス鋼等)で製造されている。
シャワーヘッド板116は、中央マニホールド120及び1以上の外側マニホールド122を有する。1以上の外側マニホールド122は、中央マニホールド120を囲んでいる。中央マニホールド120は、ガス入口チューブ126を介してガス供給源106から処理ガスを受け入れ、複数のガス通路121を介して受け入れた処理ガスを処理領域102の中央部分に分配する。外側マニホールド122は処理ガスを受け入れ、ガス供給源106から中央マニホールド120内に受け入れたものと同一又は異なるガス混合物が可能である。その後、外側マニホールド122は、複数のガス通路123を介して処理領域102の外側部分に受け入れた処理ガスを分配する。マニホールド120、122はプレナムとして機能し、均一な圧力がそれぞれのマニホールド120、122に結合した各ガス通路121に提供されるのに十分な容積を有する。シャワーヘッド板116のデュアルマニホールド構成は、処理領域102内へのガスの供給制御の改善を可能にする。例えば、処理領域102の中央部、つまり、内部に配置された基板105の中央部に供給された処理ガスは、処理領域102の外側部、つまり、基板105の外側部に供給された処理ガスとは異なる流量及び/又は圧力で導入することができる。従来のシングルマニホールドのバージョンとは対照的に、マルチマニホールドシャワーヘッド板116は、中央から端部までの処理結果の制御を強化できる。
図1及び図2を参照すると、ガス供給源106からの処理ガスは、入口チューブ126の周囲に同心円状に配置されたリングマニホールド128内に入口チューブ127を介して送られていることが分かる。リングマニホールド128から、複数のガスチューブ129を介して外側マニホールド122へ処理ガスが送られる。一実施形態では、リングマニホールド128は、リングマニホールド128からガスチューブ129内へガスが均等に流れるのを保証するために再帰的なガス通路を含む。リングマニホールド128とガスチューブ129は、導電性材料(アルミニウムやステンレス鋼等)から製造される。このように、リングマニホールド128及びガスチューブ129は、潜在的に処理領域102内のプラズマの均一性に効果をもたらす上部電極112によって提供される電界の偏りを引き起こすRF電流の対称性に影響を及ぼす可能性がある。
電界中でのこのような偏りを防ぐために、ガスチューブ129は、処理装置100を介して垂直に延びる中心軸(CA)周りに対称に配置される。このように、ガスチューブ129は、中心部に位置するリングマニホールド128から等間隔の角度(A)で延びており、これによって冷却板118を通って外側マニホールド122内に処理ガスを供給する。例えば、図2に示される実施形態は、120度の角度を隔てた3本のガスチューブ129を示している。他の例(図示せず)では、中心軸(CA)の周りに対称に、つまり互いに等間隔の角度で配置されているならば、より多くの又はより少ないガスチューブ129を使用することもできる。リング状のマニホールドを採用し、中心軸(CA)周りに対称にガスチューブ129を配置することによって、上部電極112の電気的な対称性が、処理領域102内でより均一で整合性のあるプラズマの形成をもたらす点において、従来のシステムよりも大幅に改善される。更に、ガスチューブ129の対称的な配置は、外側マニホールド122内に均一極座標配列内のガスを提供し、これによって外側マニホールド122内で方位的に均一な圧力分布を提供し、その結果、ガス通路123を通して処理領域102内へ方位的に均一なガス流を提供し、これによって処理の均一性を高める。
熱伝導流体が流体入口チューブ130を介して伝熱板118に流体源109から供給される。流体は、伝熱板118内に配置された1以上の流体チャネル119を通って循環し、流体出口チューブ131を介して流体供給源109に戻される。適当な熱伝導流体は、水、水ベースのエチレングリコールの混合物、ペルフルオロポリエーテル(例えば、ガルデン(商標名)流体)、オイルベースの熱伝導流体、又は類似の流体を含む。
流体入口チューブ130と流体出口チューブ131は、それぞれ非導電性材料(適当なプラスチック材料等)から製造される。このように、チューブ自体は上部電極112の電気的対称性には影響を与えない。しかしながら、フィッティング132は、導電性材料(アルミニウム又はステンレス鋼等)から製造され、このため偏り効果を引き起こす上部電極112の電気的対称性に影響を及ぼす可能性がある。このように、フィッティング132と同じ材料から製造され、同じ大きさと形状を有する導電プラグ133は、図2に示されるように、中心軸(CA)周りに対称的に配置され、これによってプラグ133及びフィッティング132は共に、チャンバ本体アセンブリ140の中心軸(CA)周りにセンタリングされた極座標配列を画定する。導電性プラグ133の追加は、上部電極112の電気的な対称性を向上させ、処理領域102内において従来のシステムで利用可能なものよりもより均一で整合性のあるプラズマ形成をもたらす。
図1に戻って参照すると、チャンバ本体アセンブリ140は、処理環境に耐性のある導電性材料(アルミニウム又はステンレス鋼等)から作られたチャンバ本体142を含む。基板支持アセンブリ160は、チャンバ本体142内の中央に配置され、中心軸(CA)周りに対称的に処理領域102内の基板105を支持するように配置される。
図3Aは、処理領域102を囲むチャンバ本体142の上部内に配置された上部ライナーアセンブリ144の概略等角図である。上部ライナーアセンブリ144は、導電性のプロセス適合性材料(例えば、アルミニウム、ステンレス鋼、及び/又はイットリア(例えば、イットリアを被覆したアルミニウム)等)から構成することができる。実際には、上部ライナーアセンブリ144は、処理領域102内のプラズマからチャンバ本体142の上部を遮蔽し、定期的なクリーニング及びメンテナンスを可能にするために取り外し可能である。一実施形態では、上部ライナーアセンブリ144は、チャンバ内の温度対称性及び処理領域102内に供給されるプラズマの対称性を高めるために、例えばACヒーター(図示せず)によって、温度制御される。
図1及び図3Aを参照すると、チャンバ本体142は、上部ライナーアセンブリ144の外側フランジ145を支持する棚部143を含む。上部ライナーアセンブリ144の内側フランジ146は、上部電極112を支持する。絶縁体113は、上部ライナーアセンブリ144と上部電極112との間に配置され、これによってチャンバ本体アセンブリ140と上部電極112との間の電気的絶縁を提供する。
上部ライナーアセンブリ144は、内側及び外側フランジ(146、145)、底壁148、及び内壁149に取り付けられた外壁147を含む。外壁147及び内壁149は、実質的に垂直な円筒形の壁である。外壁147は、処理領域102内のプラズマからチャンバ本体142を遮蔽するように配置され、内壁149は、処理領域102内のプラズマから基板支持アセンブリ160の側面を少なくとも部分的に遮蔽するように配置されている。底壁148は、本明細書内で続いて説明される排気通路189が形成された一部の領域を除いて、内壁及び外壁(149、147)に結合される。
図1に戻って参照すると、処理領域102は、基板支持アセンブリ160から/への基板105の出し入れを可能にするチャンバ本体142内に配置されたスリットバルブトンネル141を介してアクセスされる。上部ライナーアセンブリ144は、スリットバルブトンネル141に一致する貫通して配置されたスロット150を有する。チャンバ本体アセンブリ140は、スリットバルブトンネル141及びスロット150を密閉するためにスリットバルブドア153を垂直方向に拡張し、基板105の通過を可能にするために、スリットバルブドア153を垂直方向に格納するように配置され構成されたアクチュエータ152を含むスリットバルブドアアセンブリ151を含む。図面の混乱を最小限にするために、スリットバルブドアアセンブリ151及びその部品は、図1内でハッチングされていない。スリットバルブドア153は、ライナー内での電気的対称性の増加を提供するために、上部ライナーアセンブリ144の材料(例えば、イットリアを被覆したアルミニウム)と実質的に一致する材料で構成することができる。一実施形態では、スリットバルブドア153は、処理領域102内の熱的対称性の向上を提供するために、上部ライナーアセンブリ144の温度と一致するように、例えば、ACヒータ(図示せず)によって、温度制御される。
図3Aを参照すると、スロット150の大きさ及び形状に実質的に一致した追加のスロット154が、上部ライナーアセンブリ144を介して配置されている。スロット154は、中心軸(CA)周りで対称的に上部ライナーアセンブリ144を介して配置されている。例えば、図3Aに示されるように、2つのスロット154が、スロット150から120度の角度で配置され、これによってスロット150及びスロット154は、中心軸(CA)周りに極座標配列を形成する。スロット154は、スロット150の存在に起因して上部ライナーアセンブリ144内に存在する電流密度及び/又は分布の変化を補正するために、上部ライナーアセンブリ144の周りで対称的に配置される。また、スロット150及び154は、チャンバ内に改善された電気的対称性を提供するために、それぞれのガスチューブ129に沿って配置することができる。
図3Bは、チャンバ本体142及び上部ライナーアセンブリ144の一部分の部分断面図である。上部ライナーアセンブリ144のスロット154に取り付けられ、これを覆うバッキングライナー155を設けてもよい。バッキングライナー155は、スリットバルブドア153を模倣した大きさ、形状、材料から構成されている。また、バッキングライナー155は、上部ライナーアセンブリ144と導電接触し、上部ライナーアセンブリ144と電気的及び熱的接触を維持する。このように、バッキングライナー155は、従来のシステムで利用可能なものよりも、処理領域102内においてより均一なプラズマ密度を可能にするために、上部ライナーアセンブリ144の周りに電気的のみならず熱的対称性を更に提供する。
図4は、明確にするために基板105を取り除いた、図1に示される線4−4に沿って取られた処理装置100の模式図である。図1及び図4を参照すると、基板支持アセンブリ160は、チャンバ本体アセンブリ140の中心領域156内の中央に配置され、中心軸(CA)を共有している。つまり、中心軸(CA)は、基板支持アセンブリ160の中心を垂直に通る。基板サポートアセンブリ160は、一般的に、下部電極161(又はカソード)と中心軸(CA)が中心を通過する中空台座162を含み、中心領域156内に配置され、チャンバ本体142によって支持された中央支持部材157によって支持される。中心軸(CA)は、中央支持部材157の中心も通る。下部電極161は、マッチングネットワーク(図示せず)及び後述するように中空台座162を通って導かれたケーブル(図示せず)を介してRF電源103に連結されている。RF電力が上部電極112及び下部電極161に供給されると、その間に形成される電界は、処理領域102内に存在する処理ガスに点火してプラズマを生成する。
中央支持部材157は、締結具及びOリング(図示せず)などによって、チャンバ本体142に対して密閉されており、下部電極161は、ベローズ158などによって、中央支持部材157に対して密閉されている。このように、中心領域156は処理領域102から密閉され、処理領域102を真空状態に維持しつつ、大気圧に維持することができる。
作動アセンブリ163は、中央領域156内に配置され、チャンバ本体142及び/又は中央支持部材157に取り付けられている。なお、作動アセンブリ163は、図面の混乱を最小限に抑えるために、ハッチング無しで示されている。作動アセンブリ163は、アクチュエータ164(例えば、モータ)、リードスクリュー165、及び台座162に取り付けられたナット166を含む。実際には、アクチュエータ164はリードスクリュー165を回転させ、今度はリードスクリュー165がナット166を上下動させ、こうして台座162を上下動させる。下部電極161が台座162によって支持されているので、作動アセンブリ163は、チャンバ本体142、中央支持部材157、及び上部電極112に対する下部電極161の垂直運動を提供する。処理領域102内での下部電極161のそのような垂直方向の動きは、下部電極161と上部電極112との間に可変ギャップを提供し、これによって両者間に形成される電界を増加させる制御を可能にし、同様に処理領域102内に形成されたプラズマの密度をより大きくする制御を提供する。更に、基板105は下部電極161によって支持されているので、基板105とシャワーヘッド板116との間のギャップも変化させることができ、基板105全面に亘る処理ガス分布のより柔軟な制御をもたらす。
また、下部電極161によって支持され、上部ライナーアセンブリ144の内壁149に重複するプラズマスクリーン159が、処理領域102内のプラズマから基板支持アセンブリ160及びベローズ158を保護するために提供される。プラズマスクリーン159は台座162に結合され、台座162と共に垂直方向に移動するので、プラズマスクリーン159と上部ライナーアセンブリ144の内壁149との間の重複部分は、プラズマスクリーン159と上部ライナーアセンブリ144が外れて、台座162の下方の領域の露出が、処理ガスに曝されることを許容することなしに、台座162が全動作範囲を使用可能にするのに十分である。
基板支持アセンブリ160は、リフトピンアセンブリ167を更に含み、これによって基板105のロード及びアンロードを促進する。リフトピンアセンブリ167は、リフトピンプレート169に取り付けられたリフトピン168を含む。リフトピンプレート169は、下部電極161内の開口部170内に配置され、リフトピン168は、開口部170と処理領域102との間に配置されたリフトピン穴171を通って延びている。リフトピンプレート169は、下部電極161内の開口部173を通って、中空台座162内へと延びるリードスクリュー172に結合されている。アクチュエータ195(例えばモータ)を台座162上に配置することができる。なお、アクチュエータ195は、図面の混乱を最小限に抑えるためにハッチング無しで示されている。アクチュエータ195は、リードスクリュー172を前進又は後退させるナットを回転させる。リードスクリュー172は、リフトピンプレート169に結合されている。このように、アクチュエータ195は、リードスクリュー172にリフトピンプレート169の上下動を引き起こすので、リフトピン168は延長又は格納される。したがって、アクチュエータ195は、下部電極161の垂直方向の位置には関係なく、リフトピン168の延長又は格納を可能にする。このようなリフトピン168の独立した作動を提供することにより、基板105の垂直方向の位置は、下部電極161の垂直方向の位置から独立して変更可能であり、これによって基板105のロードとアンロードの両方の間、更には基板105の処理の間により柔軟な位置制御を可能とし、例えば、処理中に基板を持ち上げることによって、裏面ガスを基板の下から逃がすことができる。
基板支持アセンブリ160は、開口部170を排気領域104と連結する通気ライン174を更に含む。通気ライン174は、後述するように、中空台座162を通って中央に導かれ、中心軸(CA)周りに対称的なスポークパターンで配置された複数のアクセスチューブ180のうちの1つを通ってチャンバ本体142から外へと導かれる。通気ライン174は、リフトピンホール171を介して開口部170内に漏れる可能性のある任意の処理ガスを除去するために、開口部170の排気用に用意されている。更に、開口部170の排気は、下部電極161又はリフトピン168上に配置された基板105の裏側に存在する可能性のある処理ガスを除去するのにも役立つ。
基板支持アセンブリ160は、貫通して配置され、ガス供給ライン178を介して不活性ガス供給部177に結合されたガスポート176を含むこともできる。ガス供給部177は、処理ガスが基板105の裏面を処理するのを防ぐために、ガス供給ライン178及びガスポート176を通して、基板105の裏面へ、不活性ガス(ヘリウムなど)を供給する。ガス供給ライン178はまた、中空台座162を通って導かれ、複数のアクセスチューブ180のうちの1つを通ってチャンバ本体142から外へと導かれる。
基板支持アセンブリ160は、処理中に下部電極161に温度制御を提供するために、下部電極161内の1以上の熱交換チャネル(図示せず)を介して熱交換流体源198から導かれた1以上の流体入口ライン179及び流体出口ライン181を更に含むことができる。流体入口ライン179及び流体出口ライン181は、下部電極161から中空台座162を通して導かれ、複数のアクセスチューブ180のうちの1つを通してチャンバ本体142から外へと導かれる。
一実施形態では、基板支持アセンブリ160は、下部電極161内に配置された1以上の温度センサ182を更に含み、これによって下部電極161の温度制御を促進することができる。
一実施形態では、下部電極161は、静電チャックであり、したがって、内部に配置された1以上の電極(図示せず)を含む。電圧源(図示せず)は、基板105に対して1以上の電極にバイアスを掛け、これによって処理中に適所に基板105を保持するための吸引力を生成する。1以上の電極を電圧源に結合するケーブル接続は、中空台座162を通して導かれ、複数のアクセスチューブ180のうちの1つを通してチャンバ本体142から外へと導かれる。
図5は、チャンバ本体アセンブリ140のスポーク191内のアクセスチューブ180のレイアウトの概略図である。図1及び図5を参照すると、スポーク191及びアクセスチューブ180は、図示されるように、処理装置100の中心軸(CA)の周りにスポークパターンで対称的に配置されている。図示の実施形態では、3つの同一のアクセスチューブ180が、チャンバ本体142を通って中央領域156内へと配置され、これによってチャンバ本体142の外側から下部電極161までの複数のチューブ及びケーブルの供給を促進する。下部電極162の垂直方向の移動を促進するために、アクセスチューブ180のそれぞれを通る開口部183は、下部電極161の垂直移動範囲にほぼ等しい。例えば、1つの構成では、下部電極162は、約7.2インチの距離、垂直方向に移動可能である。この場合、アクセスチューブ180のそれぞれの開口部183の高さも約7.2インチである。これらの距離をほぼ同じに保つことは、必要なケーブルの長さを最小限にし、更に下部電極161の垂直方向の動作の間にケーブルが結合し摩耗するのを防止するのに役立つ。また、スポーク191の幅(W)は最小化され、これによって高アスペクト比(高さ:幅)が提供され、これによってなおもユーティリティ(例えば、ガス、配線)のための十分な余地を許容しながら、排気チャネル189の開放領域は増す。このような構成は、排ガスの流れ抵抗を低減し、その結果、ポンピングのためのエネルギー消費を低減させ、より小型で低コストのポンプをもたらす。
下部電極161へのケーブル配線を更に促進するために、ケーブル配線は複数のアクセスチューブ180間で分割される。例えば、流体ライン(179、181)、ガス供給ライン178、及び真空チューブ174の全ては、アクセスチューブ180aを通して提供することができ、温度センサ用ケーブル184及びその他の電気ケーブル(例えば、アクチュエータ164、195への電気ケーブル)は、アクセスチューブ180bを通して提供することができ、RF電圧供給及びその他の電気ケーブル(例えば、チャッキング機能用の電極への電気ケーブル)は、アクセスチューブ180cを通して提供することができる。このように、チャンバ本体142の外側から下部電極162までのケーブルの数と量は、下部電極161の移動を促進するために適切なクリアランスを提供しながら、アクセスチューブ180のサイズを最小限にするために、アクセスチューブ180間で分割される。
アクセスチューブ180は、アルミニウム又はステンレス鋼などの材料で構成することができる。アクセスチューブ180の対称的なスポーク配置は、処理装置100の電気的及び熱的な対称性を更に促進するように設計されている。一実施形態では、アクセスチューブ180は、120度離れて配置され、アクセスチューブ180の各々は、それぞれのガスチューブ129と整列している。アクセスチューブ180の対称的な配置は、処理領域102内ではるかにより均一なプラズマ形成を可能にし、処理中に基板105の表面全域に亘たってプラズマ密度の制御を改善するために、チャンバ本体142内、特に処理領域102内に電気的及び熱的な対称性を更に提供する。
図1及び図4に戻って参照すると、排気通路189は、中心軸(CA)周りに対称的に上部ライナーアセンブリ144内に配置されている。排気通路189は、処理領域102から排気領域104を介して、そして排気ポート196を介してチャンバ本体142から外へガスの排出を可能にする。排気ポート196は、チャンバ本体アセンブリ140の中心軸(CA)の周りにセンタリングされ、これによってガスが排気通路189を通して均等に引かれる。排気ライナー187は、排気時に処理ガスからチャンバ本体142を保護するために、チャンバ本体142内に設けられた排気チャネル188内の各々の排気通路189の下方にそれぞれ配置される。排気ライナー187は、上述したように、上部ライナーアセンブリ144と同様の材料で構成できる。
排気チャネル188は、実質的に電気的な相互作用が存在しないように処理領域102から離れて配置されている。しかしながら、中心軸(CA)周りにおける排気チャネル188の対称的な配置は、処理装置100内に改善された熱的及びガス流の対称性を提供する。例えば、中心軸(CA)周りの排気チャネル188の対称的な配置、したがって、処理領域102は、処理領域102からのガスの対称的な除去を促進し、その結果、基板105全域に亘って対称的なガス流をもたらす。また、排気チャネル188及び排気ライナー187の対称的な配置は、チャンバ内の熱分布の対称性を促進する。このように、処理装置100内における排気チャネル188の対称的な配置は、処理領域102内の均一なプラズマ形成を促進し、処理領域102内のプラズマ密度及びガス流を柔軟に制御できる。
排気アセンブリ190は、チャンバ本体142の底部で排気領域104に隣接して配置される。排気アセンブリは、真空ポンプ194に結合されたスロットルバルブ192を含むことができる。スロットルバルブ192は、真空ポンプ194と組み合わせて使用するポペット型のバルブであってもよく、これによって処理領域102から排気通路189を通して、及び中央に配置された排気ポート189を通してチャンバから外へ排ガスを対称的に引くことによって、処理領域102内で真空条件を制御することができ、更に処理領域102内のプラズマ条件のより柔軟な制御を提供することができる。図1に示されるように、ポペット型のバルブは、一様な360度のギャップ198を提供し、これを通して排ガスが排気ポート189を通して引かれる。対照的に、従来のダンパー型のスロットルバルブは、排ガスの流れのために不均一なギャップを提供する。例えば、ダンパー型のバルブが開いたときに、バルブの片側はバルブのもう片側よりも多くのガスを引く。このように、ポペット型のスロットルバルブは、従来のプラズマ処理チャンバで使用される従来のダンパー型のスロットルバルブよりもガスコンダクタンスの偏りの効果が少ない。
再び図1及び図4を参照すると、導電性傾斜メッシュライナー400が、上部ライナーアセンブリ144の下部に配置されている。傾斜メッシュライナー400は、導電性プロセス適合性材料(例えば、アルミニウム、ステンレス鋼、及び/又はイットリア(例えば、イットリアを被覆したアルミニウム))から構成することができる。傾斜メッシュライナー400は底壁402及び底壁402から外側上向きに角度を付けて延びる外壁404を有することができる。外壁404は、貫通して形成された複数の開口部410を有することができる。開口部410は、傾斜メッシュライナー400の中心軸周りに対称的に配置することができ、これによってそれを通して排ガスを均一に引くことを可能にし、次には処理領域102内の均一なプラズマ形成を促進し、処理領域102内のプラズマ密度及びガス流のより柔軟な制御を可能にする。一実施形態では、傾斜メッシュライナー400の中心軸は、チャンバ本体アセンブリ140の中心軸(CA)と整列している。
メッシュライナー400の底壁402は、上部ライナーアセンブリ144の底壁148及び/又は内壁149に電気的に結合することができる。更に、メッシュライナー400の外壁404は、上部電極ライナーアセンブリ144の外壁147に電気的に結合することができる。RFプラズマが処理領域102内に存在する場合には、グランドへのリターンパスを求めるRF電流は、メッシュライナー400の表面に沿って上部ライナーアセンブリ144の外壁147へと伝わることができる。こうして、メッシュライナー400の環状対称構成は、グランドへの対称なRFリターンを提供し、上部ライナーアセンブリ400の下部に任意のRF対称性をバイパスする。
したがって、本発明の実施形態は、極めて対称的な、電気的な、熱的な、及びチャンバを通過するガス流のコンダクタンスを可能にするチャンバ設計を提供することにより、チャンバ内の非対称性に起因する均一なプラズマ密度を提供するのが困難な従来のプラズマシステムの問題を解決する。このような対称性を提供することにより、チャンバ内で形成されたプラズマは、チャンバの処理領域内に配置された基板の表面全体に亘って均一性を自然に改善した。この改善された対称性と共に、他のチャンバへの追加(例えば、上部電極と下部電極との間や、ガス流入口と被処理基板の間のギャップを操作する機能を提供するなど)は、従来のシステムに比べて、より優れたプラズマ処理の制御と均一性を可能にする。
上記は本発明の実施形態を対象としているが、本発明の他の及び更なる実施形態は本発明の基本的範囲を逸脱することなく創作することができ、その範囲は以下の特許請求の範囲に基づいて定められる。

Claims (15)

  1. 処理領域を囲む蓋アセンブリ及びチャンバ本体と、
    チャンバ本体内に配置された基板支持アセンブリを含み、蓋アセンブリが、
    処理ガスを処理領域内へ分配するように構成された中央マニホールドと、処理ガスを処理領域内へ分配するように構成された1以上の外側マニホールドを有する上部電極と、
    基板支持アセンブリの中心軸の周りに対称的に配置された複数のガスチューブを介して1以上の外側マニホールドに結合されたリングマニホールドを含むプラズマ処理装置。
  2. リングマニホールド及び複数のガスチューブは導電性材料を含む請求項1記載のプラズマ処理装置。
  3. 上部電極の中央マニホールドに流体結合され、基板支持アセンブリの中心軸と同軸であるガス入口チューブを含む請求項1記載のプラズマ処理装置。
  4. リングマニホールドはガス入口チューブを取り囲む請求項3記載のプラズマ処理装置。
  5. リングマニホールドは再帰的なガス通路を有し、これによってガスはリングマニホールドから複数のガスチューブ内へ均等に流れる請求項4記載のプラズマ処理装置。
  6. 1以上の外側マニホールドは中央マニホールドを取り囲む請求項1記載のプラズマ処理装置。
  7. 基板支持アセンブリに結合され、基板支持アセンブリを垂直に動かすように構成された第1作動装置と、
    基板支持アセンブリに結合され、基板支持アセンブリ内に配置された複数の基板支持ピンを動かすように構成された第2作動装置を含む請求項1記載のプラズマ処理装置。
  8. 処理ガスを貫通して分配するように構成された中央マニホールド及び処理ガスを貫通して分配するように構成された1以上の外側マニホールドを有する上部電極と、
    上部電極の中心軸の周りに対称的に配置された複数のガスチューブを介して1以上の外側マニホールドに結合されたリングマニホールドを含む蓋アセンブリ。
  9. リングマニホールド及び複数のガスチューブは導電性材料を含む請求項8記載の蓋アセンブリ。
  10. 上部電極の中央マニホールドに流体結合され、上部電極の中心軸と同軸であるガス入口チューブを含む請求項8記載の蓋アセンブリ。
  11. リングマニホールドはガス入口チューブを取り囲む請求項10記載の蓋アセンブリ。
  12. リングマニホールドは再帰的なガス通路を有し、これによってガスはリングマニホールドから複数のガスチューブ内へ均等に流れる請求項11記載の蓋アセンブリ。
  13. 1以上の外側マニホールドは中央マニホールドを取り囲む請求項1記載の蓋アセンブリ。
  14. 処理領域を囲む蓋アセンブリ及びチャンバ本体と、
    チャンバ本体内に配置された基板支持アセンブリであって、蓋アセンブリが、
    処理ガスを処理領域内へ分配するように構成された中央マニホールドと、処理ガスを処理領域内へ分配するように構成された1以上の外側マニホールドを有する上部電極と、
    基板支持アセンブリの中心軸の周りに対称的に配置された複数のガスチューブを介して1以上の外側マニホールドに結合されたリングマニホールドと、
    チャンバ本体によって排気領域を画定する排気アセンブリであって、チャンバ本体は処理領域を排気領域と流体接続する基板支持アセンブリの中心軸の周りに対称的に配置された複数の通路を含む排気アセンブリを含むプラズマ処理装置。
  15. チャンバ本体は、基板支持アセンブリの中心軸の周りに対称的な排気ポートが貫通して形成されている請求項14記載のプラズマ処理装置。
JP2013079053A 2011-10-05 2013-04-05 対称プラズマ処理チャンバ Active JP6177567B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201161543565P 2011-10-05 2011-10-05
US61/543,565 2011-10-05

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2012222719A Division JP6308716B2 (ja) 2011-10-05 2012-10-05 対称プラズマ処理チャンバ

Publications (2)

Publication Number Publication Date
JP2013179055A true JP2013179055A (ja) 2013-09-09
JP6177567B2 JP6177567B2 (ja) 2017-08-09

Family

ID=48022257

Family Applications (10)

Application Number Title Priority Date Filing Date
JP2012222719A Active JP6308716B2 (ja) 2011-10-05 2012-10-05 対称プラズマ処理チャンバ
JP2013079246A Pending JP2013211269A (ja) 2011-10-05 2013-04-05 対称プラズマ処理チャンバ
JP2013079053A Active JP6177567B2 (ja) 2011-10-05 2013-04-05 対称プラズマ処理チャンバ
JP2013079172A Active JP6300451B2 (ja) 2011-10-05 2013-04-05 対称プラズマ処理チャンバ
JP2013079051A Active JP6168823B2 (ja) 2011-10-05 2013-04-05 対称性を有するプラズマ処理装置および蓋アセンブリ
JP2017130091A Active JP6346698B2 (ja) 2011-10-05 2017-07-03 対称プラズマ処理チャンバ
JP2017208841A Active JP6815302B2 (ja) 2011-10-05 2017-10-30 対称プラズマ処理チャンバ
JP2020001158A Active JP7030144B2 (ja) 2011-10-05 2020-01-08 対称プラズマ処理チャンバ
JP2021187619A Active JP7250098B2 (ja) 2011-10-05 2021-11-18 対称プラズマ処理チャンバ
JP2023043799A Pending JP2023078317A (ja) 2011-10-05 2023-03-20 対称プラズマ処理チャンバ

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2012222719A Active JP6308716B2 (ja) 2011-10-05 2012-10-05 対称プラズマ処理チャンバ
JP2013079246A Pending JP2013211269A (ja) 2011-10-05 2013-04-05 対称プラズマ処理チャンバ

Family Applications After (7)

Application Number Title Priority Date Filing Date
JP2013079172A Active JP6300451B2 (ja) 2011-10-05 2013-04-05 対称プラズマ処理チャンバ
JP2013079051A Active JP6168823B2 (ja) 2011-10-05 2013-04-05 対称性を有するプラズマ処理装置および蓋アセンブリ
JP2017130091A Active JP6346698B2 (ja) 2011-10-05 2017-07-03 対称プラズマ処理チャンバ
JP2017208841A Active JP6815302B2 (ja) 2011-10-05 2017-10-30 対称プラズマ処理チャンバ
JP2020001158A Active JP7030144B2 (ja) 2011-10-05 2020-01-08 対称プラズマ処理チャンバ
JP2021187619A Active JP7250098B2 (ja) 2011-10-05 2021-11-18 対称プラズマ処理チャンバ
JP2023043799A Pending JP2023078317A (ja) 2011-10-05 2023-03-20 対称プラズマ処理チャンバ

Country Status (5)

Country Link
US (8) US9741546B2 (ja)
JP (10) JP6308716B2 (ja)
KR (11) KR101361757B1 (ja)
CN (6) CN103050363B (ja)
TW (12) TWI666975B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013084602A (ja) * 2011-10-05 2013-05-09 Applied Materials Inc 対称プラズマ処理チャンバ

Families Citing this family (312)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI502617B (zh) * 2010-07-21 2015-10-01 應用材料股份有限公司 用於調整電偏斜的方法、電漿處理裝置與襯管組件
KR20120043636A (ko) * 2010-10-26 2012-05-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 플라즈마 처리 장치 및 플라즈마 cvd 장치
JP5837178B2 (ja) * 2011-03-22 2015-12-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 化学気相堆積チャンバ用のライナアセンブリ
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10586686B2 (en) * 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
WO2013078420A2 (en) * 2011-11-24 2013-05-30 Lam Research Corporation Symmetric rf return path liner
US9082590B2 (en) 2012-07-20 2015-07-14 Applied Materials, Inc. Symmetrical inductively coupled plasma source with side RF feeds and RF distribution plates
US10170279B2 (en) 2012-07-20 2019-01-01 Applied Materials, Inc. Multiple coil inductively coupled plasma source with offset frequencies and double-walled shielding
US9449794B2 (en) 2012-07-20 2016-09-20 Applied Materials, Inc. Symmetrical inductively coupled plasma source with side RF feeds and spiral coil antenna
US9879684B2 (en) 2012-09-13 2018-01-30 Kla-Tencor Corporation Apparatus and method for shielding a controlled pressure environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN107221487B (zh) 2013-03-15 2019-06-28 应用材料公司 具有高度对称四重式气体注入的等离子体反应器
JP6457498B2 (ja) * 2013-05-23 2019-01-23 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体処理チャンバ用の被覆されたライナーアセンブリ
US9837250B2 (en) * 2013-08-30 2017-12-05 Applied Materials, Inc. Hot wall reactor with cooled vacuum containment
KR101518398B1 (ko) * 2013-12-06 2015-05-08 참엔지니어링(주) 기판 처리 장치
CN104752131B (zh) * 2013-12-25 2017-07-21 北京北方微电子基地设备工艺研究中心有限责任公司 上电极组件进气装置及上电极组件
JP6581602B2 (ja) 2014-02-06 2019-09-25 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 改善されたフローコンダクタンス及び均一性のため軸対称性を可能にするインラインdpsチャンバハードウェア設計
CN104862667B (zh) * 2014-02-26 2017-04-19 甘志银 对称的气相沉积设备的反应腔体
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN112366128B (zh) * 2014-04-09 2024-03-08 应用材料公司 用于在处理腔室中提供对称的流动路径的流动模块
US20150371889A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. Methods for shallow trench isolation formation in a silicon germanium layer
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
KR102262109B1 (ko) * 2014-08-01 2021-06-10 세메스 주식회사 플라즈마 발생 장치, 그를 포함하는 기판 처리 장치, 및 기판 처리 균일도 조절 방법
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6373160B2 (ja) * 2014-10-15 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
KR102438139B1 (ko) * 2014-12-22 2022-08-29 어플라이드 머티어리얼스, 인코포레이티드 높은 처리량의 프로세싱 챔버를 위한 프로세스 키트
US11333246B2 (en) * 2015-01-26 2022-05-17 Applied Materials, Inc. Chamber body design architecture for next generation advanced plasma technology
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10049862B2 (en) * 2015-04-17 2018-08-14 Lam Research Corporation Chamber with vertical support stem for symmetric conductance and RF delivery
KR101682155B1 (ko) * 2015-04-20 2016-12-02 주식회사 유진테크 기판 처리 장치
KR101792941B1 (ko) * 2015-04-30 2017-11-02 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드, 상하이 화학기상증착장치 및 그 세정방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11004661B2 (en) 2015-09-04 2021-05-11 Applied Materials, Inc. Process chamber for cyclic and selective material removal and etching
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6607795B2 (ja) * 2016-01-25 2019-11-20 東京エレクトロン株式会社 基板処理装置
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
KR102206515B1 (ko) * 2016-03-25 2021-01-22 어플라이드 머티어리얼스, 인코포레이티드 고온 프로세싱을 위한 챔버 라이너
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR102385717B1 (ko) * 2016-06-15 2022-04-12 에바텍 아크티엔게젤샤프트 진공 처리 챔버 및 진공 처리된 플레이트형 기판의 제조방법
CN109075059B (zh) 2016-06-15 2023-12-01 应用材料公司 用于高功率等离子体蚀刻处理的气体分配板组件
KR101680850B1 (ko) * 2016-06-28 2016-11-29 주식회사 기가레인 배기유로의 크기가 조절되는 플라즈마 처리 장치
US9958782B2 (en) * 2016-06-29 2018-05-01 Applied Materials, Inc. Apparatus for post exposure bake
US20180005851A1 (en) * 2016-07-01 2018-01-04 Lam Research Corporation Chamber filler kit for dielectric etch chamber
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
CN109643630A (zh) * 2016-08-26 2019-04-16 应用材料公司 用于等离子体处理腔室的等离子体屏
JP6738485B2 (ja) * 2016-08-26 2020-08-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 低圧リフトピンキャビティハードウェア
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102587615B1 (ko) * 2016-12-21 2023-10-11 삼성전자주식회사 플라즈마 처리 장치의 온도 조절기 및 이를 포함하는 플라즈마 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN108257840B (zh) * 2016-12-29 2021-03-30 中微半导体设备(上海)股份有限公司 一种等离子处理装置
CN106783500A (zh) * 2017-01-03 2017-05-31 京东方科技集团股份有限公司 镀膜设备
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10559451B2 (en) * 2017-02-15 2020-02-11 Applied Materials, Inc. Apparatus with concentric pumping for multiple pressure regimes
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) * 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10636629B2 (en) 2017-10-05 2020-04-28 Applied Materials, Inc. Split slit liner door
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
CN108346554A (zh) * 2018-04-24 2018-07-31 西南林业大学 一种等离子体刻蚀与沉积设备及方法
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
JP7301075B2 (ja) * 2018-06-14 2023-06-30 エムケーエス インストゥルメンツ,インコーポレイテッド リモートプラズマ源用のラジカル出力モニタ及びその使用方法
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP6921796B2 (ja) 2018-09-28 2021-08-18 芝浦メカトロニクス株式会社 プラズマ処理装置
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
JP7465265B2 (ja) * 2018-11-21 2024-04-10 アプライド マテリアルズ インコーポレイテッド 位相制御を使用してプラズマ分布を調整するためのデバイス及び方法
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
CN111383896B (zh) * 2018-12-29 2023-10-13 北京北方华创微电子装备有限公司 内衬及反应腔室
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
WO2020154310A1 (en) 2019-01-22 2020-07-30 Applied Materials, Inc. Feedback loop for controlling a pulsed voltage waveform
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
WO2020205203A1 (en) * 2019-04-05 2020-10-08 Applied Materials, Inc. Process system with variable flow valve
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
KR20210153155A (ko) * 2019-05-13 2021-12-16 어플라이드 머티어리얼스, 인코포레이티드 금속 오염을 감소시키기 위한 티타늄 라이너
JP7329960B2 (ja) * 2019-05-14 2023-08-21 東京エレクトロン株式会社 載置台およびプラズマ処理装置
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
JP7374016B2 (ja) * 2019-06-18 2023-11-06 東京エレクトロン株式会社 基板処理装置
KR20200145977A (ko) 2019-06-21 2020-12-31 삼성전자주식회사 플라즈마 처리 장치 및 이를 이용한 반도체 소자 제조 방법
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
KR102239116B1 (ko) * 2019-08-07 2021-04-09 세메스 주식회사 기판처리장치
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
CN112447579B (zh) * 2019-09-04 2023-10-31 中微半导体设备(上海)股份有限公司 一种等离子体处理器、晶片顶升装置及其方法
CN110571119A (zh) * 2019-09-05 2019-12-13 合肥晞隆光电有限公司 离子源放电室导气结构以及离子源
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11564292B2 (en) 2019-09-27 2023-01-24 Applied Materials, Inc. Monolithic modular microwave source with integrated temperature control
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11236424B2 (en) 2019-11-01 2022-02-01 Applied Materials, Inc. Process kit for improving edge film thickness uniformity on a substrate
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
CN113035680A (zh) * 2019-12-24 2021-06-25 中微半导体设备(上海)股份有限公司 用于真空设备的调平机构和等离子体处理装置
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11415538B2 (en) 2020-03-06 2022-08-16 Applied Materials, Inc. Capacitive sensor housing for chamber condition monitoring
US11545346B2 (en) 2020-03-06 2023-01-03 Applied Materials, Inc. Capacitive sensing data integration for plasma chamber condition monitoring
US11581206B2 (en) 2020-03-06 2023-02-14 Applied Materials, Inc. Capacitive sensor for chamber condition monitoring
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
US20210343508A1 (en) * 2020-04-30 2021-11-04 Applied Materials, Inc. Metal oxide preclean chamber with improved selectivity and flow conductance
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202203319A (zh) * 2020-06-24 2022-01-16 日商東京威力科創股份有限公司 基板處理裝置
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
JP2022079159A (ja) * 2020-11-16 2022-05-26 東京エレクトロン株式会社 基板処理方法および基板処理装置
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11499223B2 (en) 2020-12-10 2022-11-15 Applied Materials, Inc. Continuous liner for use in a processing chamber
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11881375B2 (en) 2021-04-15 2024-01-23 Applied Materials, Inc. Common substrate and shadow ring lift apparatus
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
JP7308330B2 (ja) * 2021-05-10 2023-07-13 ピコサン オーワイ 基板処理装置及び方法
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
DE102022102768A1 (de) * 2022-02-07 2023-08-10 Stephan Wege Symmetrischer Prozessreaktor
JP7245378B1 (ja) 2022-03-23 2023-03-23 Sppテクノロジーズ株式会社 基板処理装置
JP7092959B1 (ja) * 2022-03-23 2022-06-28 Sppテクノロジーズ株式会社 基板処理装置
KR102627141B1 (ko) * 2023-07-20 2024-01-23 (주)효진이앤하이 플라즈마 가스 변환 시스템

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5841658B2 (ja) * 1979-06-15 1983-09-13 パイオニア株式会社 ドライエッチング装置
JPH0383334A (ja) * 1989-08-28 1991-04-09 Tokyo Electron Ltd プラズマ発生装置及びプラズマ処理装置
JPH0644138U (ja) * 1992-11-10 1994-06-10 株式会社島津製作所 プラズマcvd装置
JPH06295866A (ja) * 1993-04-08 1994-10-21 Canon Inc プラズマ反応装置
JP2001093884A (ja) * 1999-09-22 2001-04-06 Tokyo Electron Ltd プラズマ処理装置およびプラズマ処理方法
JP2002016044A (ja) * 2000-06-28 2002-01-18 Matsushita Electric Ind Co Ltd 真空処理装置及び方法
WO2005111267A2 (en) * 2004-04-30 2005-11-24 Lam Research Corporation Gas distribution member supplying process gas and rf power for plasma processing
JP2006066855A (ja) * 2004-07-30 2006-03-09 Tokyo Electron Ltd プラズマエッチング装置
JP2008227064A (ja) * 2007-03-12 2008-09-25 Tokyo Electron Ltd 基板処理装置及び電極構造体
JP2013084602A (ja) * 2011-10-05 2013-05-09 Applied Materials Inc 対称プラズマ処理チャンバ

Family Cites Families (159)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5841658A (ja) 1981-09-02 1983-03-10 Furukawa Electric Co Ltd:The 連続鋳造法
US4982067A (en) * 1988-11-04 1991-01-01 Marantz Daniel Richard Plasma generating apparatus and method
JPH02224231A (ja) * 1988-11-30 1990-09-06 Tokyo Electron Ltd プラズマ処理装置
JP2888253B2 (ja) * 1989-07-20 1999-05-10 富士通株式会社 化学気相成長法およびその実施のための装置
US6545420B1 (en) 1990-07-31 2003-04-08 Applied Materials, Inc. Plasma reactor using inductive RF coupling, and processes
US5698070A (en) * 1991-12-13 1997-12-16 Tokyo Electron Limited Method of etching film formed on semiconductor wafer
JP3142397B2 (ja) * 1992-09-29 2001-03-07 東京応化工業株式会社 プラズマ処理装置
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
JPH07249586A (ja) * 1993-12-22 1995-09-26 Tokyo Electron Ltd 処理装置及びその製造方法並びに被処理体の処理方法
JP3671379B2 (ja) 1994-02-03 2005-07-13 アネルバ株式会社 静電吸着された被処理基板の離脱機構を持つプラズマ処理装置および静電吸着された被処理基板の離脱方法
TW254030B (en) * 1994-03-18 1995-08-11 Anelva Corp Mechanic escape mechanism for substrate
JP3608121B2 (ja) * 1994-03-18 2005-01-05 アネルバ株式会社 基板の機械的脱離機構およびその機構を用いた脱離方法
US5900103A (en) 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5641375A (en) * 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
JP3121524B2 (ja) * 1995-06-07 2001-01-09 東京エレクトロン株式会社 エッチング装置
JP3192370B2 (ja) * 1995-06-08 2001-07-23 東京エレクトロン株式会社 プラズマ処理装置
TW286414B (en) 1995-07-10 1996-09-21 Watkins Johnson Co Electrostatic chuck assembly
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5820723A (en) * 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US6432203B1 (en) 1997-03-17 2002-08-13 Applied Komatsu Technology, Inc. Heated and cooled vacuum chamber shield
US6083344A (en) 1997-05-29 2000-07-04 Applied Materials, Inc. Multi-zone RF inductively coupled source configuration
US6189483B1 (en) 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
JP3501930B2 (ja) * 1997-12-01 2004-03-02 株式会社ルネサステクノロジ プラズマ処理方法
US6073577A (en) * 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6192827B1 (en) * 1998-07-03 2001-02-27 Applied Materials, Inc. Double slit-valve doors for plasma processing
US6231716B1 (en) * 1998-11-09 2001-05-15 Applied Materials, Inc. Processing chamber with rapid wafer exchange
JP2000286242A (ja) 1999-03-31 2000-10-13 Tokyo Electron Ltd プラズマ処理装置
US20040149214A1 (en) * 1999-06-02 2004-08-05 Tokyo Electron Limited Vacuum processing apparatus
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP2001023955A (ja) * 1999-07-07 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
US6406545B2 (en) * 1999-07-27 2002-06-18 Kabushiki Kaisha Toshiba Semiconductor workpiece processing apparatus and method
JP2001077088A (ja) * 1999-09-02 2001-03-23 Tokyo Electron Ltd プラズマ処理装置
JP4592856B2 (ja) 1999-12-24 2010-12-08 東京エレクトロン株式会社 バッフル板及びガス処理装置
JP4437351B2 (ja) * 2000-01-14 2010-03-24 キヤノンアネルバ株式会社 プラズマエッチング装置
US6517634B2 (en) 2000-02-28 2003-02-11 Applied Materials, Inc. Chemical vapor deposition chamber lid assembly
US6572708B2 (en) 2000-02-28 2003-06-03 Applied Materials Inc. Semiconductor wafer support lift-pin assembly
US7196283B2 (en) * 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
JP4454781B2 (ja) * 2000-04-18 2010-04-21 東京エレクトロン株式会社 プラズマ処理装置
JP4672113B2 (ja) * 2000-07-07 2011-04-20 東京エレクトロン株式会社 誘導結合プラズマ処理装置
US6403491B1 (en) * 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
JP4535356B2 (ja) * 2000-12-19 2010-09-01 東京エレクトロン株式会社 プラズマ装置
AU2002227418A1 (en) 2001-01-22 2002-08-06 Tokyo Electron Limited Vertically translatable chuck assembly and method for a plasma reactor system
US6514870B2 (en) 2001-01-26 2003-02-04 Applied Materials, Inc. In situ wafer heat for reduced backside contamination
KR100443905B1 (ko) * 2001-03-23 2004-08-09 삼성전자주식회사 화학 기상 증착장치
JP2002286242A (ja) * 2001-03-27 2002-10-03 Matsushita Electric Ind Co Ltd 空気調和装置
JP2002343787A (ja) 2001-05-17 2002-11-29 Research Institute Of Innovative Technology For The Earth プラズマ処理装置およびそのクリーニング方法
JP2002367965A (ja) 2001-06-05 2002-12-20 Mitsubishi Electric Corp プラズマ処理装置およびプラズマ処理方法
US6527911B1 (en) * 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
TWI234417B (en) 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
KR100431660B1 (ko) * 2001-07-24 2004-05-17 삼성전자주식회사 반도체 장치의 제조를 위한 건식 식각 장치
JP2003056617A (ja) 2001-08-20 2003-02-26 Nissan Motor Co Ltd 衝撃エネルギ吸収構造部材
JP2003124287A (ja) * 2001-10-19 2003-04-25 Komatsu Electronic Metals Co Ltd エピタキシャルウェハ製造装置及びウェハ製造方法
JP2003163206A (ja) * 2001-11-28 2003-06-06 Tokyo Electron Ltd プラズマ処理装置、プラズマ処理方法及びマルチチャンバシステム
JP4030302B2 (ja) * 2001-12-18 2008-01-09 株式会社アルバック 真空処理装置
JP4389424B2 (ja) 2001-12-25 2009-12-24 東京エレクトロン株式会社 被処理体の搬送機構及び処理システム
JP4504684B2 (ja) * 2001-12-27 2010-07-14 東京エレクトロン株式会社 エッチング方法
WO2003060973A1 (fr) * 2002-01-10 2003-07-24 Tokyo Electron Limited Dispositif de traitement
US6664738B2 (en) 2002-02-27 2003-12-16 Hitachi, Ltd. Plasma processing apparatus
JP4127488B2 (ja) 2002-07-03 2008-07-30 東京エレクトロン株式会社 プラズマ処理装置
US20050139321A1 (en) 2002-07-03 2005-06-30 Tokyo Electron Limited Plasma processing apparatus
ITBO20020440A1 (it) * 2002-07-05 2004-01-05 Cefla Coop Unita per l ' acquisizione e la visualizzazione di immagini radiografiche dentali
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
JP4141234B2 (ja) 2002-11-13 2008-08-27 キヤノンアネルバ株式会社 プラズマ処理装置
JP4584565B2 (ja) 2002-11-26 2010-11-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US20040129221A1 (en) 2003-01-08 2004-07-08 Jozef Brcka Cooled deposition baffle in high density plasma semiconductor processing
JP4173389B2 (ja) * 2003-03-19 2008-10-29 東京エレクトロン株式会社 プラズマ処理装置
JP4079834B2 (ja) * 2003-06-04 2008-04-23 東京エレクトロン株式会社 プラズマ処理方法
TW200503903A (en) * 2003-07-18 2005-02-01 Ucb Sa Method of forming heat resistant raised print from radiation curable solid particulate compositions
TWM250219U (en) 2003-07-22 2004-11-11 Global Win Technology Co Ltd Fixing apparatus of water-cooling heat sink
US20050022736A1 (en) * 2003-07-29 2005-02-03 Lam Research Inc., A Delaware Corporation Method for balancing return currents in plasma processing apparatus
EP1667217A1 (en) * 2003-09-03 2006-06-07 Tokyo Electron Limited Gas treatment device and heat readiting method
JP4607517B2 (ja) * 2003-09-03 2011-01-05 東京エレクトロン株式会社 プラズマ処理装置
JP2005089823A (ja) * 2003-09-17 2005-04-07 Seiji Sagawa 成膜装置および成膜方法
JP4288127B2 (ja) * 2003-09-30 2009-07-01 パナソニック株式会社 プラズマ処理装置
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
CN100449708C (zh) * 2004-05-27 2009-01-07 东京毅力科创株式会社 基板处理装置
DE102004028784A1 (de) * 2004-06-16 2006-01-05 Behr Gmbh & Co. Kg Vorrichtung zur Luftzuführung in einen Innenraum eines Fahrzeuges
KR101247857B1 (ko) * 2004-06-21 2013-03-26 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
KR101063737B1 (ko) * 2004-07-09 2011-09-08 주성엔지니어링(주) 기판 제조장비의 샤워헤드
JP4770167B2 (ja) * 2004-12-16 2011-09-14 株式会社島津製作所 表面波励起プラズマcvd装置を用いた成膜方法
KR100661744B1 (ko) 2004-12-23 2006-12-27 주식회사 에이디피엔지니어링 플라즈마 처리장치
KR100572118B1 (ko) 2005-01-28 2006-04-18 주식회사 에이디피엔지니어링 플라즈마 처리장치
WO2006114976A1 (ja) * 2005-03-30 2006-11-02 Matsushita Electric Industrial Co., Ltd. プラズマドーピング方法及びプラズマ処理装置
US7541826B2 (en) * 2005-05-13 2009-06-02 Kla-Tencor Corporation Compliant pad wafer chuck
KR20060127599A (ko) * 2005-06-08 2006-12-13 삼성전자주식회사 기판처리장치
US7621285B2 (en) * 2005-09-15 2009-11-24 Steris Inc. Tunnel washer system with improved cleaning efficiency
DE102005046463A1 (de) * 2005-09-22 2007-04-05 Infineon Technologies Ag Plasmabearbeitungsgerät
JP4665795B2 (ja) * 2006-02-23 2011-04-06 セイコーエプソン株式会社 電気光学装置の製造方法
US20090065486A1 (en) 2006-02-28 2009-03-12 Tokyo Electron Limited Plasma treatment apparatus, and substrate heating mechanism to be used in the apparatus
JP4878188B2 (ja) 2006-03-20 2012-02-15 東京エレクトロン株式会社 基板処理装置、堆積物モニタ装置、及び堆積物モニタ方法
US7432467B2 (en) 2006-03-28 2008-10-07 Tokyo Electron Limited Plasma processing apparatus
JP5031252B2 (ja) 2006-03-30 2012-09-19 東京エレクトロン株式会社 プラズマ処理装置
US9506701B2 (en) * 2006-05-29 2016-11-29 Webasto Ag Cold and/or heat accumulator
JP4850592B2 (ja) * 2006-06-14 2012-01-11 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP2007335755A (ja) * 2006-06-16 2007-12-27 Matsushita Electric Ind Co Ltd 基板処理装置および基板処理方法
EP1879382B1 (en) * 2006-07-10 2017-09-06 Samsung Electronics Co., Ltd. Multi-screen display apparatus and method for digital broadcast receiver
US20080029032A1 (en) * 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
JP2008041969A (ja) * 2006-08-08 2008-02-21 Matsushita Electric Ind Co Ltd 基板の脱離方法
JP5073751B2 (ja) * 2006-10-10 2012-11-14 エーエスエム アメリカ インコーポレイテッド 前駆体送出システム
US20080178805A1 (en) * 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
KR101312292B1 (ko) 2006-12-11 2013-09-27 엘아이지에이디피 주식회사 플라즈마 처리장치의 기판 파손 방지장치 및 그 방법
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US7943007B2 (en) * 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
US8444926B2 (en) 2007-01-30 2013-05-21 Applied Materials, Inc. Processing chamber with heated chamber liner
JP5348848B2 (ja) 2007-03-28 2013-11-20 東京エレクトロン株式会社 プラズマ処理装置
JP4874870B2 (ja) * 2007-05-29 2012-02-15 東京エレクトロン株式会社 基板処理システム及び基板処理装置
TWI339860B (en) 2007-06-29 2011-04-01 Winbond Electronics Corp Method of manufacturing a semiconductor structure and method of manufacturing a shallow trench isolation structure
US8416773B2 (en) * 2007-07-11 2013-04-09 Hewlett-Packard Development Company, L.P. Packet monitoring
US8108883B2 (en) * 2007-07-16 2012-01-31 Apteryx, Inc. Methods of populating a third-party document with digital information content
US9184072B2 (en) * 2007-07-27 2015-11-10 Mattson Technology, Inc. Advanced multi-workpiece processing chamber
KR101437522B1 (ko) * 2007-09-05 2014-09-03 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 반응기 챔버에서 웨이퍼 에지 가스 주입부를 갖는캐소드 라이너
US20090071403A1 (en) 2007-09-19 2009-03-19 Soo Young Choi Pecvd process chamber with cooled backing plate
WO2009042137A2 (en) 2007-09-25 2009-04-02 Lam Research Corporation Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
JP2009088298A (ja) * 2007-09-29 2009-04-23 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
JP5329072B2 (ja) * 2007-12-03 2013-10-30 東京エレクトロン株式会社 処理容器およびプラズマ処理装置
US20090162262A1 (en) * 2007-12-19 2009-06-25 Applied Material, Inc. Plasma reactor gas distribution plate having path splitting manifold side-by-side with showerhead
US20090159213A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Plasma reactor gas distribution plate having a path splitting manifold immersed within a showerhead
WO2009078921A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Plasma reactor gas distribution plate with path splitting manifold
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
US20090188624A1 (en) 2008-01-25 2009-07-30 Applied Materials, Inc. Method and apparatus for enhancing flow uniformity in a process chamber
US20090188625A1 (en) * 2008-01-28 2009-07-30 Carducci James D Etching chamber having flow equalizer and lower liner
JP5222442B2 (ja) 2008-02-06 2013-06-26 東京エレクトロン株式会社 基板載置台、基板処理装置及び被処理基板の温度制御方法
SG188140A1 (en) * 2008-02-08 2013-03-28 Lam Res Corp Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal
JP5188849B2 (ja) * 2008-03-14 2013-04-24 Sppテクノロジーズ株式会社 プラズマ処理装置
JP5264238B2 (ja) 2008-03-25 2013-08-14 東京エレクトロン株式会社 プラズマ処理装置
US7987814B2 (en) * 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
JP2008226857A (ja) 2008-05-16 2008-09-25 Matsushita Electric Ind Co Ltd プラズマ処理方法及び装置
JP2010016343A (ja) * 2008-06-30 2010-01-21 Advanced Display Process Engineering Co Ltd ガス供給装置及びこれを用いた基板処理装置{Apparatusforsupplyinggasandapparatusforprocessingsubstrateusingthesame}
CN102105618B (zh) * 2008-07-31 2012-07-25 佳能安内华股份有限公司 等离子处理设备和电子器件制造方法
JP2011530833A (ja) * 2008-08-12 2011-12-22 アプライド マテリアルズ インコーポレイテッド 静電チャックアセンブリ
KR20100055618A (ko) * 2008-11-18 2010-05-27 주식회사 케이씨텍 샤워헤드 및 이를 구비하는 플라즈마 처리장치
CN101740340B (zh) * 2008-11-25 2011-12-21 北京北方微电子基地设备工艺研究中心有限责任公司 反应腔室及半导体加工设备
US8627783B2 (en) * 2008-12-19 2014-01-14 Lam Research Corporation Combined wafer area pressure control and plasma confinement assembly
JP5378416B2 (ja) * 2009-01-09 2013-12-25 株式会社アルバック プラズマ処理装置
US20100186674A1 (en) 2009-01-26 2010-07-29 E. I. Du Pont De Nemours And Company Methods and compositions for treating fertilized avian eggs
JP2010171286A (ja) * 2009-01-26 2010-08-05 Hitachi High-Technologies Corp プラズマ処理装置
US8313612B2 (en) * 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
US20100247804A1 (en) * 2009-03-24 2010-09-30 Applied Materials, Inc. Biasable cooling pedestal
JP5350043B2 (ja) * 2009-03-31 2013-11-27 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR101058832B1 (ko) 2009-05-11 2011-08-24 엘아이지에이디피 주식회사 플라즈마를 이용한 기판처리장치의 안테나 구조
US8360003B2 (en) * 2009-07-13 2013-01-29 Applied Materials, Inc. Plasma reactor with uniform process rate distribution by improved RF ground return path
DE102009035386B4 (de) * 2009-07-30 2011-12-15 Cochlear Ltd. Hörhilfeimplantat
JP5496568B2 (ja) * 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP5650935B2 (ja) * 2009-08-07 2015-01-07 東京エレクトロン株式会社 基板処理装置及び位置決め方法並びにフォーカスリング配置方法
CN102056395B (zh) 2009-10-27 2014-05-07 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
JP5551420B2 (ja) * 2009-12-04 2014-07-16 東京エレクトロン株式会社 基板処理装置及びその電極間距離の測定方法並びにプログラムを記憶する記憶媒体
JP2011146464A (ja) * 2010-01-13 2011-07-28 Panasonic Corp プラズマ処理装置
JP5554099B2 (ja) 2010-03-18 2014-07-23 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US20110226739A1 (en) * 2010-03-19 2011-09-22 Varian Semiconductor Equipment Associates, Inc. Process chamber liner with apertures for particle containment
JP5567392B2 (ja) * 2010-05-25 2014-08-06 東京エレクトロン株式会社 プラズマ処理装置
TWI502617B (zh) 2010-07-21 2015-10-01 應用材料股份有限公司 用於調整電偏斜的方法、電漿處理裝置與襯管組件
JP5723130B2 (ja) 2010-09-28 2015-05-27 東京エレクトロン株式会社 プラズマ処理装置
JP5781349B2 (ja) 2011-03-30 2015-09-24 東京エレクトロン株式会社 プラズマ処理装置
JP2012222719A (ja) 2011-04-13 2012-11-12 Nec Access Technica Ltd 中継装置及び電力制御方法
TWM520621U (zh) * 2015-01-29 2016-04-21 Hsueh-Ju Chen 瓦斯熱水器精密步進電機控制氣閥

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5841658B2 (ja) * 1979-06-15 1983-09-13 パイオニア株式会社 ドライエッチング装置
JPH0383334A (ja) * 1989-08-28 1991-04-09 Tokyo Electron Ltd プラズマ発生装置及びプラズマ処理装置
JPH0644138U (ja) * 1992-11-10 1994-06-10 株式会社島津製作所 プラズマcvd装置
JPH06295866A (ja) * 1993-04-08 1994-10-21 Canon Inc プラズマ反応装置
JP2001093884A (ja) * 1999-09-22 2001-04-06 Tokyo Electron Ltd プラズマ処理装置およびプラズマ処理方法
JP2002016044A (ja) * 2000-06-28 2002-01-18 Matsushita Electric Ind Co Ltd 真空処理装置及び方法
WO2005111267A2 (en) * 2004-04-30 2005-11-24 Lam Research Corporation Gas distribution member supplying process gas and rf power for plasma processing
JP2006066855A (ja) * 2004-07-30 2006-03-09 Tokyo Electron Ltd プラズマエッチング装置
JP2008227064A (ja) * 2007-03-12 2008-09-25 Tokyo Electron Ltd 基板処理装置及び電極構造体
JP2013084602A (ja) * 2011-10-05 2013-05-09 Applied Materials Inc 対称プラズマ処理チャンバ

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013084602A (ja) * 2011-10-05 2013-05-09 Applied Materials Inc 対称プラズマ処理チャンバ
US9741546B2 (en) 2011-10-05 2017-08-22 Applied Materials, Inc. Symmetric plasma process chamber
US10453656B2 (en) 2011-10-05 2019-10-22 Applied Materials, Inc. Symmetric plasma process chamber
US10535502B2 (en) 2011-10-05 2020-01-14 Applied Materials, Inc. Symmetric plasma process chamber
US10546728B2 (en) 2011-10-05 2020-01-28 Applied Materials, Inc. Symmetric plasma process chamber
US10580620B2 (en) 2011-10-05 2020-03-03 Applied Materials, Inc. Symmetric plasma process chamber
US10615006B2 (en) 2011-10-05 2020-04-07 Applied Materials, Inc. Symmetric plasma process chamber
US11315760B2 (en) 2011-10-05 2022-04-26 Applied Materials, Inc. Symmetric plasma process chamber

Also Published As

Publication number Publication date
US9741546B2 (en) 2017-08-22
TW201325323A (zh) 2013-06-16
TWI672981B (zh) 2019-09-21
US20160314937A1 (en) 2016-10-27
US10615006B2 (en) 2020-04-07
TWI661746B (zh) 2019-06-01
CN107516627A (zh) 2017-12-26
JP2023078317A (ja) 2023-06-06
TW201743663A (zh) 2017-12-16
US20130087286A1 (en) 2013-04-11
US10535502B2 (en) 2020-01-14
JP2017228531A (ja) 2017-12-28
JP6815302B2 (ja) 2021-01-20
KR102299994B1 (ko) 2021-09-08
KR102009784B1 (ko) 2019-08-12
KR20200120588A (ko) 2020-10-21
JP7250098B2 (ja) 2023-03-31
CN103094044B (zh) 2018-11-02
JP2022028829A (ja) 2022-02-16
CN103050363A (zh) 2013-04-17
KR20130050946A (ko) 2013-05-16
KR20130037195A (ko) 2013-04-15
CN103094045B (zh) 2018-01-26
US10453656B2 (en) 2019-10-22
TWI762170B (zh) 2022-04-21
KR101944895B1 (ko) 2019-02-01
KR101361757B1 (ko) 2014-02-12
US20200185192A1 (en) 2020-06-11
KR102039454B1 (ko) 2019-11-01
KR20220103078A (ko) 2022-07-21
TW202131764A (zh) 2021-08-16
JP2020098787A (ja) 2020-06-25
TW201316846A (zh) 2013-04-16
TW201937994A (zh) 2019-09-16
KR102166643B1 (ko) 2020-10-16
JP6346698B2 (ja) 2018-06-20
CN103050363B (zh) 2017-09-22
TWI830183B (zh) 2024-01-21
US11315760B2 (en) 2022-04-26
KR102009783B1 (ko) 2019-08-12
KR20130037196A (ko) 2013-04-15
TW201813453A (zh) 2018-04-01
TW202231135A (zh) 2022-08-01
TW201813454A (zh) 2018-04-01
CN103035469B (zh) 2017-10-27
KR20130037197A (ko) 2013-04-15
CN103050362A (zh) 2013-04-17
US10580620B2 (en) 2020-03-03
KR20210100056A (ko) 2021-08-13
CN103094044A (zh) 2013-05-08
JP6308716B2 (ja) 2018-04-11
KR20130037198A (ko) 2013-04-15
CN103050362B (zh) 2017-05-17
TW201325324A (zh) 2013-06-16
JP2013211269A (ja) 2013-10-10
CN103035469A (zh) 2013-04-10
TW201325325A (zh) 2013-06-16
TW201813455A (zh) 2018-04-01
CN103094045A (zh) 2013-05-08
US20170271129A1 (en) 2017-09-21
US10546728B2 (en) 2020-01-28
TWI659674B (zh) 2019-05-11
JP6168823B2 (ja) 2017-07-26
KR20190122626A (ko) 2019-10-30
JP2018037413A (ja) 2018-03-08
TWI594667B (zh) 2017-08-01
JP2013211268A (ja) 2013-10-10
JP6177567B2 (ja) 2017-08-09
JP7030144B2 (ja) 2022-03-04
US20160314936A1 (en) 2016-10-27
US20160314942A1 (en) 2016-10-27
US20160314940A1 (en) 2016-10-27
TWI646869B (zh) 2019-01-01
US20220254606A1 (en) 2022-08-11
JP6300451B2 (ja) 2018-03-28
KR101944894B1 (ko) 2019-02-01
JP2013084602A (ja) 2013-05-09
JP2013179054A (ja) 2013-09-09
KR102024584B1 (ko) 2019-09-24
KR102423749B1 (ko) 2022-07-22
TWI568319B (zh) 2017-01-21
KR20170102189A (ko) 2017-09-08
TWI666975B (zh) 2019-07-21
TW201325326A (zh) 2013-06-16
KR20130037168A (ko) 2013-04-15
TWI638587B (zh) 2018-10-11
CN107516627B (zh) 2020-04-24
TWI719473B (zh) 2021-02-21

Similar Documents

Publication Publication Date Title
JP6346698B2 (ja) 対称プラズマ処理チャンバ

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20151001

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20160713

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160726

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20161024

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20161226

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170123

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20170620

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20170712

R150 Certificate of patent or registration of utility model

Ref document number: 6177567

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250