CN103094044A - 对称等离子体处理室 - Google Patents

对称等离子体处理室 Download PDF

Info

Publication number
CN103094044A
CN103094044A CN2012105488328A CN201210548832A CN103094044A CN 103094044 A CN103094044 A CN 103094044A CN 2012105488328 A CN2012105488328 A CN 2012105488328A CN 201210548832 A CN201210548832 A CN 201210548832A CN 103094044 A CN103094044 A CN 103094044A
Authority
CN
China
Prior art keywords
processing
substrate supports
gas
chamber body
bottom electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2012105488328A
Other languages
English (en)
Other versions
CN103094044B (zh
Inventor
詹姆斯·D·卡达希
哈密迪·塔瓦索里
阿吉特·巴拉克利斯纳
陈智刚
安德鲁·源
道格拉斯·A·小布什伯格
卡尔蒂克·贾亚拉曼
沙希德·劳夫
肯尼思·S·柯林斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN103094044A publication Critical patent/CN103094044A/zh
Application granted granted Critical
Publication of CN103094044B publication Critical patent/CN103094044B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3323Problems associated with coating uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3344Problems associated with etching isotropy

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本发明提供一种对称等离子体处理室。本发明实施例提供允许极其对称的电、热和气体传导通过室的室设计。通过提供这种对称,形成在室内的等离子体自然地在设置在室的处理区域中的衬底的表面上具有改进的均匀性。这种改进的对称性以及其他室的附加情况(诸如提供操纵上下电极之间以及在气体入口和被处理的衬底之间的间隙的能力)相较于传统的系统允许对等离子体处理和均匀性更好的控制。

Description

对称等离子体处理室
本申请是基于申请日为2012年10月8日、申请号为201210391087.0、发明名称为“对称等离子体处理室”的发明专利申请的分案申请。
技术领域
本发明一般涉及用于制造其中等离子体被施加在电极之间的RF功率激发的衬底的等离子体处理设备。更具体地,本发明涉及为改进的等离子体均匀控制而提供电、气体流和热对称的等离子体处理室。
背景技术
诸如平板显示器和集成电路的电子装置通过一系列处理步骤来制造,其中,层沉积在衬底上,并且沉积的材料被蚀刻为期望的图案。处理步骤通常包括物理气相沉积(PVD)、化学气相沉积(CVD)、等离子增强CVD(PECVD)和其他等离子体处理。具体地,等离子体处理要求将处理气体混合物供应到真空处理室,并施加电或者电磁功率(RF功率)以将处理气体激发到等离子体状态。等离子体将气体混合物分解成执行期望的沉积或者蚀刻处理的离子颗粒。
等离子处理遇到的一个问题是与在处理过程中在衬底的表面上建立均匀的等离子体密度相关的困难,这会导致在衬底的中心和边缘区域之间不均匀的处理。建立均匀等离子体密度的困难的一个原因涉及由于物理处理室设计的不对称而造成的固有的电、气流和热差异(skew)。这种差异不仅造成固有地、方位角的、非均匀等离子体密度,而且还难以使用其他处理变量或者“旋钮”来控制中心到边缘的等离子体均匀性。
因而,存在对提高电、气流和热对称性以提高等离子体均匀控制的等离子体处理设备的需要。
发明内容
在本发明的一个实施例中,提供一种等离子体设备,包括盖组件和室体,其围成处理区域。衬底支撑组件设置在室体中。衬底支撑组件包括:设置在室体的中心区域中的支撑基座,中心区域与处理区域流体地密封;由支撑基座支撑的下电极;以及第一致动装置,其设置在中心区域内,并构造成将下电极竖直移动一距离。
在另一实施例中,用于等离子体处理设备的衬底支撑组件,包括:支撑基座;下电极,其耦合到支撑基座;以及第一致动装置,其耦合到支撑基座并被构造成将下电极竖直移动一距离。
在另一实施例中,等离子体设备包括:盖组件和室体,其围成处理区域。衬底支撑组件设置在室体中。衬底支撑组件包括:设置在室体的中心区域中的支撑基座,中心区域与处理区域流体地密封;由支撑基座支撑的下电极;以及第一致动装置,其设置在中心区域内,并构造成将下电极竖直移动一距离。排气组件与室体限定抽真空区域,其中,室体包括围绕衬底支撑组件的中心轴线对称设置并将处理区域与抽真空区域流体连接的多个通道。
附图说明
以本发明以上所述的特征能被详细理解的方式,通过参照实施例,对以上简要概括的本发明进行更具体地描述,实施例的一部分图示在附图中。然而,要注意,附图仅仅图示本发明的典型实施例,因而不能认为限制其范围,因为本发明允许其他等同的实施例。
图1是根据本发明的一个实施例的等离子体处理设备的示意横截面视图。
图2是图1的处理设备的上电极的示意顶视图。
图3A是设置在室体的上部内包围图1的处理设备的处理区域的上衬里组件的示意等距视图。
图3B是室体和上衬里组件的一部分的局部、横截面视图。
图4是沿着图1所示的线4-4所取的处理设备的示意视图。
图5是延伸通过图1的处理设备的进出管的布局的示意描述。
具体实施方式
如之前提及,传统的等离子体系统的问题是由于室的不对称而难以提供均匀等离子体密度。本发明的实施例通过提供允许极其对称的电、热和气流传导通过室的室设计而缓解此问题。通过提供这种在室内形成的对称、等离子体,已经提高了设置在室的处理区域中的衬底的表面上均匀性。此外,其他室的附加情况,诸如提供操纵上下电极之间以及气体入口和被处理的衬底之间的间隙的能力,与传统的系统相比提供能更好地控制等离子处理和均匀性的大的处理窗。
图1是根据本发明的一个实施例的等离子体处理设备100的示意横截面视图。等离子体处理设备100可以是等离子体蚀刻室、等离子体增强化学气相沉积室、物理气相沉积室、等离子体处理室、离子植入室或者其他适合的真空处理室。如图1所示,等离子体处理设备100一般包括室盖组件110、室体组件140和排气组件190,它们一起围成处理区域102和抽真空区域104。在实践中,处理气体引入到处理区域102中,并使用RF功率点燃成等离子体。衬底105定位在衬底支撑组件160上,并暴露到在处理区域102中产生的等离子体,以在衬底105上执行等离子体处理,诸如蚀刻、化学气相沉积、物理气相沉积、植入、等离子体退火、等离子体处理、除尘或者其他等离子体处理。通过排气组件190在处理区域102中维持真空,该排气组件190通过抽真空区域104从等离子体处理去除已经使用的处理气体和副产品。
盖组件110一般包括从室体组件140隔离并被室体组件140支撑的上电极(或者阳极)和包围上电极112的室盖114。图2是上电极112的示意顶视图。上电极112经由导电的气体入口管126而耦合到RF功率源103。导电的气体入口管126与室体组件140的中心轴线(CA)同轴,使得RF功率和处理气体对称设置。上电极112包括附接到传热板118的喷头板116。喷头板116、传热板118和气体入口管126都由诸如铝或者不锈钢的RF导电材料制成。
喷头板116具有中心歧管120和一个或者多个外部歧管122。一个或者多个外部歧管122包围中心歧管120。中心歧管120通过气体入口管126接收来自气体源106处理气体,并将接收到的处理气体通过多个气体通道121而分配到处理区域102的中心区域。一个或多个外部歧管122从气体源106接收处理气体,该气体可以是与在中心歧管120中接收到的气体相同或者不同的混合物。一个或多个外部歧管122然后将所接收到的处理气体通过多个气体通道123而分配到处理区域102的外部。歧管120、122具有足够的体积以用作增压室,使得均匀的压力提供到与各个歧管120、122相关的每个气体通道121。喷头板116的双歧管构造允许提高对气体输送到处理区域102中的控制。例如,提供到处理区域102的中心部分因而提供到位于其中的衬底105的中心部分的处理气体可以以与提供到处理区域102的外部因而衬底105的外部的处理气体不同的流速和/或压力引入。与传统的单歧管版本相反,多歧管喷头板116能够增强对处理结果的中心到边缘的控制。
参照图1和图2可见,来自气体源的处理气体通过入口管127输送到围绕入口管126共心地设置的环形歧管128。处理气体从环形歧管128通过多个气体管129输送到一个或多个外部歧管122。在一个实施例中,环形歧管128包括回归气体路径以确保气体从环形歧管128平均地流入气体管129中。环形歧管128和气体管129由诸如铝或者不锈钢的导电材料制造。因而,环形歧管128和气体管129可以影响RF电流的对称性,造成上电极112提供的电场的差异,潜在地造成处理区域102内等离子体均匀性的效果。
为了防止电场中的这种差异,气体管129绕竖直延伸通过处理设备100的中心轴线(CA)对称地定位。因而,气体管129以等角度(A)从中心定位的环形歧管128延伸,以输送处理气体通过冷却板118,并进入到外部歧管122中。例如,图2中所示的实施例描述了120度的角度间隔开的三个气体管129。在其他示例(未示出)中,可以使用更多或者更少的气体管129,只要它们围绕中心轴线(CA)对称地(即,彼此等角度(A)地)定位。通过采用环形歧管并围绕中心轴线(CA)对称地布置气体管129,上电极112的电气对称性相较于传统的系统显著地得到改善,从而在处理区域102中得到更均匀和一致的等离子体形成。附加地,气体管129的对称布置将气体以均匀环形阵列提供到外部歧管122中,由此在外部歧管122内提供方位角均匀压力分布,结果,提供通过外部歧管123到处理区域102中气体的方位角均匀的流动,由此,增强处理均匀性。
传热流体从流体源109通过流体入口管130输送到传热板118。流体循环通过设置在传热板118中的一个或者多个流体通道119,并经由流体出口管131返回到流体源109。适合的传热流体包括水、水基乙二醇混合物、全氟聚醚(例如,流体)、油基传热流体或者类似的流体。
流体入口管130和流体出口管131各由诸如适合的塑料材料的非导热材料制造。因而,管子自身不影响上电极112的电气对称。然而,配件132由诸如铝或者不锈钢的导热材料制造,因而可以影响上电极112的电气对称,因而造成差异效果。因而,导电塞133由与配件132相同的材料制造并具有相同尺寸和形状,并如图2所示围绕中心轴线(CA)对称地设置,使得塞子133和配件132一起限定以室体组件140的中心轴线(CA)为中心的环形阵列。导电塞133的添加提高了上电极112的电气对称,造成在处理区域102中比传统的系统更均匀和一致的等离子体形成。
回来参照图1,室体组件140包括由对处理环境有耐性的导电材料(诸如铝或者不锈钢)制造的室体142。衬底支撑组件160设置在室体142的中心,并定位成在处理区域102中围绕中心轴线(CA)对称地支撑衬底105。
图3A是设置在室体142的上部内并包围处理区域102的上衬里组件144的示意等距视图。上衬里组件144可以由诸如铝、不锈钢和/或氧化钇(例如,涂覆氧化钇的铝)的导电、处理兼容的材料构造。在实践中,上衬里组件144遮蔽室体142的上部免受处理区域102中的等离子体,并可移除以允许周期性地清洁和维护。在一个实施例中,上衬里组件144的温度受到控制,诸如通过AC加热器(未示出),以增强室内的热对称和设置在处理区域102中的等离子体的对称。
参照图1和图3A,室体142包括对上衬里组件144的外凸缘145进行支撑的壁架143。上衬里组件144的内凸缘146支撑上电极112。绝缘体113定位在上衬里组件144和上电极112之间以提供室体组件140和上电极112之间的电气绝缘。
上衬里组件144包括附接到内外凸缘(146、145)的外壁147、底壁148和内壁149。外壁147和内壁149是大致竖直的圆柱形的壁。外壁147定位成对于室体142屏蔽处理区域102中的等离子体,并且内壁149定位成对于衬底支撑组件160的一侧至少部分地屏蔽处理区域102中的等离子体。底壁148除了在形成抽真空通道189的某些区域之外将外壁和内壁(149、147)结合起来,这些区域随后将在此处讨论。
回来参照图1,通过设置在室体142中的狭缝阀隧道141而进入处理区域102,狭缝阀隧道允许衬底105从衬底支撑组件160进入和移除。上衬里组件144具有贯穿设置的槽150,其与狭缝阀隧道141匹配以允许衬底105贯穿通过。室体组件140包括狭缝阀门组件151,其包括定位和构造成使得狭缝阀门153竖直延伸以密封狭缝阀隧道141和槽150并使得狭缝阀门153竖直收缩以允许通过狭缝阀隧道141和槽150进入的致动器152。狭缝阀门组件151及其部件在附图没有以阴影绘制,以使附图的杂乱最小。狭缝阀门153可以由与上衬里组件144的材料(例如,涂有氧化钇的铝)大致匹配的材料构成,以在衬里中提供增大的电气对称。在一个实施例中,狭缝阀门153的温度受到控制,诸如通过AC加热器(未示出),以与上衬里组件144的温度匹配,以在处理区域102中提供增大的热对称。
参照图3A,附加槽154与槽150的尺寸和形状大致匹配,并贯穿上衬里组件144设置。槽154贯穿上衬里组件144围绕中心轴线(CA)对称地设置。例如,如图3A所示,两个槽154以与槽150成120度的角度设置,使得槽150和槽154形成围绕中心轴线(CA)的环形阵列。槽154围绕上衬里组件144对称地设置,以补偿由于槽15的存在而引起的上衬里组件144中出现的电流密度和/或分布的变化。此外,槽150和154可以按照各个气管129定位,以在室中提供改善的电气对称。
图3B是室体142和上衬里组件144的一部分的局部横截面视图。可以设置背衬155,以附接和覆盖上衬里组件144的槽154。背衬155的尺寸、形状和构成材料可以确定为模仿狭缝阀门153。背衬155还与上衬里组件144导电接触,以维持与上衬里组件144的电气和热接触。因而,背衬155还提供围绕上衬里组件144的电以及热对称,以相较于传统的系统在处理区域102内实现更均匀等离子体密度。
图4是沿着图1所示的线4-4所取的处理设备100的示意图,且为了清楚而将衬底105移除。参照图1和图4,衬底支撑组件160对称设置在室体组件140的中心区域156内,并共用中心轴线(CA)。即,中心轴线(CA)竖直经过衬底支撑组件160的中心。衬底支撑组件160一般包括下电极161(或者阴极)和中空基座162,并被中心支撑构件157支撑,其中,中心轴线(CA)经过中空基座162的中心,中心支撑构件157设置在中心区域156中并被室体142支撑。中心轴线(CA)还经过中心支撑构件157的中心。下电极161通过随后要描述的匹配网络(未示出)和经过中空基座162的缆线(未示出)耦合到RF功率源103。当RF功率供应到上电极112和下电极161时,形成在之间的电场将处理区域102中存在的处理气体点燃成等离子体。
中心支撑构件157诸如通过紧固件和O环(未示出)而被密封到室体142,并且下电极161诸如通过波纹管158被密封到中心支撑构件157。因而,中心区域156被从处理区域102密封,并可以维持在大气压力下,同时处理区域102维持在真空的条件下。
致动组件163定位在中心区域156内,并附接到室体142和/或中心支撑构件157。注意,致动组件163在没有绘制阴影的情况下示出以使附图的杂乱最小。致动组件163包括致动器164(例如,电动机)、丝杠165和附接到基座162的螺母166。在实践中,致动器164使丝杠165旋转,丝杠165又使螺母166旋转因而基座162升高或者降低。由于下电极161被基座162支撑,致动组件163提供下电极161相对于室体142、中心支撑构件157和上电极112的竖直移动。因为下电极161在处理区域102内的这种竖直移动提供下电极161和上电极112之间可变的间隙,从而允许增大对之间形成的电场的控制,进而提供对在处理区域102中形成的等离子体的密度的更大的控制。此外,由于衬底105被下电极161支撑,衬底105和喷头板116之间的间隙还可以变化,造成对衬底105上的处理气体分布更大的控制。
还设置等离子体屏159,其由下电极161支撑,并与上衬里组件144的内壁149重叠,以保护衬底支撑组件160和波纹管158免受处理区域102中的等离子体。由于等离子体屏159耦合到基座162并相对于基座162竖直移动,等离子体屏159和上衬里组件144的内壁149之间的重叠足以允许基座162在等离子体屏159和上衬里组件144分离的情况下享有充分的移动范围,并允许基座162下方的区域暴露以暴露于处理气体。
衬底支撑组件160还包括升降销组件167以便于衬底105的装载和卸载。升降销组件167包括附接到升降销板169的升降销168。升降销板169设置在下电极161内的开口170内,并且升降销168延伸通过设置在开口170和处理区域102之间的升降销孔171。升降销板169耦合到丝杠172,丝杠172延伸通过下电极161中的开口173,并进入到中空基座162中。致动器195(例如,电动机)可以定位在基座162上。注意,致动器195在没有绘制阴影的情况下示出以使附图杂乱最小化。致动器195使螺母旋转,从而使丝杠172前进或者后退。丝杠172耦合到升降销板169。因而,随着致动器195使丝杠172升高或者降低升降销板169,升降销168延长或者收缩。因而,不管下电极161的竖直定位如何,致动器195都允许升降销168延长或者收缩。通过提供这样的升降销169的分开致动,能与下电极161的竖直定位分开地改变衬底105的竖直定位,从而允许在衬底105的装载和卸载过程中以及在衬底105的处理过程中对定位的更大的控制,例如通过在处理过程中升降衬底以允许背侧气体从衬底的下方逃逸。
衬底支撑组件160还包括将开口170与排气区域104耦合的通气管路174。通气管路174沿着中心行进通过中空的基座162,并通过多个进出管(access tube)180中的一者而离开室体142,如随后所述,进出管180以轮辐的图案围绕中心轴线(CA)对称地布置。通气管路174为开口170的抽空而设置,以去除会经由升降销孔171而泄露到开口170中的任何处理气体。此外,开口170的抽空还有助于去除会存在于衬底105的背侧的任何处理气体,该衬底105设置在下电极161或者升降销168上。
衬底支撑组件160还可以包括贯穿设置并经由气体供应管路178而耦合到惰性气体供应177的气体端口176。气体供应177将诸如氦的惰性气体通过气体供应管路178和气体端176而供应到衬底105的背侧,以帮助阻止处理气体处理衬底105的背侧。气体供应管路178还通过中空基座162行进,并通过多个进出管180中的一者而离开室体142。
衬底支撑组件160还可以包括从热交换流体源198通过下电极161中的一个或者多个热交换通道(未示出)而行进的一个或者多个流体入口管路179和流体出口管路181,以在处理过程中提供对下电极161的温度控制。流体入口管路178和流体出口管路181从下电极161行进通过中空基座162,并通过多个进出管180中的一者而离开室体142。
在一个实施例中,衬底支撑组件160还可以包括设置在下电极161中的一个或者多个温度传感器182,以便于下电极161的温度控制。
在一个实施例中,下电极161是静电吸盘,因而包括设置在其中的一个或者多个电极(未示出)。在处理过程中,电压源(未示出)相对于衬底105而对该一个或者多个电极加偏压,以形成吸引力以将衬底105保持就位。将一个或者多个电极耦合到电压源的缆线行进通过中空基座162,并通过多个进出管180中的一者而离开室体142。
图5是室体组件140的轮辐191内的进出管180的布局的示意描述。参照图1和图5,轮辐191和进出管180以所示的轮辐图案围绕处理设备100的中心轴线(CA)对称布置。在所示的实施例中,三个相同的进出管180设置成穿过室体142进入中心区域156中以便于将多个管道和缆线从室体142的外部供应到下电极161。为了便于下电极162的竖直移动,通过每个进出管180的开口183大致等于下电极161的竖直行程。例如,在一个构造中,下电极162可竖直移动约7.2英寸的距离。在此情况下,每个进出管180中开口183的高度也为约7.2英寸。保持这些距离大致相等有助于使得所要求的缆线的长度最小,并防止在下电极161的竖直移动过程中缆线的缠绕和磨损。此外,轮辐191的宽度(W)最小化,使得提供高的纵横比(高度:宽度),使得用于抽真空通道189的敞开面积得到提高,同时还允许足够的空间供使用(例如,气体、配线)。这种构造降低排气气体的流动阻力,导致由于泵送和更小成本的泵而降低能耗。
为了进一步便于缆线行进到下电极161,缆线的行进路线在多个进出管180之间划分。例如,流体管路(179、181)、气体供应管路178和真空管174可以都设置成通过进出管180a;用于温度传感器184的缆线和其他电缆(例如,到致动器164、195)可以设置成通过进出管180b;并且RF电压馈送和其他电极(例如,到用于卡夹功能的电极)可以设置成通过进出管180c。因而,从室体142的外部到下电极162的电缆的数目和体积在进出管180之间划分,以使进出管180的尺寸最小化,同时提供足够的间隙以便于下电极161的移动。
进出管180可以由诸如铝或者不锈钢的材料构成。进出管180的对称轮辐布置设计成进一步便于处理设备100的电气和热对称。在一个实施例中,进出管180以120度间隔开定位,并且每个进出管180与各个气体管129对齐。进出管180的对称布置还在室体142中尤其是在处理区域102中提供电气和热对称,以在处理过程中允许在处理区域102中形成更加均匀的等离子体,并改善对衬底105的表面上等离子体密度的控制。
回来参照图1和图4,抽真空通道189围绕中心轴线(CA)对称地定位在上衬里组件144中。抽真空通道189允许将来自处理区域102的气体通过抽真空区域104并通过排气端口196而离开室体142而抽空。排气端口196围绕室体组件140的中心轴线(CA)定位在中心,使得气体均匀地抽吸经过抽真空通道189。抽空管路187可以分别定位在设置在室体142中的抽空管道188中每个抽真空通道189的下方,以在处理过程中保护室体142免受处理气体。抽空管路187可以由类似于如上所述的上衬里组件144的材料构造。
抽空管道188定位成远离处理区域102,使得基本上没有电气相互作用存在。然而,抽空管道188围绕中心轴线(CA)的对称定位在处理设备100内提供改进的热和气流对称。例如,抽空管道188围绕中心轴线(CA)并且因而处理区域的对称定位促进从处理区域102对称地去除气体,造成气体在衬底105上对称流动。此外,抽空管道188和抽空管路187的对称定位促进室中热分布的对称。因而,在处理设备100中抽空管道188的对称定位便于在处理区域102中形成均匀的等离子体,并允许对处理区域102中的等离子体密度和气体流动更大的控制。
排气组件190在室体142的底部与抽真空区域104相邻定位。排气组件可以包括耦合到真空泵194的节流阀192。节流阀192可以是提升阀,其与真空泵194结合使用,通过从处理区域102经过抽真空通道189并通过中心定位的排气端口196而从室对称地抽吸排气气体来控制处理区域102内的真空状况,并进一步提供对处理区域102中的等离子体状况的更大的控制。提升阀如图1所示提供均匀的360度间隙198,抽空气体通过该间隙被抽吸经过排气端口189。相反,传统的阻尼式节流阀提供了非均匀间隙供抽空气体流动。例如,当阻尼式阀打开时,阀的一侧吸出比阀的另一侧更多的气体。因而,提升节流阀相较于在等离子体处理室中传统使用的传统的阻尼式节流阀对差异的气体传导具有更小的影响。
再次,参照图1和图4,导电的倾斜网衬400定位在上衬里组件144的下部中。倾斜的网衬400可以由诸如铝、不锈钢和/或者氧化钇(例如,涂有氧化钇的铝)的导电的、处理兼容的材料构成。倾斜的网衬400可以具有底壁402和从底壁402以向外和向上的角度延伸的外壁404。外壁404可以具有多个贯穿形成的开孔410。开孔410可以围绕倾斜网衬400的中心轴线对称定位,以允许排气气体被贯穿地均匀抽吸,这便于在处理区域102中形成均匀的等离子体,并允许对处理区域102中等离子体密度和气体流动更大的控制。在一个实施例中,倾斜网衬400的中心轴线与室体组件140的中心轴线(CA)对齐。
网衬400的底壁402可以电耦合到上衬里组件144的底壁148和/或者内壁149。附加地,网衬400的外壁404可以电耦合到上衬里组件144的外壁147。当RF等离子体出现在处理区域102内时,寻找向接地的返回路径的RF电流可以沿着网衬400的表面行进到上衬里组件144的外壁147。因而,网衬400的环形对称构造提供到接地的对称RF返回,并绕过上衬里组件400的下部中的任何RF对称。
因而,本发明的实施例通过提供允许极其对称的电、热和气体传导通过室的室设计,解决了传统的等离子体系统中由于室的不对称而难以提供均匀等离子体密度的问题。通过提供这种对称,形成在室内的等离子体自然地在设置在室的处理区域中的衬底的表面上具有改进的均匀性。这种改进的对称性以及其他室的附加情况(诸如提供操纵上下电极之间以及在气体入口和被处理的衬底之间的间隙的能力)相较于传统的系统允许对等离子体处理和均匀性更好的控制。
尽管前述涉及本发明的实施例,但是本发明的其他和进一步的实施例可以在不脱离其基本范围的情况下进行设计,并且其范围由权利要求确定。

Claims (15)

1.一种等离子体处理设备,包括:
盖组件和室体,其围成处理区域;以及
衬底支撑组件,其设置在所述室体中,其中,所述衬底支撑组件包括:
设置在所述室体的中心区域中的支撑基座,所述中心区域与所述处理区域流体地密封;
由所述支撑基座支撑的下电极;以及
第一致动装置,其设置在所述中心区域内,并构造成将所述下电极竖直移动一距离。
2.根据权利要求1所述的的等离子体处理设备,其中,所述衬底支撑组件还包括布置在其中的多个升降销。
3.根据权利要求2所述的的等离子体处理设备,还包括第二致动装置,其设置在所述中心区域内,并构造成竖直移动所述多个升降销。
4.根据权利要求3所述的的等离子体处理设备,其中,所述多个升降销耦合到升降销板。
5.根据权利要求4所述的的等离子体处理设备,其中,所述第二致动装置包括:
丝杠,其耦合到所述升降销板;以及
致动器,其构造为使所述丝杠前进和后退。
6.根据权利要求1所述的的等离子体处理设备,还包括真空管,其流体耦合到布置在所述下电极内的一个或多个升降销孔。
7.根据权利要求6所述的的等离子体处理设备,还包括气体供应管路,其流体耦合到布置在所述下电极中的气体端口。
8.根据权利要求6所述的的等离子体处理设备,所述真空管流体耦合到所述室体的排气区域。
9.根据权利要求1所述的的等离子体处理设备,其中,所述盖组件包括:
上电极,其具有都具有导电配件的一个或多个流体入口和一个或多个流体出口;以及
多个导电塞,其中,所述导电配件和所述导电塞围绕所述衬底支撑组件的中心轴线对称布置。
10.根据权利要求1所述的的等离子体处理设备,其中,所述盖组件包括:
上电极,其具有构造成将处理气体分配到所述处理区域中的中心歧管和构造成将处理气体分配到所述处理区域中的一个或者多个外部歧管;以及
环形歧管,其经由多个气体管耦合到所述一个或者多个外部歧管,所述气体管围绕所述衬底支撑组件的中心轴线对称地布置。
11.一种用于等离子体处理设备的衬底支撑组件,包括:
支撑基座;
下电极,其耦合到所述支撑基座;以及
第一致动装置,其耦合到所述支撑基座并被构造成将所述下电极竖直移动一距离。
12.根据权利要求11所述的衬底支撑组件,其中,所述衬底支撑组件还包括耦合到升降销板的多个升降销。
13.根据权利要求12所述的衬底支撑组件,还包括第二致动装置,其耦合到所述升降销板并构造成竖直移动所述多个升降销。
14.一种等离子体处理设备,包括:
盖组件和室体,其围成处理区域;
衬底支撑组件,其设置在所述室体中,其中,所述衬底支撑组件包括:
设置在所述室体的中心区域中的支撑基座,所述中心区域与所述处理区域流体地密封;
由所述支撑基座支撑的下电极;以及
第一致动装置,其设置在所述中心区域内,并构造成将所述下电极竖直移动一距离;以及
排气组件,其与所述室体限定抽真空区域,其中,所述室体包括围绕所述衬底支撑组件的中心轴线对称设置并将所述处理区域与所述抽真空区域流体连接的多个通道。
15.根据权利要求14所述的等离子体处理设备,其中,所述室体具有围绕所述衬底支撑基座的中心轴线对称地贯穿形成的排气端口。
CN201210548832.8A 2011-10-05 2012-10-08 对称等离子体处理室 Active CN103094044B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161543565P 2011-10-05 2011-10-05
US61/543,565 2011-10-05
CN201210391087.0A CN103035469B (zh) 2011-10-05 2012-10-08 对称等离子体处理室

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201210391087.0A Division CN103035469B (zh) 2011-10-05 2012-10-08 对称等离子体处理室

Publications (2)

Publication Number Publication Date
CN103094044A true CN103094044A (zh) 2013-05-08
CN103094044B CN103094044B (zh) 2018-11-02

Family

ID=48022257

Family Applications (6)

Application Number Title Priority Date Filing Date
CN201210548948.1A Active CN103094045B (zh) 2011-10-05 2012-10-08 对称等离子体处理室
CN201210548832.8A Active CN103094044B (zh) 2011-10-05 2012-10-08 对称等离子体处理室
CN201210549657.4A Active CN103050363B (zh) 2011-10-05 2012-10-08 等离子体处理设备及其盖组件
CN201210391087.0A Active CN103035469B (zh) 2011-10-05 2012-10-08 对称等离子体处理室
CN201210549648.5A Active CN103050362B (zh) 2011-10-05 2012-10-08 等离子体处理设备及盖组件
CN201710702460.2A Active CN107516627B (zh) 2011-10-05 2012-10-08 对称等离子体处理室

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201210548948.1A Active CN103094045B (zh) 2011-10-05 2012-10-08 对称等离子体处理室

Family Applications After (4)

Application Number Title Priority Date Filing Date
CN201210549657.4A Active CN103050363B (zh) 2011-10-05 2012-10-08 等离子体处理设备及其盖组件
CN201210391087.0A Active CN103035469B (zh) 2011-10-05 2012-10-08 对称等离子体处理室
CN201210549648.5A Active CN103050362B (zh) 2011-10-05 2012-10-08 等离子体处理设备及盖组件
CN201710702460.2A Active CN107516627B (zh) 2011-10-05 2012-10-08 对称等离子体处理室

Country Status (5)

Country Link
US (8) US9741546B2 (zh)
JP (10) JP6308716B2 (zh)
KR (11) KR101361757B1 (zh)
CN (6) CN103094045B (zh)
TW (12) TWI762170B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108346554A (zh) * 2018-04-24 2018-07-31 西南林业大学 一种等离子体刻蚀与沉积设备及方法

Families Citing this family (317)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI502617B (zh) * 2010-07-21 2015-10-01 應用材料股份有限公司 用於調整電偏斜的方法、電漿處理裝置與襯管組件
KR20120043636A (ko) * 2010-10-26 2012-05-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 플라즈마 처리 장치 및 플라즈마 cvd 장치
WO2012128783A1 (en) * 2011-03-22 2012-09-27 Applied Materials, Inc. Liner assembly for chemical vapor deposition chamber
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI762170B (zh) 2011-10-05 2022-04-21 美商應用材料股份有限公司 包括對稱電漿處理腔室的電漿處理設備與用於此設備的蓋組件
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9953825B2 (en) * 2011-11-24 2018-04-24 Lam Research Corporation Symmetric RF return path liner
US9082590B2 (en) 2012-07-20 2015-07-14 Applied Materials, Inc. Symmetrical inductively coupled plasma source with side RF feeds and RF distribution plates
US10170279B2 (en) 2012-07-20 2019-01-01 Applied Materials, Inc. Multiple coil inductively coupled plasma source with offset frequencies and double-walled shielding
US9449794B2 (en) 2012-07-20 2016-09-20 Applied Materials, Inc. Symmetrical inductively coupled plasma source with side RF feeds and spiral coil antenna
US9879684B2 (en) 2012-09-13 2018-01-30 Kla-Tencor Corporation Apparatus and method for shielding a controlled pressure environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR102130061B1 (ko) 2013-03-15 2020-07-03 어플라이드 머티어리얼스, 인코포레이티드 매우 대칭적인 4-폴드 가스 주입부를 갖는 플라즈마 반응기
SG11201508512PA (en) * 2013-05-23 2015-12-30 Applied Materials Inc A coated liner assembly for a semiconductor processing chamber
US9837250B2 (en) * 2013-08-30 2017-12-05 Applied Materials, Inc. Hot wall reactor with cooled vacuum containment
KR101518398B1 (ko) * 2013-12-06 2015-05-08 참엔지니어링(주) 기판 처리 장치
CN104752131B (zh) * 2013-12-25 2017-07-21 北京北方微电子基地设备工艺研究中心有限责任公司 上电极组件进气装置及上电极组件
JP6581602B2 (ja) * 2014-02-06 2019-09-25 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 改善されたフローコンダクタンス及び均一性のため軸対称性を可能にするインラインdpsチャンバハードウェア設計
CN104862667B (zh) * 2014-02-26 2017-04-19 甘志银 对称的气相沉积设备的反应腔体
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6660936B2 (ja) * 2014-04-09 2020-03-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 改良されたフロー均一性/ガスコンダクタンスを備えた可変処理容積に対処するための対称チャンバ本体設計アーキテクチャ
US20150371889A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. Methods for shallow trench isolation formation in a silicon germanium layer
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
KR102262109B1 (ko) * 2014-08-01 2021-06-10 세메스 주식회사 플라즈마 발생 장치, 그를 포함하는 기판 처리 장치, 및 기판 처리 균일도 조절 방법
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6373160B2 (ja) * 2014-10-15 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
KR102438139B1 (ko) 2014-12-22 2022-08-29 어플라이드 머티어리얼스, 인코포레이티드 높은 처리량의 프로세싱 챔버를 위한 프로세스 키트
US11333246B2 (en) * 2015-01-26 2022-05-17 Applied Materials, Inc. Chamber body design architecture for next generation advanced plasma technology
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10049862B2 (en) * 2015-04-17 2018-08-14 Lam Research Corporation Chamber with vertical support stem for symmetric conductance and RF delivery
KR101682155B1 (ko) * 2015-04-20 2016-12-02 주식회사 유진테크 기판 처리 장치
KR101792941B1 (ko) * 2015-04-30 2017-11-02 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드, 상하이 화학기상증착장치 및 그 세정방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11004661B2 (en) * 2015-09-04 2021-05-11 Applied Materials, Inc. Process chamber for cyclic and selective material removal and etching
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6607795B2 (ja) * 2016-01-25 2019-11-20 東京エレクトロン株式会社 基板処理装置
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
KR102206515B1 (ko) * 2016-03-25 2021-01-22 어플라이드 머티어리얼스, 인코포레이티드 고온 프로세싱을 위한 챔버 라이너
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
EP3472852B1 (en) * 2016-06-15 2021-08-11 Evatec AG Vacuum treatment chamber and method of manufacturing a vacuum treated plate-shaped substrate
KR102251209B1 (ko) * 2016-06-15 2021-05-11 어플라이드 머티어리얼스, 인코포레이티드 고 전력 플라즈마 에칭 프로세스들을 위한 가스 분배 플레이트 조립체
KR101680850B1 (ko) * 2016-06-28 2016-11-29 주식회사 기가레인 배기유로의 크기가 조절되는 플라즈마 처리 장치
US9958782B2 (en) * 2016-06-29 2018-05-01 Applied Materials, Inc. Apparatus for post exposure bake
US20180005851A1 (en) * 2016-07-01 2018-01-04 Lam Research Corporation Chamber filler kit for dielectric etch chamber
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6738485B2 (ja) * 2016-08-26 2020-08-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 低圧リフトピンキャビティハードウェア
JP6994502B2 (ja) * 2016-08-26 2022-01-14 アプライド マテリアルズ インコーポレイテッド プラズマ処理チャンバ用プラズマスクリーン
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102587615B1 (ko) * 2016-12-21 2023-10-11 삼성전자주식회사 플라즈마 처리 장치의 온도 조절기 및 이를 포함하는 플라즈마 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN108257840B (zh) * 2016-12-29 2021-03-30 中微半导体设备(上海)股份有限公司 一种等离子处理装置
CN106783500A (zh) * 2017-01-03 2017-05-31 京东方科技集团股份有限公司 镀膜设备
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10559451B2 (en) * 2017-02-15 2020-02-11 Applied Materials, Inc. Apparatus with concentric pumping for multiple pressure regimes
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10636629B2 (en) * 2017-10-05 2020-04-28 Applied Materials, Inc. Split slit liner door
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
CN112335342B (zh) * 2018-06-14 2023-07-14 Mks仪器公司 用于远程等离子源的自由基输出监控器和使用方法
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP6921796B2 (ja) 2018-09-28 2021-08-18 芝浦メカトロニクス株式会社 プラズマ処理装置
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
JP7465265B2 (ja) * 2018-11-21 2024-04-10 アプライド マテリアルズ インコーポレイテッド 位相制御を使用してプラズマ分布を調整するためのデバイス及び方法
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN111383896B (zh) * 2018-12-29 2023-10-13 北京北方华创微电子装备有限公司 内衬及反应腔室
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20210135357A (ko) * 2019-04-05 2021-11-12 어플라이드 머티어리얼스, 인코포레이티드 가변 유동 밸브를 갖는 프로세스 시스템
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
WO2020231665A1 (en) * 2019-05-13 2020-11-19 Applied Materials, Inc. Titanium liner to reduce metal contamination
JP7329960B2 (ja) * 2019-05-14 2023-08-21 東京エレクトロン株式会社 載置台およびプラズマ処理装置
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
JP7374016B2 (ja) * 2019-06-18 2023-11-06 東京エレクトロン株式会社 基板処理装置
KR20200145977A (ko) 2019-06-21 2020-12-31 삼성전자주식회사 플라즈마 처리 장치 및 이를 이용한 반도체 소자 제조 방법
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
KR102239116B1 (ko) * 2019-08-07 2021-04-09 세메스 주식회사 기판처리장치
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
CN112447579B (zh) * 2019-09-04 2023-10-31 中微半导体设备(上海)股份有限公司 一种等离子体处理器、晶片顶升装置及其方法
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
CN110571119A (zh) * 2019-09-05 2019-12-13 合肥晞隆光电有限公司 离子源放电室导气结构以及离子源
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11564292B2 (en) * 2019-09-27 2023-01-24 Applied Materials, Inc. Monolithic modular microwave source with integrated temperature control
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11236424B2 (en) 2019-11-01 2022-02-01 Applied Materials, Inc. Process kit for improving edge film thickness uniformity on a substrate
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
CN113035680A (zh) * 2019-12-24 2021-06-25 中微半导体设备(上海)股份有限公司 用于真空设备的调平机构和等离子体处理装置
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11415538B2 (en) 2020-03-06 2022-08-16 Applied Materials, Inc. Capacitive sensor housing for chamber condition monitoring
US11545346B2 (en) 2020-03-06 2023-01-03 Applied Materials, Inc. Capacitive sensing data integration for plasma chamber condition monitoring
US11581206B2 (en) 2020-03-06 2023-02-14 Applied Materials, Inc. Capacitive sensor for chamber condition monitoring
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
US20210343508A1 (en) * 2020-04-30 2021-11-04 Applied Materials, Inc. Metal oxide preclean chamber with improved selectivity and flow conductance
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202203319A (zh) * 2020-06-24 2022-01-16 日商東京威力科創股份有限公司 基板處理裝置
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
JP2022079159A (ja) * 2020-11-16 2022-05-26 東京エレクトロン株式会社 基板処理方法および基板処理装置
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11499223B2 (en) 2020-12-10 2022-11-15 Applied Materials, Inc. Continuous liner for use in a processing chamber
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11881375B2 (en) 2021-04-15 2024-01-23 Applied Materials, Inc. Common substrate and shadow ring lift apparatus
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
JP7308330B2 (ja) * 2021-05-10 2023-07-13 ピコサン オーワイ 基板処理装置及び方法
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
DE102022102768A1 (de) * 2022-02-07 2023-08-10 Stephan Wege Symmetrischer Prozessreaktor
JP7245378B1 (ja) 2022-03-23 2023-03-23 Sppテクノロジーズ株式会社 基板処理装置
JP7092959B1 (ja) * 2022-03-23 2022-06-28 Sppテクノロジーズ株式会社 基板処理装置
KR102627141B1 (ko) * 2023-07-20 2024-01-23 (주)효진이앤하이 플라즈마 가스 변환 시스템

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050139321A1 (en) * 2002-07-03 2005-06-30 Tokyo Electron Limited Plasma processing apparatus
JP2008042197A (ja) * 2006-08-01 2008-02-21 Applied Materials Inc 耐プラズマ性のための保護層を有する基板サポート
CN101202212A (zh) * 2006-12-11 2008-06-18 爱德牌工程有限公司 基片损伤防止系统和方法
CN101504928A (zh) * 2008-02-06 2009-08-12 东京毅力科创株式会社 基板载置台、基板处理装置和被处理基板的温度控制方法
US20090250169A1 (en) * 2008-04-07 2009-10-08 Carducci James D Lower liner with integrated flow equalizer and improved conductance
TW200946236A (en) * 2007-12-19 2009-11-16 Applied Materials Inc Plasma reactor gas distribution plate with path splitting manifold
US20100186672A1 (en) * 2009-01-26 2010-07-29 Koji Okuda Plasma processing apparatus
US20100248490A1 (en) * 2009-03-24 2010-09-30 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking

Family Cites Families (161)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5841658B2 (ja) * 1979-06-15 1983-09-13 パイオニア株式会社 ドライエッチング装置
JPS5841658A (ja) 1981-09-02 1983-03-10 Furukawa Electric Co Ltd:The 連続鋳造法
US4982067A (en) * 1988-11-04 1991-01-01 Marantz Daniel Richard Plasma generating apparatus and method
JPH02224231A (ja) * 1988-11-30 1990-09-06 Tokyo Electron Ltd プラズマ処理装置
JP2888253B2 (ja) * 1989-07-20 1999-05-10 富士通株式会社 化学気相成長法およびその実施のための装置
JPH0383334A (ja) 1989-08-28 1991-04-09 Tokyo Electron Ltd プラズマ発生装置及びプラズマ処理装置
US6545420B1 (en) 1990-07-31 2003-04-08 Applied Materials, Inc. Plasma reactor using inductive RF coupling, and processes
US5698070A (en) * 1991-12-13 1997-12-16 Tokyo Electron Limited Method of etching film formed on semiconductor wafer
JP3142397B2 (ja) * 1992-09-29 2001-03-07 東京応化工業株式会社 プラズマ処理装置
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
JP2593282Y2 (ja) * 1992-11-10 1999-04-05 株式会社島津製作所 プラズマcvd装置
JPH06295866A (ja) 1993-04-08 1994-10-21 Canon Inc プラズマ反応装置
JPH07249586A (ja) * 1993-12-22 1995-09-26 Tokyo Electron Ltd 処理装置及びその製造方法並びに被処理体の処理方法
JP3671379B2 (ja) 1994-02-03 2005-07-13 アネルバ株式会社 静電吸着された被処理基板の離脱機構を持つプラズマ処理装置および静電吸着された被処理基板の離脱方法
JP3608121B2 (ja) * 1994-03-18 2005-01-05 アネルバ株式会社 基板の機械的脱離機構およびその機構を用いた脱離方法
TW254030B (en) * 1994-03-18 1995-08-11 Anelva Corp Mechanic escape mechanism for substrate
US5900103A (en) 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5641375A (en) * 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
JP3121524B2 (ja) * 1995-06-07 2001-01-09 東京エレクトロン株式会社 エッチング装置
JP3192370B2 (ja) * 1995-06-08 2001-07-23 東京エレクトロン株式会社 プラズマ処理装置
TW286414B (en) 1995-07-10 1996-09-21 Watkins Johnson Co Electrostatic chuck assembly
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5820723A (en) * 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US6432203B1 (en) 1997-03-17 2002-08-13 Applied Komatsu Technology, Inc. Heated and cooled vacuum chamber shield
US6189483B1 (en) 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US6083344A (en) 1997-05-29 2000-07-04 Applied Materials, Inc. Multi-zone RF inductively coupled source configuration
JP3501930B2 (ja) * 1997-12-01 2004-03-02 株式会社ルネサステクノロジ プラズマ処理方法
US6073577A (en) * 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6192827B1 (en) * 1998-07-03 2001-02-27 Applied Materials, Inc. Double slit-valve doors for plasma processing
US6231716B1 (en) * 1998-11-09 2001-05-15 Applied Materials, Inc. Processing chamber with rapid wafer exchange
JP2000286242A (ja) 1999-03-31 2000-10-13 Tokyo Electron Ltd プラズマ処理装置
US20040149214A1 (en) * 1999-06-02 2004-08-05 Tokyo Electron Limited Vacuum processing apparatus
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP2001023955A (ja) * 1999-07-07 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
US6406545B2 (en) * 1999-07-27 2002-06-18 Kabushiki Kaisha Toshiba Semiconductor workpiece processing apparatus and method
JP2001077088A (ja) * 1999-09-02 2001-03-23 Tokyo Electron Ltd プラズマ処理装置
JP4394778B2 (ja) 1999-09-22 2010-01-06 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP4592856B2 (ja) * 1999-12-24 2010-12-08 東京エレクトロン株式会社 バッフル板及びガス処理装置
JP4437351B2 (ja) * 2000-01-14 2010-03-24 キヤノンアネルバ株式会社 プラズマエッチング装置
US6572708B2 (en) 2000-02-28 2003-06-03 Applied Materials Inc. Semiconductor wafer support lift-pin assembly
US6517634B2 (en) 2000-02-28 2003-02-11 Applied Materials, Inc. Chemical vapor deposition chamber lid assembly
US7196283B2 (en) * 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
JP4454781B2 (ja) * 2000-04-18 2010-04-21 東京エレクトロン株式会社 プラズマ処理装置
JP4291499B2 (ja) 2000-06-28 2009-07-08 パナソニック株式会社 真空処理装置
JP4672113B2 (ja) * 2000-07-07 2011-04-20 東京エレクトロン株式会社 誘導結合プラズマ処理装置
US6403491B1 (en) * 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
JP4535356B2 (ja) * 2000-12-19 2010-09-01 東京エレクトロン株式会社 プラズマ装置
WO2002059933A2 (en) 2001-01-22 2002-08-01 Tokyo Electron Limited Vertically translatable chuck assembly and method for a plasma reactor system
US6514870B2 (en) 2001-01-26 2003-02-04 Applied Materials, Inc. In situ wafer heat for reduced backside contamination
KR100443905B1 (ko) * 2001-03-23 2004-08-09 삼성전자주식회사 화학 기상 증착장치
JP2002286242A (ja) * 2001-03-27 2002-10-03 Matsushita Electric Ind Co Ltd 空気調和装置
JP2002343787A (ja) 2001-05-17 2002-11-29 Research Institute Of Innovative Technology For The Earth プラズマ処理装置およびそのクリーニング方法
JP2002367965A (ja) 2001-06-05 2002-12-20 Mitsubishi Electric Corp プラズマ処理装置およびプラズマ処理方法
US6527911B1 (en) * 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
TWI234417B (en) 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
KR100431660B1 (ko) * 2001-07-24 2004-05-17 삼성전자주식회사 반도체 장치의 제조를 위한 건식 식각 장치
JP2003056617A (ja) 2001-08-20 2003-02-26 Nissan Motor Co Ltd 衝撃エネルギ吸収構造部材
JP2003124287A (ja) * 2001-10-19 2003-04-25 Komatsu Electronic Metals Co Ltd エピタキシャルウェハ製造装置及びウェハ製造方法
JP2003163206A (ja) * 2001-11-28 2003-06-06 Tokyo Electron Ltd プラズマ処理装置、プラズマ処理方法及びマルチチャンバシステム
JP4030302B2 (ja) * 2001-12-18 2008-01-09 株式会社アルバック 真空処理装置
JP4389424B2 (ja) 2001-12-25 2009-12-24 東京エレクトロン株式会社 被処理体の搬送機構及び処理システム
JP4504684B2 (ja) * 2001-12-27 2010-07-14 東京エレクトロン株式会社 エッチング方法
JP4574987B2 (ja) * 2002-01-10 2010-11-04 東京エレクトロン株式会社 処理装置
US6664738B2 (en) 2002-02-27 2003-12-16 Hitachi, Ltd. Plasma processing apparatus
JP4127488B2 (ja) 2002-07-03 2008-07-30 東京エレクトロン株式会社 プラズマ処理装置
ITBO20020440A1 (it) * 2002-07-05 2004-01-05 Cefla Coop Unita per l ' acquisizione e la visualizzazione di immagini radiografiche dentali
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
JP4141234B2 (ja) 2002-11-13 2008-08-27 キヤノンアネルバ株式会社 プラズマ処理装置
JP4584565B2 (ja) 2002-11-26 2010-11-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US20040129221A1 (en) 2003-01-08 2004-07-08 Jozef Brcka Cooled deposition baffle in high density plasma semiconductor processing
JP4173389B2 (ja) * 2003-03-19 2008-10-29 東京エレクトロン株式会社 プラズマ処理装置
JP4079834B2 (ja) * 2003-06-04 2008-04-23 東京エレクトロン株式会社 プラズマ処理方法
TW200503903A (en) * 2003-07-18 2005-02-01 Ucb Sa Method of forming heat resistant raised print from radiation curable solid particulate compositions
TWM250219U (en) 2003-07-22 2004-11-11 Global Win Technology Co Ltd Fixing apparatus of water-cooling heat sink
US20050022736A1 (en) * 2003-07-29 2005-02-03 Lam Research Inc., A Delaware Corporation Method for balancing return currents in plasma processing apparatus
KR100901892B1 (ko) * 2003-09-03 2009-06-10 도쿄엘렉트론가부시키가이샤 가스 처리 장치 및 처리 가스 토출 구조체
JP4607517B2 (ja) * 2003-09-03 2011-01-05 東京エレクトロン株式会社 プラズマ処理装置
JP2005089823A (ja) * 2003-09-17 2005-04-07 Seiji Sagawa 成膜装置および成膜方法
JP4288127B2 (ja) * 2003-09-30 2009-07-01 パナソニック株式会社 プラズマ処理装置
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US8317968B2 (en) * 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US20070221130A1 (en) * 2004-05-27 2007-09-27 Tokyo Electron Limited Substrate Processing Apparatus
DE102004028784A1 (de) * 2004-06-16 2006-01-05 Behr Gmbh & Co. Kg Vorrichtung zur Luftzuführung in einen Innenraum eines Fahrzeuges
KR101247857B1 (ko) * 2004-06-21 2013-03-26 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
KR101063737B1 (ko) * 2004-07-09 2011-09-08 주성엔지니어링(주) 기판 제조장비의 샤워헤드
JP4559202B2 (ja) * 2004-07-30 2010-10-06 東京エレクトロン株式会社 プラズマエッチング装置
JP4770167B2 (ja) * 2004-12-16 2011-09-14 株式会社島津製作所 表面波励起プラズマcvd装置を用いた成膜方法
KR100661744B1 (ko) 2004-12-23 2006-12-27 주식회사 에이디피엔지니어링 플라즈마 처리장치
KR100572118B1 (ko) 2005-01-28 2006-04-18 주식회사 에이디피엔지니어링 플라즈마 처리장치
JP4979576B2 (ja) * 2005-03-30 2012-07-18 パナソニック株式会社 プラズマドーピング方法及びプラズマ処理装置
US7541826B2 (en) * 2005-05-13 2009-06-02 Kla-Tencor Corporation Compliant pad wafer chuck
KR20060127599A (ko) * 2005-06-08 2006-12-13 삼성전자주식회사 기판처리장치
US7621285B2 (en) * 2005-09-15 2009-11-24 Steris Inc. Tunnel washer system with improved cleaning efficiency
DE102005046463A1 (de) * 2005-09-22 2007-04-05 Infineon Technologies Ag Plasmabearbeitungsgerät
JP4665795B2 (ja) * 2006-02-23 2011-04-06 セイコーエプソン株式会社 電気光学装置の製造方法
WO2007099957A1 (ja) 2006-02-28 2007-09-07 Tokyo Electron Limited プラズマ処理装置およびそれに用いる基板加熱機構
JP4878188B2 (ja) 2006-03-20 2012-02-15 東京エレクトロン株式会社 基板処理装置、堆積物モニタ装置、及び堆積物モニタ方法
US7432467B2 (en) 2006-03-28 2008-10-07 Tokyo Electron Limited Plasma processing apparatus
JP5031252B2 (ja) 2006-03-30 2012-09-19 東京エレクトロン株式会社 プラズマ処理装置
KR101089015B1 (ko) * 2006-05-29 2011-12-01 베바스토 아게 냉기 및/또는 열기 축적용 어큐뮬레이터
JP4850592B2 (ja) * 2006-06-14 2012-01-11 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP2007335755A (ja) * 2006-06-16 2007-12-27 Matsushita Electric Ind Co Ltd 基板処理装置および基板処理方法
EP1879382B1 (en) * 2006-07-10 2017-09-06 Samsung Electronics Co., Ltd. Multi-screen display apparatus and method for digital broadcast receiver
JP2008041969A (ja) * 2006-08-08 2008-02-21 Matsushita Electric Ind Co Ltd 基板の脱離方法
WO2008045972A2 (en) 2006-10-10 2008-04-17 Asm America, Inc. Precursor delivery system
US20080193673A1 (en) 2006-12-05 2008-08-14 Applied Materials, Inc. Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US7943007B2 (en) * 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
US8444926B2 (en) 2007-01-30 2013-05-21 Applied Materials, Inc. Processing chamber with heated chamber liner
JP4928991B2 (ja) * 2007-03-12 2012-05-09 東京エレクトロン株式会社 基板処理装置
JP5348848B2 (ja) 2007-03-28 2013-11-20 東京エレクトロン株式会社 プラズマ処理装置
JP4874870B2 (ja) 2007-05-29 2012-02-15 東京エレクトロン株式会社 基板処理システム及び基板処理装置
TWI339860B (en) 2007-06-29 2011-04-01 Winbond Electronics Corp Method of manufacturing a semiconductor structure and method of manufacturing a shallow trench isolation structure
US8416773B2 (en) * 2007-07-11 2013-04-09 Hewlett-Packard Development Company, L.P. Packet monitoring
US8108883B2 (en) * 2007-07-16 2012-01-31 Apteryx, Inc. Methods of populating a third-party document with digital information content
US9184072B2 (en) * 2007-07-27 2015-11-10 Mattson Technology, Inc. Advanced multi-workpiece processing chamber
KR101437522B1 (ko) * 2007-09-05 2014-09-03 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 반응기 챔버에서 웨이퍼 에지 가스 주입부를 갖는캐소드 라이너
US20090071403A1 (en) 2007-09-19 2009-03-19 Soo Young Choi Pecvd process chamber with cooled backing plate
US8313610B2 (en) 2007-09-25 2012-11-20 Lam Research Corporation Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
JP2009088298A (ja) * 2007-09-29 2009-04-23 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
JP5329072B2 (ja) * 2007-12-03 2013-10-30 東京エレクトロン株式会社 処理容器およびプラズマ処理装置
US20090162262A1 (en) * 2007-12-19 2009-06-25 Applied Material, Inc. Plasma reactor gas distribution plate having path splitting manifold side-by-side with showerhead
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
US20090159213A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Plasma reactor gas distribution plate having a path splitting manifold immersed within a showerhead
US20090188624A1 (en) * 2008-01-25 2009-07-30 Applied Materials, Inc. Method and apparatus for enhancing flow uniformity in a process chamber
US20090188625A1 (en) * 2008-01-28 2009-07-30 Carducci James D Etching chamber having flow equalizer and lower liner
KR101659095B1 (ko) * 2008-02-08 2016-09-22 램 리써치 코포레이션 측방향 벨로우 및 비접촉 입자 밀봉을 포함하는 조정가능한 갭이 용량적으로 커플링되는 rf 플라즈마 반응기
JP5188849B2 (ja) * 2008-03-14 2013-04-24 Sppテクノロジーズ株式会社 プラズマ処理装置
JP5264238B2 (ja) 2008-03-25 2013-08-14 東京エレクトロン株式会社 プラズマ処理装置
JP2008226857A (ja) 2008-05-16 2008-09-25 Matsushita Electric Ind Co Ltd プラズマ処理方法及び装置
JP2010016343A (ja) * 2008-06-30 2010-01-21 Advanced Display Process Engineering Co Ltd ガス供給装置及びこれを用いた基板処理装置{Apparatusforsupplyinggasandapparatusforprocessingsubstrateusingthesame}
KR101216790B1 (ko) * 2008-07-31 2012-12-28 캐논 아네르바 가부시키가이샤 플라즈마 처리 장치 및 전자 디바이스의 제조 방법
WO2010019430A2 (en) * 2008-08-12 2010-02-18 Applied Materials, Inc. Electrostatic chuck assembly
KR20100055618A (ko) * 2008-11-18 2010-05-27 주식회사 케이씨텍 샤워헤드 및 이를 구비하는 플라즈마 처리장치
CN101740340B (zh) * 2008-11-25 2011-12-21 北京北方微电子基地设备工艺研究中心有限责任公司 反应腔室及半导体加工设备
US8627783B2 (en) * 2008-12-19 2014-01-14 Lam Research Corporation Combined wafer area pressure control and plasma confinement assembly
TW201112886A (en) * 2009-01-09 2011-04-01 Ulvac Inc Plasma treatment apparatus
US20100186674A1 (en) 2009-01-26 2010-07-29 E. I. Du Pont De Nemours And Company Methods and compositions for treating fertilized avian eggs
US20100247804A1 (en) * 2009-03-24 2010-09-30 Applied Materials, Inc. Biasable cooling pedestal
JP5350043B2 (ja) * 2009-03-31 2013-11-27 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR101058832B1 (ko) 2009-05-11 2011-08-24 엘아이지에이디피 주식회사 플라즈마를 이용한 기판처리장치의 안테나 구조
US8360003B2 (en) * 2009-07-13 2013-01-29 Applied Materials, Inc. Plasma reactor with uniform process rate distribution by improved RF ground return path
DE102009035386B4 (de) * 2009-07-30 2011-12-15 Cochlear Ltd. Hörhilfeimplantat
JP5496568B2 (ja) * 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP5650935B2 (ja) 2009-08-07 2015-01-07 東京エレクトロン株式会社 基板処理装置及び位置決め方法並びにフォーカスリング配置方法
CN102056395B (zh) 2009-10-27 2014-05-07 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
JP5551420B2 (ja) * 2009-12-04 2014-07-16 東京エレクトロン株式会社 基板処理装置及びその電極間距離の測定方法並びにプログラムを記憶する記憶媒体
JP2011146464A (ja) * 2010-01-13 2011-07-28 Panasonic Corp プラズマ処理装置
JP5554099B2 (ja) 2010-03-18 2014-07-23 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US20110226739A1 (en) * 2010-03-19 2011-09-22 Varian Semiconductor Equipment Associates, Inc. Process chamber liner with apertures for particle containment
JP5567392B2 (ja) * 2010-05-25 2014-08-06 東京エレクトロン株式会社 プラズマ処理装置
TWI502617B (zh) 2010-07-21 2015-10-01 應用材料股份有限公司 用於調整電偏斜的方法、電漿處理裝置與襯管組件
JP5723130B2 (ja) 2010-09-28 2015-05-27 東京エレクトロン株式会社 プラズマ処理装置
JP5781349B2 (ja) 2011-03-30 2015-09-24 東京エレクトロン株式会社 プラズマ処理装置
JP2012222719A (ja) 2011-04-13 2012-11-12 Nec Access Technica Ltd 中継装置及び電力制御方法
TWI762170B (zh) 2011-10-05 2022-04-21 美商應用材料股份有限公司 包括對稱電漿處理腔室的電漿處理設備與用於此設備的蓋組件
TWM520621U (zh) * 2015-01-29 2016-04-21 Hsueh-Ju Chen 瓦斯熱水器精密步進電機控制氣閥

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050139321A1 (en) * 2002-07-03 2005-06-30 Tokyo Electron Limited Plasma processing apparatus
JP2008042197A (ja) * 2006-08-01 2008-02-21 Applied Materials Inc 耐プラズマ性のための保護層を有する基板サポート
CN101202212A (zh) * 2006-12-11 2008-06-18 爱德牌工程有限公司 基片损伤防止系统和方法
TW200946236A (en) * 2007-12-19 2009-11-16 Applied Materials Inc Plasma reactor gas distribution plate with path splitting manifold
CN101504928A (zh) * 2008-02-06 2009-08-12 东京毅力科创株式会社 基板载置台、基板处理装置和被处理基板的温度控制方法
US20090250169A1 (en) * 2008-04-07 2009-10-08 Carducci James D Lower liner with integrated flow equalizer and improved conductance
US20100186672A1 (en) * 2009-01-26 2010-07-29 Koji Okuda Plasma processing apparatus
US20100248490A1 (en) * 2009-03-24 2010-09-30 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108346554A (zh) * 2018-04-24 2018-07-31 西南林业大学 一种等离子体刻蚀与沉积设备及方法

Also Published As

Publication number Publication date
TWI672981B (zh) 2019-09-21
CN103050363B (zh) 2017-09-22
TWI661746B (zh) 2019-06-01
KR20130037197A (ko) 2013-04-15
TW201325323A (zh) 2013-06-16
JP2020098787A (ja) 2020-06-25
TWI594667B (zh) 2017-08-01
KR20130037196A (ko) 2013-04-15
TWI666975B (zh) 2019-07-21
KR102009784B1 (ko) 2019-08-12
CN103035469A (zh) 2013-04-10
US10535502B2 (en) 2020-01-14
US11315760B2 (en) 2022-04-26
KR102039454B1 (ko) 2019-11-01
JP6177567B2 (ja) 2017-08-09
JP6300451B2 (ja) 2018-03-28
CN103094045B (zh) 2018-01-26
CN103094044B (zh) 2018-11-02
KR102299994B1 (ko) 2021-09-08
JP2022028829A (ja) 2022-02-16
CN103050363A (zh) 2013-04-17
TW201325326A (zh) 2013-06-16
CN103035469B (zh) 2017-10-27
JP2023078317A (ja) 2023-06-06
US9741546B2 (en) 2017-08-22
CN107516627B (zh) 2020-04-24
TW201937994A (zh) 2019-09-16
KR20220103078A (ko) 2022-07-21
TWI830183B (zh) 2024-01-21
CN103050362A (zh) 2013-04-17
TWI719473B (zh) 2021-02-21
TW201325324A (zh) 2013-06-16
JP7250098B2 (ja) 2023-03-31
JP2013179055A (ja) 2013-09-09
KR20200120588A (ko) 2020-10-21
US20220254606A1 (en) 2022-08-11
CN103050362B (zh) 2017-05-17
JP6308716B2 (ja) 2018-04-11
JP6346698B2 (ja) 2018-06-20
TW202231135A (zh) 2022-08-01
TWI638587B (zh) 2018-10-11
TW201743663A (zh) 2017-12-16
CN107516627A (zh) 2017-12-26
JP2013084602A (ja) 2013-05-09
US10453656B2 (en) 2019-10-22
JP2013179054A (ja) 2013-09-09
JP2013211268A (ja) 2013-10-10
KR101944895B1 (ko) 2019-02-01
KR20130037195A (ko) 2013-04-15
US20200185192A1 (en) 2020-06-11
JP2013211269A (ja) 2013-10-10
US20160314942A1 (en) 2016-10-27
US10615006B2 (en) 2020-04-07
KR20190122626A (ko) 2019-10-30
KR102166643B1 (ko) 2020-10-16
KR102423749B1 (ko) 2022-07-22
JP7030144B2 (ja) 2022-03-04
TW202131764A (zh) 2021-08-16
TW201813454A (zh) 2018-04-01
JP6815302B2 (ja) 2021-01-20
US20160314937A1 (en) 2016-10-27
KR20130037168A (ko) 2013-04-15
US20160314940A1 (en) 2016-10-27
TW201813453A (zh) 2018-04-01
TW201325325A (zh) 2013-06-16
JP2018037413A (ja) 2018-03-08
KR102024584B1 (ko) 2019-09-24
US20130087286A1 (en) 2013-04-11
JP6168823B2 (ja) 2017-07-26
KR20170102189A (ko) 2017-09-08
TWI762170B (zh) 2022-04-21
US10546728B2 (en) 2020-01-28
KR101361757B1 (ko) 2014-02-12
KR102009783B1 (ko) 2019-08-12
TWI646869B (zh) 2019-01-01
KR20130037198A (ko) 2013-04-15
TW201316846A (zh) 2013-04-16
CN103094045A (zh) 2013-05-08
US20160314936A1 (en) 2016-10-27
US10580620B2 (en) 2020-03-03
TWI568319B (zh) 2017-01-21
TWI659674B (zh) 2019-05-11
KR20210100056A (ko) 2021-08-13
KR101944894B1 (ko) 2019-02-01
KR20130050946A (ko) 2013-05-16
TW201813455A (zh) 2018-04-01
JP2017228531A (ja) 2017-12-28
US20170271129A1 (en) 2017-09-21

Similar Documents

Publication Publication Date Title
CN103094044A (zh) 对称等离子体处理室

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant