CN106337169A - 薄膜沉积装置 - Google Patents

薄膜沉积装置 Download PDF

Info

Publication number
CN106337169A
CN106337169A CN201610523486.6A CN201610523486A CN106337169A CN 106337169 A CN106337169 A CN 106337169A CN 201610523486 A CN201610523486 A CN 201610523486A CN 106337169 A CN106337169 A CN 106337169A
Authority
CN
China
Prior art keywords
hole
shower nozzle
gas
nozzle component
flow controller
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201610523486.6A
Other languages
English (en)
Other versions
CN106337169B (zh
Inventor
张显秀
金大渊
李政镐
金永勋
李承燮
金友燦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Publication of CN106337169A publication Critical patent/CN106337169A/zh
Application granted granted Critical
Publication of CN106337169B publication Critical patent/CN106337169B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)

Abstract

一种反应室包括:反应器壁;接触所述反应器壁以界定反应空间的基座;和在所述反应器壁与所述基座之间堆叠的气流控制装置和喷头构件。喷头构件包括气体通道和喷头。贯穿所述气流控制装置的突出横向部分而形成贯穿孔,并且所述反应器壁与所述喷头构件的横向部分彼此隔开以形成排气路径。在所述排气路径中剩余的气体通过所述贯穿孔和在所述反应器壁的上部中形成的出气口排出。所述反应室提供反应空间和所述排气路径,其中去除了不必要的区域以使气体快速地从一种改为另一种,因此可以高效率和高生产率完成原子层沉积。

Description

薄膜沉积装置
相关申请的交叉引用
本申请要求2015年7月7日在韩国知识产权局提交的韩国专利申请号10-2015-0096795的优先权,该韩国专利申请的公开内容通过引用全部并入本文。
技术领域
一个或更多个实施方式涉及气流控制装置、包括所述气流控制装置的喷头组件和包括所述喷头组件的半导体制造设备(诸如薄膜沉积设备)。
背景技术
一个或更多个示例性实施方式涉及半导体制造设备(诸如沉积设备),更具体地,涉及在其中发生化学反应的反应室。
沉积设备的反应室设有在其中发生化学反应的空间,而且已开发出各种反应室。这种反应室的例子包括:喷头型反应室,在其中沿垂直于衬底的方向供应反应气;和侧流型反应室,在其中沿平行于衬底的方向供应反应气。在喷头型反应室中,在从中心到边缘的方向上向反应器均匀地供应反应气,从而形成相对均匀的薄膜。侧流型反应室具有相对简单的结构,从而能够在反应气之间快速切换并使得可以减小反应空间。
发明内容
一个或更多个实施方式包括反应室,例如,包括喷头和辅助装置的喷头型反应室。该反应室为原子层沉积提供尺寸上经优化缩小的反应空间,并且废气很快地从反应室排出。
另外的方面将部分在以下说明书中陈述,并且部分可从说明书中明显得出,或可以通过所提供实施方式的实践来习得。
根据一个或更多个实施方式,提供一种气流控制装置。该气流控制装置可以包括:包括进气端口并从所述进气端口延伸的板;和从所述板突起并包括贯穿形成的多个孔的侧壁。可选地,所述板可以包括绝缘材料。
根据实施方式,在所述气流控制装置中,由所述板和所述侧壁形成从所述侧壁凹进的空间,并且从所述多个孔到所述凹进的空间限定排气路径空间。
根据一个或更多个实施方式,提供一种喷头组件。所述喷头组件可以包括:气流控制装置;和从所述板的底侧连接至进气端口的喷头。
根据实施方式,所述喷头组件可以包括气体通道和喷头,并且所述气体通道可以位于所述进气端口与所述喷头之间并通过机械连接件连接至所述喷头。
根据另一实施方式,在喷头组件中,可以在所述气体通道与所述喷头之间形成气体流路。此外,可以形成将所述气流控制装置的所述进气端口连接至所述气体流路的进气路径(参见附图中气体通道307的中心区域)。
根据一个或更多个实施方式,一种半导体制造设备(诸如反应室)包括:反应器壁;气流控制装置;喷头构件;和基座(susceptor)。在所述反应器壁的上部设置进气口和出气口,并且所述进气口连接至穿过所述反应器壁、所述气流控制装置和所述喷头构件的中心部分形成的进气端口从而向所述喷头构件供应反应气。所述气流控制装置包括:从其贯穿形成多个贯穿孔的突出侧壁;和由所述侧壁环绕的板。在所述气流控制装置与所述反应器壁之间的区域和所述喷头构件的侧壁与所述反应器壁之间的区域中形成排气路径(也就是排气路径空间),并且通过所述喷头构件被供应至置于所述基座上的衬底的反应气通过所述排气路径和穿过所述气流控制装置的所述侧壁形成的所述贯穿孔而排到设置在所述反应器壁的上部的所述出气口。所述出气口与穿过所述气流控制装置的中心部分形成的所述进气端口不对称,并且所述贯穿孔的尺寸和所述贯穿孔之间的间隔根据所述贯穿孔相对于所述出气口的位置而不同从而得到均匀的排气效率。在实施方式中的半导体制造设备(诸如反应室)中,气流控制装置和喷头构件是堆叠的,并且在所述气流控制装置与所述反应器壁之间的区域和在所述所述气流控制装置与所述喷头构件之间的区域中形成凹槽,以容纳密封构件诸如O型环。反应器壁和喷头构件彼此隔开以形成排气路径。射频(RF)棒被插入穿过气流控制装置形成的其它贯穿孔中并连接至所述喷头构件从而在等离子体工艺期间向所述喷头构件供应RF功率。
根据实施方式,在半导体制造设备中,所述进气口和所述出气口可以连接至顶盖。此外,所述顶盖可以包括加热元件。
根据一个或更多个实施方式,半导体制造设备包括:包括至少两个反应室的外腔室;所述至少两个反应室共用的至少一个供气单元;和配置成排气的至少一个排气泵。
例如,半导体制造设备可以包括:包括至少两个进气口和至少两个出气口的顶盖;和至少两个反应室,其每个都连接至与进气口中的至少一个和出气口中的至少一个相连的顶盖,其中所述反应室共用供应原材料气体和反应气中的至少一种的供气单元和至少一个排气泵。
附图说明
从以下结合附图对实施方式的描述中可明白并更容易理解这些和/或其它方面,其中:
图1是示出根据实施方式的反应室的视图;
图2是示出在根据实施方式的反应室中反应气如何流动的视图;
图3A是示出根据实施方式的气流控制装置的立体图;
图3B是示出根据实施方式的气流控制装置和喷头构件的组合结构的横截面视图;
图4A是示出根据实施方式的反应室的局部横截面视图;
图4B是示出根据另一实施方式的反应室的局部横截面视图;
图4C是示出根据另一实施方式的反应室的局部横截面视图;
图5A是示出根据实施方式的气流控制装置的平面图;
图5B是示出根据另一实施方式的气流控制装置的平面图;
图6是示出根据另一实施方式的反应室的横截面视图;
图7A示出根据另一实施方式的反应室的横截面视图;
图7B是从另一方向示出图7A中所示反应室的另一横截面视图;以及
图8是示出根据另一实施方式的反应室的横截面视图。
具体实施方式
现在将详细参照实施方式,在附图中示出所述实施方式的示例,其中在所有附图中,相同的附图标记表示相同的元件。在这方面,本发明实施方式可以具有不同的形式并不应视为限于本文中的描述。因此,下面仅参照附图描述实施方式以用于解释本说明书的各方面。本文中所使用的术语“和/或”包括一个或更多个相关的所列项的任何和所有组合。在元素列表之后的表述例如“至少一个”修饰整个元素列表而并非修饰该列表的单个元素。
将通过以下参照附图给出的描述来阐述本发明构思的特征以及其实施方法。然而,实施方式可以具有不同的形式而并不应视为限于本文中所述的描述。相反,提供这些实施方式是为了使得本公开对本领域技术人员来说将是充分并完整的,并且将充分表达本发明构思的范围。因此,本发明构思的范围应由权利要求界定。
在下文中,将参照附图描述实施方式。
首先,现在将关于图1根据实施方式来描述沉积设备。图1是示出根据实施方式的反应室100的横截面视图。参照图1,由于反应器壁101与基座103彼此相接触,所以在反应室100中形成反应空间125。气流控制装置105和喷头构件(气体注入器)109设置在反应器壁101的上部与基座103之间。喷头构件109可以是一体式构件,或者可以是多件式构件,包括其中形成有气体注入孔133的单独部件。气流控制装置105和喷头构件109被堆叠,并且气流控制装置105包括其中形成有多个贯穿孔111的侧壁123。在反应器壁101与气流控制装置105之间的区域和气流控制装置105与喷头构件109之间的区域中形成凹槽127,129和131,从而容纳密封构件诸如O型环。由于通过密封构件进行真空密封,所以外部气体不会进入反应室100中,或反应空间125中的反应气或排气路径中剩余的气体不会泄露到不期望的区域中。
在等离子体工艺期间,喷头构件109可以用作电极。为此,喷头构件109可以包括金属材料诸如铝(Al)。此外,射频(RF)棒713(参见图7B)通过穿过反应器壁101的上部和气流控制装置105而形成的RF棒孔303(参见图3A)而机械连接至喷头构件109,从而将由外部等离子体发生器(未示出)产生的等离子体供应至喷头构件109。此外,气流控制装置105可以包括绝缘材料诸如陶瓷材料,从而使得用作等离子体电极的喷头构件109与反应器壁101绝缘。如图1所示,进气端口113形成为穿过反应器壁101的上部和气流控制装置105的中心部分,并且,此外在喷头构件109中形成气体流路119。因此,从外部供气单元(未示出)通过进气端口113供应的反应气被均匀分布至喷头构件109的气体注入孔133。此外,如图1所示,在反应器壁101的上部以与进气端口113呈非对称关系设置出气口115。然而,出气口115与进气端口113可以对称布置(未示出)。此外,反应器壁101与气流控制装置105和喷头构件109的侧壁隔开,因此排气路径117可以形成于它们之间。在工艺进行之后,剩余气体可以通过排气路径117排出。
图2是示出在根据实施方式的反应室100中反应气如何流动的视图。在图2中,箭头表示气体流动。从外部供气单元(未示出)供应至进气端口113的反应气可以通过气体流路119均匀地流到喷头构件109的气体注入孔133。反应气可以在反应空间125中或衬底(未示出)上发生化学反应以在衬底上形成薄膜。在形成薄膜之后,剩余气体可以在穿过形成于反应器壁101和喷头构件109的侧壁之间的排气路径117并穿过形成于气流控制装置105的侧壁123中的贯穿孔111之后,流到气流控制装置105的内部空间,然后所述剩余气体可以通过出气口115排出。
在下文中,将参照图3A和图3B描述反应室100的各个部分。
图3A是示出根据实施方式的气流控制装置105的立体图。参照图3A,气流控制装置105包括侧壁123、进气端口113、由侧壁123环绕的板301、RF棒孔303、螺孔305、贯穿孔111和容纳密封构件诸如O型环的凹槽127。在图3A中,板301具有由侧壁123环绕的下凹内部。进气端口113位于气流控制装置105的一部分上用于引入外部反应气,围绕进气端口113形成数量为至少两个的螺孔305用以容纳机械连接件诸如螺钉715(参见图7B),从而将气流控制装置105和喷头构件109彼此连接。在气流控制装置105的部分中形成RF棒孔303,因此连接至外部等离子体供应单元(未示出)的RF棒713(参见图7B)可以通过RF棒孔303连接至在气流控制装置105下面的喷头构件109。
在图3A中,形成两个RF棒孔303从而通过将RF棒引入各自的孔303中来改善等离子体功率(plasma power)在反应空间125中的均匀性。然而,与图3中不同,可以使用一个或多于两个的RF棒713,并可以形成对应于所述RF棒713的RF棒孔303。此外,参照图3A,RF棒孔303形成于侧壁123和螺孔305之间。然而,本发明构思不限于此。例如,如同螺孔305,RF棒孔303可以设置在进气端口113周围。
与衬底反应之后剩余的气体可以通过排气路径117和形成于气流控制装置105的侧壁123中的贯穿孔111而流向气流控制装置105的板301。然后,该气体可以流经板301的内部空间流向出气口115,在这里气体可以被排到外部。密封构件诸如O型环被插入形成于侧壁123的上侧中的凹槽127内以用于在反应器壁101与气流控制装置105之间进行真空密封,因此排气路径117中剩余的气体可以仅通过贯穿孔111被引入气流控制装置105的板301中。此外,虽然在图3A中未示出,但是可以在反应器壁101的上端与RF棒孔303之间的区域和在反应器壁101的上端与螺孔305之间的区域中形成其它的凹槽717和721(参见图7B)用以容纳密封构件诸如O型环。因此,可以提供真空密封以防止剩余气体通过非预期途径泄露到外部。
图3B是示出通过将气流控制装置105和喷头构件109堆叠在一起而形成的喷头组件的横截面视图。参见图3B,喷头构件109是多件式构件,其中气体通道307和喷头309堆叠在一起。使用机械连接件诸如螺钉311来连接气体通道307和喷头309。如果在等离子体工艺期间喷头构件109用作电极,则喷头309可以包括金属材料。此外,RF棒713(参见图7B)可以通过RF棒孔303(参见图7B)机械连接至气体通道307。
图4A、图4B和图4C是示出根据实施方式的反应室100的局部横截面视图。参见图4A,反应器壁101与喷头构件109彼此隔开以形成排气路径117,并且贯穿孔111形成在气流控制装置105的侧壁123中。在与反应器壁101接触的、侧壁123的上部和喷头构件109的接触部中形成凹槽127和131,因此可以将密封构件诸如O型环插入凹槽127和131中用于真空密封。因此,在排气路径117中剩余的气体可以仅通过贯穿孔111被引入气流控制装置105中。参照图4A,在垂直于排气路径117的方向上形成贯穿孔111。然而,如图4B所示,贯穿孔111的入口401可以朝向排气路径117倾斜。在这种情况下,贯穿孔111的入口401相对于剩余气体在排气路径117中流动的方向的角度θ可以小于直角。因此,在入口401周围可以减少湍流,因此,可以防止排气效率的降低。此外,如图4C所示,在其中形成有贯穿孔111的、侧壁123的一部分可以具有比气流控制装置105的边缘部分的宽度(B)更小的宽度(A)。在这种情况下,剩余气体可直接流入贯穿孔111的入口402而不经过弯曲路径。因此,当剩余气体流入贯穿孔111中时,在贯穿孔111的入口402周围可以保持层流而不会有湍流,因此剩余气体可被高效流畅地排出。虽然未示出,但可以改变反应器壁101或气流控制装置105的形状以防止湍流。例如,可以使气流控制装置105的边缘部分C(参见图4C)倒角化。
除了在附图中示出的结构,可以在本发明构思的范围内作出各种修改。例如,在图4A至4C中所示的实施方式中,侧壁123沿与板301的延伸方向不同的方向突出。然而,侧壁123可以沿与板301的延伸方向相同的方向突出。在这种情况下,可以在与板301的延伸方向不同的方向上穿过侧壁123而形成贯穿孔111。
图5A和图5B是气流控制装置105的平面图,示出了在气流控制装置105的侧壁123中形成的贯穿孔111的分布与尺寸。参照图5A,贯穿孔111的尺寸相同。然而,与远离出气口115的B、C区域相比,在邻近出气口115的A区域中,在侧壁123中形成的贯穿孔111之间的距离更大。参照图5A,由于位于与出气口115相对的C区域中的贯穿孔111具有相对较低的排气效率,所以贯穿孔111的设置密集。然而,由于位于邻近出气口115的A区域中的贯穿孔111的排气效率相对较高,所以贯穿孔111的设置密集度较低。因此,排气效率总体上可以是均匀的。参照图5B,虽然贯穿孔111以均匀间隔设置,但贯穿孔111根据它们相对于出气口115的位置而具有不同的尺寸。即,由于位于邻近出气口115的区域A中的贯穿孔111的排气效率的较高,所以贯穿孔111的尺寸相对较小。然而,由于位于与出气口115相对的区域C中的贯穿孔111的排气效率的较低,所以贯穿孔111的尺寸相对较大。因此,排气效率总体上可以是均匀的。
虽然在图5A和图5B中示出一个出气口115,但可以设置多个出气口115。在这种情况下,可以对称地或非对称地布置所述出气口115。此外,如上所述,为了得到均匀的排气效率,可以调整贯穿孔111的尺寸、贯穿孔111的形状和贯穿孔111之间的距离中的至少一个。例如,与相对远离出气口115的贯穿孔111相比,相对邻近出气口115的贯穿孔111可以具有相对小的尺寸或可以以相对大的间隔布置。
图6是示出根据另一实施方式的反应室100的横截面视图。图6示出其中反应室100被外腔室601包围的双腔室结构。反应室100机械地固定到外腔室601的上端(也就是外腔室601的顶盖)。外腔室601的内部压力可以设成低于反应室100的内部压力,从而防止外腔室601中填充的气体诸如氩气通过反应器壁101与基座103之间的间隙进入到反应室100中。在外腔室601的侧壁中形成入口(未示出,参见图8),用于将衬底送入/送出反应室100。基座103由基座支架605支撑。基座支架605包括衬底支撑销(未示出)。基座支架605是可垂直移动并可旋转的。因此,当载入/载出衬底时,降低基座支架605以打开反应空间125。例如,通过衬底支撑销(未示出)顶起衬底,并通过形成在外腔室601的侧壁中的入口(未示出)将载体诸如传送臂伸入外腔室601中从而卸载衬底。在工艺进行期间,抬起基座支架605,同时降低支撑衬底的衬底支撑销从而将衬底放在基座103上。然后,基座103与反应器壁101的下部相接触,从而形成反应空间125。
图7A和图7B是示出根据另一实施方式的、对图6中所示反应室100的修改的视图。参照图7A,反应室100的反应器壁101通过使用机械连接件诸如螺钉707而连接至外腔室上端的顶盖701。此外,可以在反应器壁101的上端布置加热器诸如加热元件用于加热反应器壁101。如果使用加热元件加热反应器壁101,则在排气路径117中或气流控制装置105周围剩余的气体可以被快速加热或转换成硬膜,因此剩余气体不会成为在反应室100中漂浮的污染物,从而可以防止污染反应空间。参照图7A,在进气端口113的上端另外设置进气口705。如果在进气口705的一侧形成多个进气孔(未示出),则可以向反应室100供应大量的气体。此外,参照图7A,不同于在图1中描述的一体式喷头构件109,使用了由多个可分离部件形成的喷头构件109。例如,喷头构件109具有堆叠结构,其包括通过机械连接件诸如螺钉而彼此连接的气体通道307和喷头309。由于多件式喷头构件109具有多件式结构,所以可以容易地对喷头309进行安装、拆卸和定期维修。
图7B是从另一方向示出图7A中所示反应室100的另一横截面视图。参照图7B,喷头构件109通过机械连接件诸如715连接至气流控制装置105。可以在气流控制装置105的侧壁与反应器壁101上端之间的区域、RF棒孔303周围的突起与反应器壁101上端之间的区域、以及螺孔305周围的突起与反应器壁101上端之间的区域中形成凹槽,并且可以将密封构件诸如O型环插入凹槽中用于真空密封。
图8是示出根据另一实施方式的反应室100的横截面视图。参照图8,在由顶盖801与外腔室803形成的腔室内部区域805中设置多个反应室100。在顶盖801上设置每个反应室100的气流控制装置、喷头构件、进气端口和出气口。反应室100共用同一个供气单元和同一个排气泵,因此可以对多个衬底同时进行相同的工艺处理从而提高生产率。
可以对多个衬底同时进行如下相同的工艺。首先,通过外腔室803的入口将载体诸如传送臂伸入腔室内部区域805中从而将衬底加载到多个基座103上。接下来,外腔室803的腔室内部区域805被排空或用惰性气体诸如氩气填充。接着,基座103与反应器壁的下侧接触,从而形成反应空间。腔室内部区域805的压力可以设成低于反应室100的内部压力。
可替选地,反应室100可以不共用供气单元和排气泵,而可以连接至单独的供气单元和排气泵从而同时进行不同的工艺。例如,当依次将衬底移至反应室100的同时,可以进行复合薄膜形成工艺以在衬底上沉积薄膜。在这种情况下,可以快速进行复合薄膜形成工艺,同时将暴露于空气或等待时间降到最低限度。
如上所述,根据一个或更多个上述实施方式,反应气被均匀地供至反应室中的衬底,因此可以在衬底上形成均匀的薄膜。此外,由于沿喷头的上部形成排气路径,所以可以去除不必要的空间从而减少反应空间的尺寸。因此,反应气可以从一种快速改为另一种,并可以减少由剩余气体引起的污染,从而可以以高生产率和高效率进行原子层沉积工艺。
应当理解,本文中描述的实施方式应仅考虑为描述意义并无限制目的。各实施方式中对特征或各方面的描述应典型地考虑为对在其它实施方式中的其它类似的特点或方面可用。
当已参照附图描述了一个或更多个实施方式时,本领域技术人员将理解不背离由所附权利要求所界定的本发明的精神和范围可以在此作出形式和细节上的各种改变。

Claims (20)

1.一种气流控制装置,包括:
板,包括进气端口并从所述进气端口延伸;和
侧壁,从所述板突出并包括贯穿形成的多个孔。
2.根据权利要求1所述的气流控制装置,其中由所述板和所述侧壁形成从所述侧壁凹进的空间,并且
其中,从所述多个孔到所述凹进的空间限定排气路径空间。
3.根据权利要求1所述的气流控制装置,其中所述多个孔包括第一孔和第二孔,并且
所述第一孔的尺寸、所述第一孔的形状和所述第一孔之间的距离中的至少一个与所述第二孔的不同。
4.根据权利要求1所述的气流控制装置,其中所述侧壁具有能够容纳密封构件的形状。
5.一种喷头组件,包括:
根据权利要求1所述的气流控制装置;和
从所述板的底侧连接至所述进气端口的喷头。
6.根据权利要求5所述的喷头组件,还包括在所述进气端口与所述喷头之间的气体通道,其通过机械连接件连接至所述喷头。
7.根据权利要求6所述的喷头组件,还包括在所述气体通道与所述喷头之间的气体流路。
8.根据权利要求7所述的喷头组件,还包括将所述气流控制装置的所述进气端口连接至所述气体流路的进气路径。
9.根据权利要求8所述的喷头组件,还包括在所述气流控制装置与所述气体通道之间的密封构件。
10.根据权利要求8所述的喷头组件,其中所述气流控制装置还包括贯穿所述板形成的至少一个螺孔和至少一个射频(RF)棒孔,并且
所述气流控制装置和所述气体通道通过设置在所述螺孔中的连接件而彼此机械连接。
11.一种半导体制造设备,包括:
反应器壁;
板,其连接至所述反应器壁并包括进气端口,所述板从所述进气端口延伸;
侧壁,其从所述板突起并包括穿过其形成的多个孔;和
喷头构件,其从所述板的底侧连接至所述进气端口,
其中,所述进气端口贯穿所述板形成,并且在所述喷头构件与所述反应器壁之间的区域中和所述板与所述反应器壁之间的区域中形成排气路径。
12.根据权利要求11所述的半导体制造设备,其中从所述喷头构件和所述板的外侧经由所述多个孔到出气口限定排气路径空间。
13.根据权利要求11所述的半导体制造设备,其中所述板包括绝缘材料。
14.根据权利要求11所述的半导体制造设备,其中出气口与所述进气端口非对称地布置,
所述多个孔包括第一孔和第二孔,并且
所述第一孔的尺寸、所述第一孔的形状和所述第一孔之间的距离中的至少一个与所述第二孔的不同。
15.根据权利要求11所述的半导体制造设备,其中所述多个孔包括在与出气口相距第一距离内布置的第一孔和距离所述出气口超过所述第一距离的第二孔,并且
所述第一孔小于所述第二孔,或以相比所述第二孔之间的间隔更大的间隔布置。
16.根据权利要求11所述的半导体制造设备,还包括:
顶盖;
腔室,其容纳所述板、所述侧壁和所述喷头构件;和
基座,其设置在所述喷头构件的下方,
其中,从所述喷头构件向所述基座注入的反应气通过所述喷头构件和所述反应器壁之间形成的所述排气路径、所述多个孔和所述出气口排出。
17.根据权利要求16所述的半导体制造设备,还包括在所述腔室与所述侧壁之间的密封构件。
18.根据权利要求16所述的半导体制造设备,还包括在所述板与气体通道之间的密封构件,其中所述喷头构件包括气体通道和喷头。
19.根据权利要求18所述的半导体制造设备,还包括在所述气体通道与所述喷头之间的气体流路。
20.根据权利要求16所述的半导体制造设备,其中所述顶盖包括加热元件。
CN201610523486.6A 2015-07-07 2016-07-05 薄膜沉积装置 Active CN106337169B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2015-0096795 2015-07-07
KR1020150096795A KR102417934B1 (ko) 2015-07-07 2015-07-07 박막 증착 장치

Publications (2)

Publication Number Publication Date
CN106337169A true CN106337169A (zh) 2017-01-18
CN106337169B CN106337169B (zh) 2019-12-10

Family

ID=57730782

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610523486.6A Active CN106337169B (zh) 2015-07-07 2016-07-05 薄膜沉积装置

Country Status (4)

Country Link
US (2) US10662525B2 (zh)
KR (1) KR102417934B1 (zh)
CN (1) CN106337169B (zh)
TW (1) TWI615501B (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108624865A (zh) * 2017-03-15 2018-10-09 汉民科技股份有限公司 应用于半导体设备的可拆卸式喷气装置
CN113056807A (zh) * 2018-11-30 2021-06-29 应用材料公司 用于三维与非(3d nand)应用的膜堆叠覆盖改进
WO2021175089A1 (zh) * 2020-03-06 2021-09-10 北京北方华创微电子装备有限公司 反应腔室
CN115305458A (zh) * 2022-10-10 2022-11-08 中微半导体设备(上海)股份有限公司 一种气体分配件、气体输送装置及其薄膜处理装置
CN117187781A (zh) * 2019-07-03 2023-12-08 Asm Ip私人控股有限公司 用于基板处理装置的温度控制组件及其使用方法

Families Citing this family (269)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR102297567B1 (ko) 2014-09-01 2021-09-02 삼성전자주식회사 가스 주입 장치 및 이를 포함하는 박막 증착 장비
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
KR102417934B1 (ko) * 2015-07-07 2022-07-07 에이에스엠 아이피 홀딩 비.브이. 박막 증착 장치
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
TWD178699S (zh) * 2016-01-08 2016-10-01 Asm知識產權私人控股有限公司 用於半導體製造設備的氣體分散板
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
KR101966049B1 (ko) * 2017-08-29 2019-04-05 주식회사 테스 기판처리장치 및 그 세정방법
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102707956B1 (ko) 2018-09-11 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) * 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11335591B2 (en) 2019-05-28 2022-05-17 Applied Materials, Inc. Thermal process chamber lid with backside pumping
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
KR20210010817A (ko) 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
WO2021055990A1 (en) * 2019-09-22 2021-03-25 Applied Materials, Inc. Ald cycle time reduction using process chamber lid with tunable pumping
US12054826B2 (en) 2019-09-22 2024-08-06 Applied Materials, Inc. ALD cycle time reduction using process chamber lid with tunable pumping
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TWI846953B (zh) 2019-10-08 2024-07-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
CN115315776A (zh) * 2020-01-29 2022-11-08 朗姆研究公司 具有斜向流动路径的气体分配面板
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210127620A (ko) 2020-04-13 2021-10-22 에이에스엠 아이피 홀딩 비.브이. 질소 함유 탄소 막을 형성하는 방법 및 이를 수행하기 위한 시스템
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202212620A (zh) 2020-06-02 2022-04-01 荷蘭商Asm Ip私人控股有限公司 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR102707957B1 (ko) 2020-07-08 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
TW202212618A (zh) * 2020-09-02 2022-04-01 美商應用材料股份有限公司 控制偶然沉積的噴頭設計
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20220045900A (ko) 2020-10-06 2022-04-13 에이에스엠 아이피 홀딩 비.브이. 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) * 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
KR102570523B1 (ko) * 2021-06-11 2023-08-24 세메스 주식회사 기판 처리 장치
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060137608A1 (en) * 2004-12-28 2006-06-29 Choi Seung W Atomic layer deposition apparatus
KR20060100961A (ko) * 2005-03-16 2006-09-22 삼성전자주식회사 샤워헤드 및 이를 구비한 원자층 증착설비
CN102903592A (zh) * 2011-07-25 2013-01-30 Psk有限公司 挡板和包含该挡板的衬底处理装置
CN103225072A (zh) * 2012-01-26 2013-07-31 三星电子株式会社 气体排放单元以及包括其的薄膜沉积装置
US20140299681A1 (en) * 2013-04-05 2014-10-09 Dhritiman S. Kashyap Cascade design showerhead for transient uniformity
CN104746047A (zh) * 2013-12-31 2015-07-01 丽佳达普株式会社 原子层沉积装置

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5680013A (en) * 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US6300255B1 (en) * 1999-02-24 2001-10-09 Applied Materials, Inc. Method and apparatus for processing semiconductive wafers
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6586343B1 (en) * 1999-07-09 2003-07-01 Applied Materials, Inc. Method and apparatus for directing constituents through a processing chamber
KR100378871B1 (ko) * 2000-02-16 2003-04-07 주식회사 아펙스 라디칼 증착을 위한 샤워헤드장치
CN1468974A (zh) 2001-11-17 2004-01-21 厦门三安电子有限公司 一种制作ⅲ族氮化物材料的方法
US7017514B1 (en) * 2001-12-03 2006-03-28 Novellus Systems, Inc. Method and apparatus for plasma optimization in water processing
KR20040007963A (ko) * 2002-07-15 2004-01-28 삼성전자주식회사 단원자층 증착 반응장치
US20050011447A1 (en) * 2003-07-14 2005-01-20 Tokyo Electron Limited Method and apparatus for delivering process gas to a process chamber
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US7622005B2 (en) * 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
JP2006128485A (ja) * 2004-10-29 2006-05-18 Asm Japan Kk 半導体処理装置
CN100451163C (zh) * 2006-10-18 2009-01-14 中微半导体设备(上海)有限公司 用于半导体工艺件处理反应器的气体分布装置及其反应器
JP2009088229A (ja) * 2007-09-28 2009-04-23 Tokyo Electron Ltd 成膜装置、成膜方法、記憶媒体及びガス供給装置
KR101245769B1 (ko) * 2009-07-28 2013-03-20 엘아이지에이디피 주식회사 화학기상증착장치, 화학기상증착장치용 가이드부재 및 화학기상증착장치를 이용한 박막제조방법
CN103194737B (zh) * 2012-01-05 2015-06-10 中国科学院微电子研究所 一种用于原子层沉积设备的气体分配器
US20140235069A1 (en) * 2013-02-15 2014-08-21 Novellus Systems, Inc. Multi-plenum showerhead with temperature control
KR101467195B1 (ko) 2013-05-14 2014-12-01 주식회사 아바코 가스 분사기 및 이를 포함하는 박막 증착 장치
CN104046960B (zh) * 2014-06-24 2016-08-17 北京七星华创电子股份有限公司 一种应用于薄膜沉积技术的气体分配器
JP2016039356A (ja) * 2014-08-06 2016-03-22 ピーエスケー・インコーポレーテッド バッフル及びこれを含む基板処理装置
KR102417934B1 (ko) * 2015-07-07 2022-07-07 에이에스엠 아이피 홀딩 비.브이. 박막 증착 장치

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060137608A1 (en) * 2004-12-28 2006-06-29 Choi Seung W Atomic layer deposition apparatus
KR20060100961A (ko) * 2005-03-16 2006-09-22 삼성전자주식회사 샤워헤드 및 이를 구비한 원자층 증착설비
CN102903592A (zh) * 2011-07-25 2013-01-30 Psk有限公司 挡板和包含该挡板的衬底处理装置
CN103225072A (zh) * 2012-01-26 2013-07-31 三星电子株式会社 气体排放单元以及包括其的薄膜沉积装置
US20140299681A1 (en) * 2013-04-05 2014-10-09 Dhritiman S. Kashyap Cascade design showerhead for transient uniformity
CN104746047A (zh) * 2013-12-31 2015-07-01 丽佳达普株式会社 原子层沉积装置

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108624865A (zh) * 2017-03-15 2018-10-09 汉民科技股份有限公司 应用于半导体设备的可拆卸式喷气装置
CN108624865B (zh) * 2017-03-15 2020-06-23 汉民科技股份有限公司 应用于半导体设备的可拆卸式喷气装置
CN113056807A (zh) * 2018-11-30 2021-06-29 应用材料公司 用于三维与非(3d nand)应用的膜堆叠覆盖改进
CN113056807B (zh) * 2018-11-30 2024-03-22 应用材料公司 用于三维与非(3d nand)应用的膜堆叠覆盖改进
CN117187781A (zh) * 2019-07-03 2023-12-08 Asm Ip私人控股有限公司 用于基板处理装置的温度控制组件及其使用方法
WO2021175089A1 (zh) * 2020-03-06 2021-09-10 北京北方华创微电子装备有限公司 反应腔室
US11773505B2 (en) 2020-03-06 2023-10-03 Beijing Naura Microelectronics Equipment Co., Ltd. Reaction chamber
CN115305458A (zh) * 2022-10-10 2022-11-08 中微半导体设备(上海)股份有限公司 一种气体分配件、气体输送装置及其薄膜处理装置

Also Published As

Publication number Publication date
CN106337169B (zh) 2019-12-10
KR20170006214A (ko) 2017-01-17
TWI615501B (zh) 2018-02-21
US10662525B2 (en) 2020-05-26
TW201702422A (zh) 2017-01-16
US10822695B2 (en) 2020-11-03
US20200224308A1 (en) 2020-07-16
US20170009347A1 (en) 2017-01-12
KR102417934B1 (ko) 2022-07-07

Similar Documents

Publication Publication Date Title
CN106337169A (zh) 薄膜沉积装置
US10190214B2 (en) Deposition apparatus and deposition system having the same
US11171025B2 (en) Substrate processing device
KR102546317B1 (ko) 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US8092606B2 (en) Deposition apparatus
US8771418B2 (en) Substrate-processing apparatus and substrate-processing method for selectively inserting diffusion plates
US9732424B2 (en) Gas injection apparatus and substrate processing apparatus using same
TW202029401A (zh) 基板處理裝置及基板支撐單元
US9741575B2 (en) CVD apparatus with gas delivery ring
US11293097B2 (en) Apparatus for distributing gas and apparatus for processing substrate including the same
JP2004214669A (ja) 薄膜蒸着用反応容器
TWI746741B (zh) 氣體處理裝置及氣體處理方法
US20220165548A1 (en) Gas supply block and substrate-processing apparatus including the same
KR20140069715A (ko) 대면적 원자층 증착 장치
CN114959650B (zh) 一种半导体装置
US20180258531A1 (en) Diffuser design for flowable cvd
KR101698021B1 (ko) 대면적 원자층 증착장치
KR101662364B1 (ko) 가스 공급 헤드 및 기판 처리 장치
KR20110131643A (ko) 기판처리장치
US10801110B2 (en) Gas injector for semiconductor processes and film deposition apparatus
KR102302922B1 (ko) 기판처리장치
KR102328916B1 (ko) 기판 처리 장치
TW202336266A (zh) 半導體製造裝置
KR20210158171A (ko) 기판처리방법 및 이에 사용되는 기판처리장치
KR20100033628A (ko) 기판처리장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant