CN113056807A - 用于三维与非(3d nand)应用的膜堆叠覆盖改进 - Google Patents

用于三维与非(3d nand)应用的膜堆叠覆盖改进 Download PDF

Info

Publication number
CN113056807A
CN113056807A CN201980076034.XA CN201980076034A CN113056807A CN 113056807 A CN113056807 A CN 113056807A CN 201980076034 A CN201980076034 A CN 201980076034A CN 113056807 A CN113056807 A CN 113056807A
Authority
CN
China
Prior art keywords
substrate
showerhead
region
film layer
substrate support
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201980076034.XA
Other languages
English (en)
Other versions
CN113056807B (zh
Inventor
韩新海
D·帕德希
D·R·本杰明拉吉
K·恩斯洛
王文佼
尾方正树
S·S·阿迪帕利
N·S·乔拉普尔
G·E·奇可卡诺夫
S·斯里瓦斯塔瓦
白宗薰
Z·易卜拉希米
J·C·罗查-阿尔瓦雷斯
T-J·龚
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN113056807A publication Critical patent/CN113056807A/zh
Application granted granted Critical
Publication of CN113056807B publication Critical patent/CN113056807B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • C23C14/32Vacuum evaporation by explosion; by evaporation and subsequent ionisation of the vapours, e.g. ion-plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/50EEPROM devices comprising charge-trapping gate insulators characterised by the boundary region between the core and peripheral circuit regions

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

本公开的实施例描述一种用于沉积膜层的设备和方法,该设备和方法可在一系列沉积和光刻工艺之后对覆盖误差具有最小的贡献。在一个示例中,一种方法包括:将基板定位在处理腔室中的基板支撑件上;以及使包括含硅气体和反应气体的沉积气体混合物通过喷淋头流到处理腔室,根据基板的应力分布,该喷淋头具有面向基板支撑件的凸形表面或面向基板支撑件的凹形表面。通过将RF功率施加到该喷淋头的多个耦接点而在处理腔室中存在沉积气体混合物的情况下形成等离子体,该喷淋头的该等多个耦接点绕该喷淋头的中心点对称地布置。然后在基板上执行沉积工艺。

Description

用于三维与非(3D NAND)应用的膜堆叠覆盖改进
技术领域
本公开的实施例一般关于一种处理腔室和一种用于减少由在基板的处理期间的膜应力不均匀性引起的膜堆叠覆盖问题的方法。
背景技术
生产垂直整合组件的一个长期公认的挑战是减少在制造过程期间引入的基板和层堆叠的变形。由于在等离子体蚀刻或等离子体沉积工艺期间热膨胀、等离子体非均匀性分布与/或等离子体密度的差异而在一层与下一层之间可能产生各种膜应力,这导致基板表面的局部畸变和不期望的覆盖误差(overlay error)。当发生覆盖误差时,在基板上形成的组件晶粒的大小(size)、尺寸(dimension)或结构可能会不规则地畸变(deform)或变形(distort),从而增加堆叠在其上的膜层之间未对准(misalignment)的可能性,这可能会不利地增加后续制造过程中未对准的可能性。
发明内容
在一个实施例中,提供了一种用于在基板上形成膜层的方法。该方法包括以下步骤:将基板定位在处理腔室中的基板支撑件上;使包括含硅气体和反应气体的沉积气体混合物通过喷淋头流到该处理腔室,根据基板的应力分布,该喷淋头具有面向该基板支撑件的凸形表面或面向该基板支撑件的凹形表面;通过将RF功率施加到该喷淋头的多个耦接点而在处理腔室中存在沉积气体混合物的情况下形成等离子体,该喷淋头的该多个耦接点绕该喷淋头的中心点对称地布置;以及在旋转基板时执行沉积工艺以在基板上沉积膜层。
在另一个实施例中,该方法包括以下步骤:将基板定位在处理腔室中的基板支撑件上,根据基板的应力分布,该基板支撑件具有凹形基板支撑表面或凸形基板支撑表面;使包括含硅气体与反应气体的沉积气体混合物通过喷淋头流到处理腔室;通过将RF功率施加到该喷淋头的多个耦接点而在处理腔室中存在沉积气体混合物的情况下形成等离子体,该喷淋头的该多个耦接点绕该喷淋头的中心点对称地布置;以及在旋转基板时执行沉积工艺以在基板上沉积膜层。
在另一个实施例中,该方法包括以下步骤:将基板定位在处理腔室中的基板支撑件上,根据基板的应力分布,该基板支撑件具有凹形基板支撑表面或凸形基板支撑表面;使包括含硅气体和反应气体的沉积气体混合物通过喷淋头流到处理腔室,根据该基板的应力分布,该喷淋头具有面向该基板支撑件的凸形表面或面向该基板支撑件的凹形表面;通过将RF功率施加到该喷淋头的多个耦接点而在处理腔室中存在沉积气体混合物的情况下形成等离子体,该喷淋头的该多个耦接点绕该喷淋头的中心点对称地布置;以及在旋转基板时执行沉积工艺以将膜层沉积在基板上;以及通过调整基板支撑件的高度来调节基板上方的边到边或前到后的等离子体密度。
附图说明
本公开的特征已在上文简要概述,并在以下有更详尽的论述,可以通过参考所附附图中绘示的本案实施例以作了解。然而,值得注意的是,因为本公开可允许其他等效的实施例,所附附图仅绘示了示范实施例且不会视为其范围的限制。
图1是根据一个或多个实施例的处理腔室的示意性截面图。
图2A至图2B是根据一个或多个实施例的喷淋头的示意性截面图。
图3A至图3B是根据一个或多个实施例的基板支撑件的示意性截面图。
图4是根据一个实施例的喷淋头的示意性俯视图。
图5绘示根据一个或多个实施例的用于形成膜层的方法。
图6A至图6B是根据图5的方法在基板上的膜层的截面图。
图7是根据一个或多个实施例的阶梯状(stair-like)结构的截面图。
为了便于理解,在可能的情况下,使用相同的附图标记代表附图中相同的组件。可以预期的是,一个实施例中的组件与特征可有利地并入其他实施例中而无需赘述。
具体实施方式
本公开的实施例描述一种用于沉积膜层的设备和方法,该设备和方法可在一系列沉积和光刻工艺之后对覆盖误差具有最小的贡献(contribution)。在一个实施例中,沉积方法可以以横跨膜层表面具有最小应力变化或平面内变形(IPD)形成膜层,从而在形成于基板上的每个膜层中提供一致的膜应力。因此,当整合来自一系列沉积和光刻工艺的所有膜层以形成半导体组件时,可以最小化或消除覆盖误差。
图1是根据一个或多个实施例的处理腔室100的示意性截面图。处理腔室100可以是等离子体增强化学气相沉积(PECVD)腔室,其用于沉积介电膜堆叠,如具有交替的氧化物层和氮化物层的堆叠或具有交替的氧化物层和多晶硅层的堆叠。如图1所示,处理腔室100包括腔室壁102、设置在腔室壁102内的基板支撑件104和设置在腔室壁102内的喷淋头(例如气体分配板)106。基板支撑件104设置在喷淋头106下方,并且经配置用于支撑基板108。基板108可以是假晶片(dummy wafer)或产品晶片(production wafer)。狭缝阀开口152可在腔室壁中形成,狭缝阀开口152用于装载和卸除一个或多个基板(诸如基板108)。真空泵111耦接至处理腔室100的底部以抽空处理腔室100。可以选择性地打开和关闭阀113以控制真空泵111内的真空度。
在操作期间,基板支撑件104可旋转,以使设置在其上的基板108旋转。基板支撑件104的旋转可以是在一个方向(顺时针或逆时针)上的连续旋转,或在相反方向上振荡,诸如如在旋转180度之后改变旋转方向。基板支撑件104的旋转可以改善沉积的膜堆叠的厚度均匀性。在一些实施例中,可将基板支撑件104加热至升高的温度,诸如高达700摄氏度,以用于高温工艺。
RF电源107耦接到设置在喷淋头106上方的挡板140。挡板140和喷淋头106一起限定用于处理腔室100的气体混合体积142(在挡板140和喷淋头106之间)和反应体积144(在挡板140和基板支撑件104之间)。穿过挡板140形成多个孔146。
喷淋头106包括面向基板支撑件104的第一表面114和与第一表面114相对的第二表面116。多个气体通道115形成在喷淋头106中,多个气体通道115从第一表面114延伸到第二表面116。调整喷淋头106的大小以覆盖基板支撑件104。喷淋头106通常由不锈钢、铝(Al)、阳极化铝、镍(Ni)或其他RF导电材料制成。喷淋头106可以被铸造、铜焊、锻造、热等静压(hot iso-statically pressed)或烧结。喷淋头106可以是圆形或多边形的(诸如矩形或正方形)。
气体源110可耦接至挡板140,用于将一个或多个处理气体输送到处理腔室100中。远程等离子体源112也可耦接至喷淋头106,以将清洗剂(诸如解离的氟)输送到处理腔室300中,以从处理腔室硬件(如喷淋头106)中移除沉积副产物和膜。
可在处理腔室100中利用各种方法来最小化或消除基板上的膜堆叠的处理引起的面内变形(IPD)。IPD包括径向变形和/或平面变形。如本文所使用的,径向变形是指沿着基板的给定半径的点之间的变形,其可通过针对起源于感兴趣的两个点的变形向量计算变形的径向分量之间的差来确定。如本文中所使用的,平面变形是指可能在基板的小区域或整个表面上发生的膨胀变形(expansion distortion)、旋转变形和平移变形。可以使用下面论述的各种方法来减少膜堆叠(诸如具有交替的氧化物和氮化物层的堆叠)的径向变形和/或平面变形。
已经观察到异型电极(profiled electrode)可以用于减少或消除基板108上的膜堆叠的径向变形。在一些实施例中,喷淋头106和基板支撑件104具有曲率以调整基板108上方的等离子体密度。在一些实施例中,喷淋头106和基板支撑件104具有彼此不同的曲率。图2A和图2B是喷淋头206的示意性截面侧视图。
当观察到基板108的中心具有比基板108的边缘更大的拉伸应力时,在基板工艺(如具有交替的氧化物和氮化物层的膜堆叠的沉积工艺)期间,可使用凸形喷淋头和/或凹形基板支撑件,以改变喷淋头106和基板支撑件104之间的间距D1。在各种实施例中,间距D1可在约10密耳(mil)至约30密耳之间的范围内,例如约15密耳。喷淋头106和基板支撑件104之间的间距D1可改变基板108上方的等离子体密度,从而导致基板108的中心更为压缩(compressive)。例如,图2A所示的喷淋头206可用来代替图1的喷淋头106。在此实施例中,喷淋头206具有面向基板支撑件(未图示,诸如图1的基板支撑件104)的第一表面204以及与第一表面204相对的第二表面208。喷淋头206进一步包括形成在喷淋头206中的多个气体通道207,多个气体通道207从第一表面204延伸到第二表面208。与图1所示的平坦第一表面114不同,第一表面204可具有曲率,如凸形表面,如图2A所示。利用凸形第一表面204,第一表面204的中心区域比第一表面204的边缘区域更靠近基板支撑件104或基板108。喷淋头206的凸形表面可以减少中心区域处的间距D1,从而增加基板108的中心区域处的等离子体密度。因此,基板108的中心可变得更为压缩,以平衡(balance out)基板108最初承载的拉伸应力。因此,减少了基板108上的膜堆叠的IPD/覆盖误差。
另外或替代地,基板支撑件(如图3A所示的基板支撑件304)可具有曲率,诸如凹形表面306,如图3A所示。基板支撑件304可用于代替图1的基板支撑件104。利用有凹形表面306,凹形表面306的中心区域比凹形表面306的边缘区域更远离喷淋头(未图示,诸如图1的喷淋头106)。因此,增加中心区域处的间距D1以减少基板108的中心区域处的等离子体密度。基板108的中心可以变得更为压缩,以平衡基板108最初承受的拉伸应力。可以预期的是,基板支撑件304的凹形表面306可以与图2A和图2B的喷淋头206、210中的任何一个一起工作,以控制等离子体密度,以减少或最小化基板108上的膜堆叠的IPD/覆盖误差。
当观察到基板108的中心具有比基板108的边缘更大的压缩应力时,在基板工艺(诸如具有交替的氧化物和氮化物层的膜堆叠的沉积工艺)期间,可使用凹形喷淋头和/或凸形基板支撑件,以改变喷淋头106和基板支撑件104之间的间距D1。喷淋头106和基板支撑件104之间的间距D1可改变基板108上方的等离子体密度,从而使得基板108的中心较小地压缩(less compressive)。例如,图2B所示的喷淋头210可用于代替图1的喷淋头106。在此实施例中,喷淋头210包括面向基板支撑件(未图示,如图1的基板支撑件104)的第一表面212以及与第一表面212相对的第二表面214。第一表面212可具有曲率,例如凹形表面,如图2B所示。利用凹形的第一表面212,第一表面212的中心区域比第一表面212的边缘区域离基板支撑件104或基板108更远。喷淋头210的凹形表面可以减少中心区域处的间距D1,进而可降低基板108的中心区域处的等离子体密度。因此,基板108的中心可以变得压缩性较小,以平衡基板108最初承载的较高的压缩应力。因此,减少了基板108上的膜堆叠的IPD/覆盖误差。
另外或替代地,基板支撑件(诸如图3B所示的基板支撑件308)可具有曲率,诸如凸形表面310,诸如图3B所示。基板支撑件308可用于代替图1的基板支撑件104。利用凸形表面310,凹形表面306的中心区域比凸形表面310的边缘区域更靠近喷淋头(未图示,诸如图1的喷淋头106)。因此,减少中心区域处的间距D1以减少基板108的中心区域处的等离子体密度。基板108的中心可以变得压缩性较小,以平衡基板108最初承载的较高的压缩应力。可以预期的是,基板支撑件308的凸形表面310可以与图2A和图2B的喷淋头206、210中的任何一个一起工作,以控制等离子体密度,以减少或最小化基板108上的膜堆叠的IPD/覆盖误差。
在一些实施例中,其可以包括本公开中描述的任何其他实施例或与本公开中描述的任何其他实施例结合,基板支撑件104可包括两个或更多个电极,该电极用于在基板工艺(诸如具有交替的氧化物和氮化物层的膜堆叠的沉积工艺)期间径向地调节基板108上方的等离子体密度。例如,在图1所示的实施例中,第一电极120可被嵌入在基板支撑件104内或耦接到基板支撑件104的表面。第一电极120可以是板、穿孔板、网格(mesh)、线网(wirescreen)或导电元件的任何其他分布布置。第二电极122也可嵌入基板支撑件104内或耦接到基板支撑件104的表面。同样地,第二电极122可以是板、通孔板、网格、线网或导电元件的任何其他分布布置。在一个实施例中,第一电极120和第二电极122两者都是网格。第一电极120可以在第一高度处横跨基板支撑件104扩展。第一电极120的长度可等于基板108的直径。第二电极122可以在不同于第一高度的第二高度处设置在基板支撑件104的边缘区域处。在可与其他实施例组合的一个实施例中,第二高度高于第一高度。在可与其他实施例结合的一个实施例中,第二高度低于第一高度。第二电极122设置在第一电极120的径向外侧,并且可与第一电极120的一部分重叠或可不与第一电极120的一部分重叠。
第一电极120通过导管126(例如设置在基板支撑件104中的轴128中的缆线)耦接至电源124。第一控制器130(诸如阻抗匹配电路)可设置在电源124和第一电极120之间,以独立地控制在基板支撑件104的内部区域处的第一电极120的阻抗。电源124可以是DC功率、脉冲DC功率、RF偏压功率、脉冲RF源或偏压功率或其组合。第二电极122通过导管134(例如设置在基板支撑件104中的轴128中的缆线)耦接到电源132。第二控制器136(诸如阻抗匹配电路)可设置在电源132和第二电极122之间,以独立地控制在基板支撑件104的外部区域处的第二电极122的阻抗。外部区域围绕内部区域并且可具有径向距离,该径向距离覆盖从基板的边缘到基板支撑件104的边缘的区域。内部区域可具有至少等于基板直径的径向距离。电源132可以是DC功率、脉冲DC功率、RF偏压功率、脉冲RF源或偏压功率或其组合。因此,第一电极120和第二电极122可以独立地控制阻抗。
当观察到基板108的中心具有比基板108的边缘更大的压缩应力时,第二控制器136可以经配置使得在基板工艺(诸如具有交替的氧化物和氮化物层的膜堆叠的沉积工艺)期间,在外部区域处的第二电极122的阻抗低于在内部区域的阻抗。或者,第一控制器130可以经配置为在内部区域处的阻抗高于在外部区域处的阻抗。在任一情况下,基板支撑件104的外部区域处的较低阻抗可以导致更多等离子体耦接到外部区域,使得基板108在边缘区域处具有较高的压缩应力。因此,实现横跨基板108的应力均匀性,从而减少或消除基板108上的膜堆叠的径向变形。
同样地,当观察到基板108的中心具有比基板108的边缘较小的压缩应力时,第二控制器136可以经配置使得在基板工艺(诸如具有交替的氧化物和氮化物层的膜堆叠的沉积工艺)期间,在外部区域处的第二电极122的阻抗高于在内部区域处的阻抗。或者,第一控制器130可以经配置为在内部区域处的阻抗低于在外部区域处的阻抗。在任一情况下,基板支撑件104的外部区域处的较高阻抗可以导致较少的等离子体耦接到外部区域,使得基板108在边缘区域处具有较少的压缩应力。因此,实现横跨基板108的应力均匀性,从而减少或消除基板108上的膜堆叠的径向变形。
在可以包括本公开中描述的任何其他实施例或与本公开中描述的任何其他实施例结合的一些实施例中,挡板140的孔146可经配置用于在基板工艺(诸如具有交替的氧化物和氮化物层的膜堆叠的沉积工艺)期间调节沉积速率。当观察到基板108的中心具有比基板108的边缘更大的压缩应力时,如图1所示,挡板140在中心区域处的孔146可以比在挡板140的边缘区域处的孔更多。例如,中心区域处的孔146可具有第一密度,并且边缘区域处的孔146可具有第二密度,并且第一密度与第二密度的比可以为约1.2:1至约5:1,例如约1.5:1至约3:1。
可以通过将在(面向基板支撑件104的)第一表面148处的孔146的开口所限定的总面积除以所测量区域中的挡板140的第一表面148的总面积来计算孔146的密度。在挡板140的中心区域处的孔146的密度可以在约10%至约100%的范围内,如在约60%至约100%的范围内。孔146的密度在中心区域中比边缘区域高,以增加在中心区域中的气流。从中心区域到边缘区域的密度变化应该是渐变的且平滑的,以确保均匀且平滑的沉积和膜特性分布。在挡板140的中心处的较高密度的孔146可以增加气体混合体积142的中心区域中的气流,从而增加反应体积144的中心区域中的气流和等离子体密度。因此,膜堆叠将在基板108的中心区域处具有较高的沉积速率。通过在基板108的中心区域处具有较高的沉积速率,基板108的中心可变得比基板108的边缘的压缩性更低。因此,减少或最小化基板108上的膜堆叠的IPD(例如径向变形)和覆盖误差。
当观察到基板108的中心具有比基板108的边缘较小的压缩应力时,挡板140在中心区域处的孔146的数量可以少于挡板140的边缘区域处的孔的数量。例如,中心区域处的孔146可具有第一密度,并且边缘区域处的孔146可具有第二密度,并且第一密度与第二密度的比可以为约1:1.2至约1:5,如约1:1.5至约1:3。
在此实施例中,在挡板140的中心区域处的孔146的密度可以在约10%至约100%的范围内,例如在约10%至约40%的范围内。孔146的密度在中心区域中比在边缘区域低,减少了在中心区域中的气流。从中心区域到边缘区域的密度变化应该是渐变的且平滑的,以确保均匀且平滑的沉积和膜特性分布。在挡板140的中心处的较低密度的孔146可以减少气体混合体积142的中心区域中的气流,从而降低反应体积144的中心区域中的气流和等离子体密度。因此,膜堆叠将在基板108的中心区域处具有较低的沉积速率。通过在基板108的中心区域处具有较低的沉积速率,基板108的中心可变得比基板108的边缘更为压缩(morecompressive)。如此一来,减少或最小化基板108上的膜堆叠的IPD(例如径向变形)/覆盖误差。
孔146的密度的设计构思也可应用于气体通道115的设计,以改善中心到边缘的均匀性。
在各种实施例中,匹配网络154可设置在处理腔室100的顶部上方。匹配网络154可通过传输线156电连接到射频(RF)源158。RF功率可由RF源158产生,并由RF馈送160施加到喷淋头106。RF馈送160可具有电耦接到匹配网络154的第一端162和电耦接到喷淋头106的第二端164。RF馈送160可由弹性导电材料(诸如铜带)制成。如将在下面更详细地论述的,RF馈送160的第二端164可耦接到喷淋头106上的不同位置,以减少等离子体不均匀性。
图4是根据一个实施例的喷淋头406的示意性俯视图。喷淋头406可代替图1的喷淋头106使用。如图4所示,喷淋头406可以是圆形的且具有背向(face away from)基板支撑件104(图1)的顶表面408。多个耦接点410a、410b、410c、410d可位于喷淋头406的顶表面408上。如图1所示,每个耦接点410a-410d可用于固定RF馈送160的第二端(例如第二端164)。耦接点410a-410d设置在绕喷淋头406的中心点412对称的喷淋头406的位置处。在基板工艺(诸如具有交替的氧化物和氮化物层的膜堆叠的沉积工艺)期间,RF电源402经由传输线(统称为414)电耦接到在多个位置处的耦接点。匹配网络403(例如匹配网络154)可设置在RF电源402和耦接点410a-410d之间。耦接点410a-410d以对称方式布置,以改善基板108(图1)上方的等离子体均匀性。因此,降低了IPD残留均匀性(IPD residual uniformity)。
尽管在图4中图示了四个耦接点410a-410d,但是可以设想有更多或更少的耦接点。在一个实施例中,利用六个耦接点。在另一个实施例中,利用八个耦接点。在又一个实施例中,利用单一耦接点且可将该单一耦接点设置在喷淋头406的中心处。当使用多个耦接点时,可将耦接点对称地布置在喷淋头106处,以减小或消除基板108上的膜堆叠的平面和/或残留变形。可以预期的是,若将RF功率耦接到基板支撑件,则本文描述的构思也可以应用于基板支撑件。在该情况下,一个或多个RF馈送可以耦接到基板支撑件104的电极(如图1中所示的第一电极120和/或第二电极122)的多个位置。
在基板工艺期间,也可使用其他方法来帮助减少或消除基板108上的膜堆叠的平面和/或残留变形。例如,如图1所示,调整装置170、172可附接到基板支撑件104的底部。调整装置170、172可相对于穿过轴128的纵向方向的中心轴(未图示)对称地设置。调整装置170、172可延伸穿过处理腔室100的底部,并且在基板工艺期间、之前或之后旋转,以调整基板支撑件104的水平度。调整装置170、172可以是适合于调整基板支撑件104的高度的任何装置或机构。可以改变基板支撑件104的水平度,以调节基板108上方的边到边或前到后的等离子体密度。因此,获得膜堆叠的均匀的边到边应力分布。
在可以包括本公开中描述的任何其他实施例或与本公开中描述的任何其他实施例结合的一些实施例中,可以通过在基板工艺(诸如具有交替的氧化物和氮化物层的膜堆叠的沉积工艺)期间旋转基板支撑件104来减少或消除膜堆叠的平面变形。基板支撑件104的旋转可以是在一个方向上的连续旋转,或者是在相反的方向上振荡,如在旋转180度之后改变旋转方向。在一个实施例中,膜堆叠的沉积被分成两个沉积工艺,其中基板108旋转180度以消除IPD平面变形。例如,膜堆叠可包括总共约2至90对氧化物层和氮化物层,并且可在基板支撑件104保持静止的情况下沉积膜堆叠的前半部分(例如1至45对氧化物层和氮化物层),并且可在使基板支撑件104旋转180度的情况下沉积膜堆叠的后半部分(例如46至90对氧化层和氮化物层),从而补偿IPD变形所引起的膜沉积分布的差异。因此,改善了沉积的膜堆叠的厚度均匀性。
可以预期的是,本文描述的构思可以等同地应用于多个沉积工艺。例如,在基板108旋转120度的情况下,可以将膜堆叠的沉积分为三个沉积工艺。或者,在基板108旋转90度的情况下,可以将膜堆叠的沉积分成四个沉积,依此类推。
由于喷淋头106或电极的大面积,施加于喷淋头106或电极的RF电压可能具有使等离子体变形(distort)的干涉波图案(interference wave pattern)。波干涉图案的一个示例是驻波。驻波可能发生在电极(诸如喷淋头106)的中心处或中心附近。因为等离子体由于驻波效应或干涉波图案而没有均匀地分布,所以沉积在基板108上的膜堆叠在横跨基板108上的厚度可能不均匀。如此一来,膜应力均匀性受到损害(compromise)。
为了改善等离子体均匀性,可以在基板工艺(例如具有交替的氧化物和氮化物层的膜堆叠的沉积工艺)期间以低RF频率将RF功率施加到电极(诸如喷淋头106)。低RF频率可以在约0.3MHz到约60MHz的范围内,如约13.56MHz到约40MHz。在一个实施例中,低RF频率为约14MHz至约27MHz。使用较低的RF频率可以导致RF功率以较短的波长传输。例如,13.56MHz的RF频率对应于22米的波长,并且27MHz的RF频率对应于11米的波长。发现以较短波长传送的RF功率与喷淋头106相当或相同数量级(order)。因此,可以减轻在喷淋头106的中心处或中心附近出现的驻波效应或干涉波图案。
具有低RF频率的RF功率可以通过多个耦接点(诸如如上所述设置在喷淋头106上的耦接点410a-410d)施加到电极。另外或替代地,可以将具有低RF频率的RF功率施加到基板支撑件104的电极,例如图1中所示的第一电极120和/或第二电极122。
可以预期的是,将低RF频率施加到电极的方法可以与本公开中论述的任何实施例结合。例如,在一些实施例中,低RF频率可以与凸形或凹形喷淋头106和/或凸形或凹形基板支撑件104结合使用,以将间距D1(图1)保持在15密耳。在一个实施例中,低RF频率可与多个RF馈送位置以及凸形或凹形喷淋头106和/或凸形或凹形基板支撑件104结合使用,以将间距D1保持在15密耳。在一些实施例中,可以将低RF频率与以下各者结合使用以将间距D1保持在预定范围内或预定值(如15密耳):多个RF馈送位置、在挡板的中心区域处的不同密度的孔、及凸形或凹形的喷淋头106和/或凸形或凹形的基板支撑件104。
可以以任何顺序组合各种实施例以执行基板工艺,诸如具有交替的氧化物和氮化物层的膜堆叠的沉积工艺。图5绘示用于形成膜层(如介电层)的方法500,该膜层随后可用于形成半导体组件的闸极结构。在一个示例中,膜层可用于形成三维(3D)与非(NAND)半导体应用的闸极结构。在制造三维(3D)NAND半导体应用中,阶梯状的氧化物-氮化物对结构通常用于高深宽比的闸极堆叠NAND单元,以增加电路密度。
图5中描述的顺序对应于图6A至图6B中描绘的制造阶段,此将在下面论述。方法500通过将基板602提供到沉积处理腔室(诸如图1所示的处理腔室100)中而在操作502处开始。基板602具有形成在其上的第一膜层606。第一膜层606可以是包含氧化硅的层、包含氮化硅的层、含硅层,所述含硅层诸如非晶硅层、多晶硅层或任何适当的晶体硅层。在图6A所示的示例中,第一膜层606是包含氧化硅的层,诸如氧化硅层。基板602可以是诸如以下的材料:晶体硅(例如,Si<100>或Si<111>)、氧化硅、应变硅、硅锗、掺杂或无掺杂多晶硅、绝缘体上的掺杂或无掺杂硅晶片以及图案化或非图案化的晶片硅(SOI)、碳掺杂氧化硅、氮化硅、掺杂硅、锗、砷化镓、玻璃、或蓝宝石。
在操作504,将沉积气体混合物提供到处理腔室中,以在第一膜层606上形成第二膜层608(诸如包含氮化硅的层),如图6B所示。沉积气体混合物可包括含硅气体和反应气体。合适的含硅气体可包括但不限于硅烷(SiH4)、乙硅烷(Si2H6)、四氟化硅(SiF4)、四氯化硅(SiCl4)、二氯硅烷(SiH2Cl2)、四乙氧基硅烷(tetraethyl orthosilicate;TEOS)等。反应气体可以是用于形成含氧化硅层的含氧气体、用于形成含氮化硅层的含氮气体或用于形成含碳化硅层的含碳气体。含氧气体的合适示例包括O2、N2O、NO2、O3、H2O等。含氮气体的合适示例包括N2、N2O、NO2、NH3、N2H2等。含碳气体的合适示例包括CO2、CO、CH4、CF4,其他适当的碳基聚合物气体等。沉积气体混合物中可包含一种或多种惰性气体。惰性气体可包括但不限于稀有气体,诸如Ar、He和Xe或N2等。腔室压力可维持在约10mTorr至约15Torr之间的范围内,并且基板温度可维持在约200摄氏度至约700摄氏度之间的范围内。取决于基板的应力分布,处理腔室的喷淋头和/或基板支撑件可具有曲率,以在喷淋头和基板支撑件之间获得预定的间距,诸如以上关于图1、图2A、图2B、图3A和图3B所论述的约10密耳至约30密耳。
在操作506,RF功率由电源(诸如射频(RF)源158(图1))产生,且RF功率耦接至沉积气体混合物以辅助沉积气体混合物解离成等离子体中的反应物质。如上所述,RF功率可以在约13.56MHz至约40MHz的低RF频率下操作,如约27MHz。RF功率可以在约10瓦至约5000瓦下操作,如约500瓦。可以由一个或多个电源(诸如电源124、132)以如上所述的方式提供RF偏压功率,以改善沉积工艺期间的等离子体密度。可以以300k Hz的频率在约10瓦至约100瓦之间提供RF偏压功率。在一个实施例中,RF偏压功率可以脉冲式的,且在约500Hz至约10kHz之间的RF频率下具有约10%至约95%之间的工作周期(duty cycle)。除非另外说明,否则在具有以下中的一种直径的基板上执行本文所述的实施例和示例:200mm直径、300mm直径,和450mm直径。
在操作508,当由沉积气体混合物形成等离子体时,基板支撑件(诸如基板支撑件104(图1))可以以连续方式旋转,或者以相反的方向振荡(诸如在旋转180度之后改变旋转方向),以帮助基板以更均匀的方式暴露于等离子体。如上所述,取决于所分成的沉积工艺的数量,基板支撑件104可在约1°和约360°之间旋转,诸如在约30°和约270°之间,例如在约90°和约180°之间旋转。在整个沉积工艺中,基板支撑件可连续旋转基板。
在操作510,将第二膜层608沉积到期望的厚度。在沉积到期望的厚度之后,接着终止沉积工艺。在一个示例中,第二膜层608可具有介于约10nm和约60nm之间的厚度,诸如约30nm。在第二膜层608是氮化硅层的实施例中,氮化硅层可以具有约-200MPa和约+1200MPa之间的应力范围,且应力均匀性(stress uniformity)小于50%。第二膜层608可具有小于50μm的局部弯曲(bow)范围。应注意,如本文所论述的应力均匀性(U%)是指跨基板表面测量到的绝对应力值的变化(Δ)(例如,从9点、49点,或69点应力测量中获得的最大应力值与最小应力值之间的变化)除以从膜层测量到的应力的平均值(σ)(例如,从9点、49点,或69点应力测量中获得的应力值的平均值)(诸如,σ/Δ=U%)。
可重复地执行用于形成第一膜层606和第二膜层608的沉积工艺以形成膜堆叠702,然后可对膜堆叠702图案化以形成用于3D NAND半导体组件的阶梯状结构。图7绘示根据一个或多个实施例的阶梯状结构704的截面图。在图7所示的示例中,膜堆叠702可包括上面论述的第一膜层606和第二膜层608的交替层(以606a、608a、606b、608b……606n、608n表示)。膜堆叠702可具有在约600nm和约4000nm之间的总厚度。膜堆叠702可包括总共约5至90对的第一膜层606和第二膜层608。在随后的图案化或蚀刻工艺中,光阻剂层可以用于顺序地修整成不同的尺寸,同时用作阶梯状结构704的蚀刻掩模以完成3D NAND半导体组件的闸极结构。
尽管前面所述是针对本公开的实施例,但在不背离本公开的基本范围下,可设计本公开的其他与进一步的实施例,且本公开的范围由以下权利要求所限定。

Claims (15)

1.一种在基板上形成膜层的方法,包括:
将基板定位在处理腔室中的基板支撑件上;
使包括含硅气体和反应气体的沉积气体混合物通过喷淋头流到所述处理腔室,根据所述基板的应力分布,所述喷淋头具有面向所述基板支撑件的凸形表面或面向所述基板支撑件的凹形表面。
通过将RF功率施加到所述喷淋头的多个耦接点而在所述处理腔室中存在所述沉积气体混合物的情况下形成等离子体,所述喷淋头的所述多个耦接点绕所述喷淋头的中心点对称地布置;以及
在旋转所述基板时执行沉积工艺以在所述基板上沉积膜层。
2.如权利要求1所述的方法,其中所述含硅气体包括以下各者中的至少一者:硅烷、乙硅烷、四氟化硅、四氯化硅、二氯硅烷和四乙氧基硅烷;并且其中所述反应气体包括以下各者中的至少一者:含氧气体、含氮气体和含碳气体。
3.如权利要求1所述的方法,进一步包括:
通过在所述沉积工艺期间调整所述基板支撑件的高度来调节所述基板上方的边到边或前到后的等离子体密度。
4.如权利要求1所述的方法,进一步包括:
通过以下步骤使所述沉积气体混合物输送通过设置在所述喷淋头之上的挡板:
如果所述基板的中心区域的压缩应力比所述基板的边缘区域的压缩应力高,则使所述沉积气体混合物流过具有第一密度的所述挡板的中心区域处的孔和具有第二密度的所述挡板的边缘区域处的孔,所述第二密度低于所述第一密度;或者
如果所述基板的所述中心区域的压缩应力比所述基板的所述边缘区域的压缩应力低,则使所述沉积气体混合物流过具有第三密度的所述挡板的所述中心区域处的孔和具有第四密度的所述挡板的所述边缘区域处的孔,所述第四密度高于所述第三密度。
5.如权利要求1所述的方法,其中所述膜层是包含第一膜层与第二膜层的膜堆叠,并且所述第二膜层设置在所述第一膜层上,所述第一膜层与所述第二膜层交替且重复地形成于所述膜堆叠中,并且所述膜堆叠具有小于200μm的局部弯曲(bow)范围,所述第一膜层是氧化硅层,并且所述第二膜层是氮化硅层。
6.如权利要求1所述的方法,进一步包括:
通过以下步骤调节所述基板上方的等离子体密度:
如果所述基板的中心区域的压缩应力比所述基板的边缘区域处的压缩应力高,则将所述基板支撑件的外部区域处的第一电极保持在第一阻抗,以及将所述基板的内部区域处的第二电极保持在第二阻抗,所述第二阻抗高于该第一阻抗;或者
如果所述基板的所述中心区域的压缩应力比所述基板的所述边缘区域处的压缩应力低,则将所述基板支撑件的所述外部区域处的所述第一电极保持在第三阻抗,以及将所述基板的所述内部区域处的所述第二电极保持在第四阻抗,所述第四阻抗低于所述第三阻抗。
7.一种在基板上形成膜层的方法,包括:
将基板定位在处理腔室中的基板支撑件上,根据所述基板的应力分布,所述基板支撑件具有凹形基板支撑表面或凸形基板支撑表面;
使包括含硅气体与反应气体的沉积气体混合物通过喷淋头流到处理腔室;
通过将RF功率施加到所述喷淋头的多个耦接点而在所述处理腔室中存在所述沉积气体混合物的情况下形成等离子体,所述喷淋头的所述多个耦接点绕所述喷淋头的中心点对称地布置;以及
在旋转所述基板时执行沉积工艺以在所述基板上沉积膜层。
8.如权利要求7所述的方法,进一步包括:
通过在所述沉积工艺期间调整所述基板支撑件的高度来调节所述基板上方的边到边或前到后的等离子体密度。
9.如权利要求7所述的方法,进一步包括:
通过以下步骤使所述沉积气体混合物输送通过设置在所述喷淋头之上的挡板:
如果所述基板的中心区域的压缩应力比所述基板的边缘区域的压缩应力高,则使所述沉积气体混合物流过具有第一密度的所述挡板的中心区域处的孔和具有第二密度的所述挡板的边缘区域处的孔,所述第二密度低于所述第一密度;或者
如果所述基板的所述中心区域的压缩应力比所述基板的所述边缘区域的压缩应力低,则使所述沉积气体混合物流过具有第三密度的所述挡板的所述中心区域处的孔和具有第四密度的所述挡板的所述边缘区域处的孔,所述第四密度高于所述第三密度。
10.如权利要求7所述的方法,其中所述膜层是包含第一膜层与第二膜层的膜堆叠,所述第二膜层设置在所述第一膜层上,所述第一膜层与所述第二膜层交替且重复地形成于所述膜堆叠中,所述膜堆叠具有小于200μm的局部弯曲范围,所述第一膜层是氧化硅层,并且所述第二膜层是氮化硅层。
11.如权利要求7所述的方法,进一步包括:
通过以下步骤调节所述基板上方的等离子体密度:
如果所述基板的中心区域的压缩应力比所述基板的边缘区域处的压缩应力高,则将所述基板支撑件的外部区域处的第一电极保持在第一阻抗,以及将所述基板的内部区域处的第二电极保持在第二阻抗,所述第二阻抗高于所述第一阻抗;或者
如果所述基板的所述中心区域的压缩应力比所述基板的所述边缘区域处的压缩应力低,则将所述基板支撑件的所述外部区域处的所述第一电极保持在第三阻抗,以及将所述基板的所述内部区域处的所述第二电极保持在第四阻抗,所述第四阻抗低于所述第三阻抗。
12.一种在基板上形成膜层的方法,包括:
将基板定位在处理腔室中的基板支撑件上,根据所述基板的应力分布,所述基板支撑件具有凹形基板支撑表面或凸形基板支撑表面;
使包括含硅气体和反应气体的沉积气体混合物通过喷淋头流到处理腔室,根据所述基板的应力分布,所述喷淋头具有面向所述基板支撑件的凸形表面或面向所述基板支撑件的凹形表面;
通过将RF功率施加到所述喷淋头的多个耦接点而在所述处理腔室中存在所述沉积气体混合物的情况下形成等离子体,所述喷淋头的所述多个耦接点绕所述喷淋头的中心点对称地布置;以及
在旋转所述基板时执行沉积工艺以将膜层沉积在所述基板上以及通过调整所述基板支撑件的高度来调节所述基板上方的边到边或前到后的等离子体密度。
13.如权利要求12所述的方法,进一步包括:
通过以下步骤使所述沉积气体混合物输送通过设置在所述喷淋头之上的挡板:
如果所述基板的中心区域的压缩应力比所述基板的边缘区域的压缩应力高,则使所述沉积气体混合物流过具有第一密度的所述挡板的中心区域处的孔和具有第二密度的所述挡板的边缘区域处的孔,所述第二密度低于所述第一密度;或者
如果所述基板的所述中心区域的压缩应力比所述基板的所述边缘区域的压缩应力低,则使所述沉积气体混合物流过具有第三密度的所述挡板的所述中心区域处的孔和具有第四密度的所述挡板的所述边缘区域处的孔,所述第四密度高于所述第三密度。
14.如权利要求12所述的方法,其中所述膜层是包含第一膜层与第二膜层的膜堆叠,所述第二膜层设置在所述第一膜层上,所述第一膜层与所述第二膜层交替且重复地形成于所述膜堆叠中,所述膜堆叠具有小于200μm的局部弯曲范围,所述第一膜层是氧化硅层,并且所述第二膜层是氮化硅层。
15.如权利要求12所述的方法,其中调节所述基板上方的等离子体密度包括:
如果所述基板的中心区域的压缩应力比所述基板的边缘区域处的压缩应力高,则将所述基板支撑件的外部区域处的第一电极保持在第一阻抗,以及将所述基板的内部区域处的第二电极保持在第二阻抗,所述第二阻抗高于所述第一阻抗;或者
如果所述基板的所述中心区域的压缩应力比所述基板的所述边缘区域处的压缩应力低,则将所述基板支撑件的所述外部区域处的所述第一电极保持在第三阻抗,以及将所述基板的所述内部区域处的所述第二电极保持在第四阻抗,所述第四阻抗低于所述第三阻抗。
CN201980076034.XA 2018-11-30 2019-11-08 用于三维与非(3d nand)应用的膜堆叠覆盖改进 Active CN113056807B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862773522P 2018-11-30 2018-11-30
US62/773,522 2018-11-30
PCT/US2019/060610 WO2020112329A1 (en) 2018-11-30 2019-11-08 Film stack overlay improvement for 3d nand application

Publications (2)

Publication Number Publication Date
CN113056807A true CN113056807A (zh) 2021-06-29
CN113056807B CN113056807B (zh) 2024-03-22

Family

ID=70851162

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980076034.XA Active CN113056807B (zh) 2018-11-30 2019-11-08 用于三维与非(3d nand)应用的膜堆叠覆盖改进

Country Status (7)

Country Link
US (1) US11339475B2 (zh)
JP (1) JP2022509636A (zh)
KR (1) KR20210087084A (zh)
CN (1) CN113056807B (zh)
SG (1) SG11202103765SA (zh)
TW (1) TW202029433A (zh)
WO (1) WO2020112329A1 (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6883620B2 (ja) * 2019-07-30 2021-06-09 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US11430893B2 (en) * 2020-07-10 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
KR20220109580A (ko) * 2021-01-29 2022-08-05 주성엔지니어링(주) 기판처리장치
CN114975053A (zh) * 2021-05-28 2022-08-30 北京屹唐半导体科技股份有限公司 用于等离子体处理设备的静电吸盘组件
WO2023028963A1 (zh) * 2021-09-02 2023-03-09 盛美半导体设备(上海)股份有限公司 薄膜沉积装置及薄膜沉积方法及薄膜沉积设备
WO2023096817A1 (en) * 2021-11-29 2023-06-01 Lam Research Corporation Showerhead faceplate configurations
JP7460858B1 (ja) 2023-04-26 2024-04-02 エスケー エンパルス カンパニー リミテッド 上部電極、これを含む半導体素子の製造装置および半導体素子の製造方法

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030089314A1 (en) * 1999-03-18 2003-05-15 Nobuo Matsuki Plasma CVD film-forming device
CN102017176A (zh) * 2008-03-25 2011-04-13 应用材料股份有限公司 结晶太阳能电池的表面清洁与纹理化工艺
CN102834930A (zh) * 2010-03-30 2012-12-19 应用材料公司 在扩散p型区域上方形成负电荷钝化层的方法
CN105789040A (zh) * 2015-01-09 2016-07-20 应用材料公司 用于光刻覆盖改进的半导体应用的栅极叠层材料
CN106337169A (zh) * 2015-07-07 2017-01-18 Asm知识产权私人控股有限公司 薄膜沉积装置
CN106415779A (zh) * 2013-12-17 2017-02-15 东京毅力科创株式会社 用于控制等离子体密度的系统和方法
CN107075671A (zh) * 2014-10-03 2017-08-18 应用材料公司 等离子体cvd膜中覆盖物的气体流动轮廓调节控制
CN108028175A (zh) * 2015-09-22 2018-05-11 应用材料公司 喷头支撑结构
CN108140551A (zh) * 2015-10-26 2018-06-08 应用材料公司 用于半导体制造的晶片处理的高生产率pecvd工具
WO2018199507A1 (ko) * 2017-04-25 2018-11-01 (주) 씨앤아이테크놀로지 전자 부품 캐리어 시트, 및 이를 이용한 점착 장치와 박막 형성장치

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6036878A (en) * 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US20100304527A1 (en) * 2009-03-03 2010-12-02 Peter Borden Methods of thermal processing a solar cell
KR101331420B1 (ko) 2011-03-04 2013-11-21 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 장치의 제조 방법
KR102205945B1 (ko) * 2012-09-26 2021-01-20 어플라이드 머티어리얼스, 인코포레이티드 폐쇄 루프 제어를 갖는 바닥 및 측부 플라즈마 튜닝
JP6710204B2 (ja) * 2014-10-15 2020-06-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマ損傷保護のための多層誘電体スタック
US10340171B2 (en) * 2016-05-18 2019-07-02 Lam Research Corporation Permanent secondary erosion containment for electrostatic chuck bonds

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030089314A1 (en) * 1999-03-18 2003-05-15 Nobuo Matsuki Plasma CVD film-forming device
CN102017176A (zh) * 2008-03-25 2011-04-13 应用材料股份有限公司 结晶太阳能电池的表面清洁与纹理化工艺
CN102834930A (zh) * 2010-03-30 2012-12-19 应用材料公司 在扩散p型区域上方形成负电荷钝化层的方法
CN106415779A (zh) * 2013-12-17 2017-02-15 东京毅力科创株式会社 用于控制等离子体密度的系统和方法
CN107075671A (zh) * 2014-10-03 2017-08-18 应用材料公司 等离子体cvd膜中覆盖物的气体流动轮廓调节控制
CN105789040A (zh) * 2015-01-09 2016-07-20 应用材料公司 用于光刻覆盖改进的半导体应用的栅极叠层材料
CN106337169A (zh) * 2015-07-07 2017-01-18 Asm知识产权私人控股有限公司 薄膜沉积装置
CN108028175A (zh) * 2015-09-22 2018-05-11 应用材料公司 喷头支撑结构
CN108140551A (zh) * 2015-10-26 2018-06-08 应用材料公司 用于半导体制造的晶片处理的高生产率pecvd工具
WO2018199507A1 (ko) * 2017-04-25 2018-11-01 (주) 씨앤아이테크놀로지 전자 부품 캐리어 시트, 및 이를 이용한 점착 장치와 박막 형성장치

Also Published As

Publication number Publication date
TW202029433A (zh) 2020-08-01
CN113056807B (zh) 2024-03-22
US11339475B2 (en) 2022-05-24
SG11202103765SA (en) 2021-06-29
WO2020112329A1 (en) 2020-06-04
KR20210087084A (ko) 2021-07-09
JP2022509636A (ja) 2022-01-21
US20200173022A1 (en) 2020-06-04

Similar Documents

Publication Publication Date Title
CN113056807B (zh) 用于三维与非(3d nand)应用的膜堆叠覆盖改进
US11365476B2 (en) Plasma enhanced chemical vapor deposition of films for improved vertical etch performance in 3D NAND memory devices
US9368364B2 (en) Silicon etch process with tunable selectivity to SiO2 and other materials
KR102579241B1 (ko) 리소그래피 오버레이 개선을 위한 반도체 애플리케이션들에 대한 게이트 스택 재료들
US10790140B2 (en) High deposition rate and high quality nitride
US20230220551A1 (en) Pulsed plasma (dc/rf) deposition of high quality c films for patterning
TWI830751B (zh) 低溫高品質的介電膜及其形成方法
JP7007407B2 (ja) 複数の堆積した半導体層のスタックを形成する方法
US11469097B2 (en) Carbon hard masks for patterning applications and methods related thereto
US11049770B2 (en) Methods and apparatus for fabrication of self aligning interconnect structure
TW200913053A (en) Method for etching using advanced patterning film in capacitive coupling high frequency plasma dielectric etch chamber
US20230386829A1 (en) Low temperature silicon oxide gap fill
KR20240056650A (ko) 3d nand를 위한 게르마늄 및 실리콘 스택들

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant