CN107075671A - 等离子体cvd膜中覆盖物的气体流动轮廓调节控制 - Google Patents

等离子体cvd膜中覆盖物的气体流动轮廓调节控制 Download PDF

Info

Publication number
CN107075671A
CN107075671A CN201580053158.8A CN201580053158A CN107075671A CN 107075671 A CN107075671 A CN 107075671A CN 201580053158 A CN201580053158 A CN 201580053158A CN 107075671 A CN107075671 A CN 107075671A
Authority
CN
China
Prior art keywords
area
substrate
baffle plate
gas
heating zone
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201580053158.8A
Other languages
English (en)
Other versions
CN107075671B (zh
Inventor
P·K·库尔施拉希萨
S·拉斯
P·P·杰哈
S·巴苏
K·D·李
M·J·西蒙斯
金柏涵
G·巴拉苏布拉马尼恩
Z·段
荆雷
M·B·潘迪特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN107075671A publication Critical patent/CN107075671A/zh
Application granted granted Critical
Publication of CN107075671B publication Critical patent/CN107075671B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一种调节一个或多个图案化膜的局部应力与覆盖误差的方法可包括调节引入腔室主体的气体的气体流动轮廓、使腔室主体内的气体流向基板、旋转基板,及通过利用双区加热器控制基板温度来统一基板的中心至边缘温度轮廓。用于沉积膜的腔室可包括包含一个或多个处理区的腔室主体。腔室主体可包括气体分配组件,气体分配组件具有挡板以用于输送气体至一个或多个处理区。挡板具有第一区域与第二区域,第一区域与第二区域各具多个孔洞。腔室主体可具有双区加热器。

Description

等离子体CVD膜中覆盖物的气体流动轮廓调节控制
背景
技术领域
本发明的实施例大体涉及在基板上沉积膜层的方法和设备。
背景技术
硬模(例如非晶氢化碳)防止精细材料的损坏及变形,例如二氧化硅或碳掺杂氧化硅。此外,硬模可当作蚀刻掩模,并结合常规光刻技术来防止蚀刻期间的材料移除。
在一些应用中,例如光刻处理,期望硬模对于光辐射(即光波长在约400纳米(nm)与约700nm之间)是高度透射的。对于特定光波长的透射性允许更准确的光刻配准,进而允许掩模与基板上的特定位置的精确对准。材料对于给定光频的透射性通常量化成材料的消光系数,此也称作吸收系数(κ)。例如,就约6000埃厚的非晶氢化碳层而言,非晶氢化碳层在用于光刻配准的光频(例如630nm)下应具有0.12或以下的吸收系数,否则掩模无法准确对准。也可使用吸收系数大于0.12的层,但层厚度可能需减小,以达成准确光刻配准。在覆盖误差方面,高κ值不会造成覆盖误差,但高κ范围可能造成覆盖误差。
非晶氢化碳(也称作非晶碳且表示成α-C:H)本质是无长序晶级的碳材料,从而可含大量氢含量,例如约10至45原子%的数量级。α-C:H因化学惰性、光学透射性和良好机械性质而做为半导体应用的硬模材料。虽然α-C:H膜可经由各种技术沉积,但由于成本效益和膜性质可调性,可采用等离子体化学气相沉积(PECVD)。在典型的PECVD工艺中,等离子体在腔室内被引发,以产生如激发的CH-自由基。激发的CH-自由基化学键结至置于腔室内的基板表面而形成α-C:H膜于上。
在某一层与覆盖该层的下一层之间,应对准该层与下一层的各个图案。可通过测量工具取得对准标记的测量,接着用于光刻工具,以于曝光期间对准后续层,及在光刻工艺后再检查对准性能。然层间覆盖误差不可避免,集成电路设计者计算制造时必须满足的误差范围。覆盖误差范围定义为光刻扫描仪不准确/失准、膜内非线性工艺变化、掩模至掩模变化和测量误差引起的误差。装置结构的覆盖误差可能来自不同误差来源,例如先前曝光工具、当前曝光工具的覆盖误差、先前曝光工具/测量工具与当前曝光工具/测量工具的覆盖误差间的匹配误差、或膜应力造成的基板膜层变形。
随着装置尺寸持续微缩,下一代光刻(NGL)工艺应该具有基板内的<6-8nm的覆盖误差范围。例如在PECVD工艺期间,局部分压、温度、停留时间和/或气体组分的反应性可能带来不均匀的沉积膜形貌,例如不同膜区域的膜局部应力不同。这种不均匀形貌将造成膜上不同区域处的局部覆盖误差。另外,下一代CVD硬模膜贡献>50%的覆盖误差,从而大幅降低装置产率和性能。故此领域需降低沉积的多层内的覆盖误差,并需要一种沉积集成电路制造可用材料层的方法,材料层可共形沉积在具有地形特征的基板上。
发明内容
在一实施例中,一种调节一个或多个图案化膜的局部应力与覆盖误差的方法包含经由包含第一区域与第二区域的挡板调节气体的气体流动轮廓,其中第一区域与第二区域各具多个孔洞。方法可包括通过挡板的第一区域与第二区域的多个孔洞,将气体引入腔室主体。方法可包括使腔室主体内的气体流向基板的第一区域与第二区域。方法可包括在将至少部分的膜沉积至基板上后,旋转基板。
在另一实施例中,一种调节一个或多个图案化膜的局部应力与覆盖误差的方法包含经由包含第一区域与第二区域的挡板调节气体的气体流动轮廓,其中第一区域与第二区域各具多个孔洞。方法可包括通过挡板的第一区域与第二区域的多个孔洞,将气体引入腔室主体。方法可包括使腔室主体内的气体流向基板的第一区域与第二区域。方法可包括通过利用双区加热器控制基板温度,统一基板的中心至边缘温度轮廓,其中双区加热器包含第一加热区和第二加热区,其中第二加热区外接第一加热区。
在又一实施例中,一种用于沉积膜的腔室包含腔室主体,腔室主体包含一个或多个处理区。腔室主体可包含气体分配组件,气体分配组件包含挡板,用于输送气体至一个或多个处理区,其中挡板包含第一区域与第二区域,其中第一区域与第二区域各自包含多个孔洞。腔室主体可包含双区加热器,其中双区加热器包含第一加热区和第二加热区,其中第二加热区外接第一加热区,以及其中加热区中的一个离双区加热器的中心轴约5毫米(mm)至约200mm。腔室主体可包含遮蔽环,配置以支撑基板。
附图说明
为了可详细理解本公开的上述特征的方式,可参考实施例得出以上简要概括的本公开的更具体的描述,部分实施例图示在附图中。然应注意所附附图仅说明本公开典型实施例,故不宜视为限定本公开范围,因为本公开可接纳其他等效实施例。
图1A至图1B各自示出基板在并入非晶碳层作为硬模的集成电路制造程序的不同阶段处的示意性截面图。
图2A示出相对于κ范围和局部应力的气体流动形貌。
图2B至图2C示出相对于κ范围和局部应力的径向气体流动。
图2D示出κ-633nm的径向与方位角分量和局部应力。
图2E示出“更多的中心处流动”结合基板旋转对局部应力和覆盖误差的影响。
图2F示出单区加热器和双区加热器的温度轮廓。
图3是示例性处理腔室的截面示意图,此腔室可用于实行本公开的一些实施例。
图4是根据本公开一些实施例的方法的工艺流程图。
图5A至图5C示出根据本公开的一些实施例的挡板的底视图。
图6是根据本公开一些实施例的喷淋头的底视图。
图7是根据本公开一些实施例的遮蔽环的平视图。
图8是根据本公开一些实施例的双区加热器的立体图。
为助于理解,尽可能以相同的附图标记代表各图中共同的相同元件。应理解某一实施例的元件和特征结可有益地并入其他实施例而无需进一步详述。
具体实施方式
图1A至图1B示出基板100在并入非晶碳-氢(α-C:H)层作为硬模的集成电路制造程序的不同阶段处的示意性截面图。基板结构150代表基板100和形成于基板100上的其他材料层。图1A描绘基板结构150的截面,材料层102已以常规方式形成于上。材料层102可以是低k材料,例如具孔隙的氧化物,例如SiO2、Si3N4、氧化物、氮化物或碳掺杂氧化硅。
图1B示出非晶碳层104沉积在图1A的基板结构150上。非晶碳层104可以常规手段形成于基板结构150上,例如PECVD。非晶碳层104的厚度根据特定处理阶段而变化。通常,非晶碳层104具有约至约的范围内的厚度。
本公开的方面包含在α-C:H膜沉积期间使用较大流率的氩或其他重稀有气体(例如氪或氙)做为稀释气体,以提高所得膜密度(和蚀刻选择性)、膜沉积速率和膜对基板表面特征的共形性。重稀有气体用作大流率稀释气体也可改善沉积工艺期间的烃前体利用的效率、最小化沉积腔室的内部表面上的不想要的沉积。在α-C:H膜沉积的PECVD腔室中,氦因容易离子化且有利于在腔室中激发等离子体及减低发弧风险而做为工作气体的主要非反应组分。
图3为化学气相沉积(CVD)腔室300的截面示意图,该腔室用于沉积先进图案化膜,例如非晶碳层。腔室300的一个示例可以是例如为美国加州圣克拉拉的应用材料公司制造的腔室或XP PRECISIONTM腔室。 CVD腔室(200mm或300mm)具有两个隔离处理区,可用以沉积碳掺杂氧化硅和其他材料。
沉积腔室300具有腔室主体302,腔室主体界定分离的处理区318、320。每一处理区318、320具有基座328,用以支撑腔室300内的基板(未示出)。基座328通常包括加热组件(未示出)。基座328由杆326可活动地设置在各处理区318、320,杆延伸穿过腔室主体302的底部,由此连接至驱动系统303。内部可动式举升销(示出未示出)提供于基座328,用以啮合基板的下表面。举升销可由升降机构(示出未示出)啮合,以于处理前接收基板或于沉积后抬起基板来传送到下一站。
各处理区318、320也可包括气体分配组件308,设置成穿过腔室盖304,以将气体输送到处理区318、320。各处理区的气体分配组件308一般包括穿过歧管348的气体入口通道340,使气体从气体分配歧管319输送通过挡板346、接着通过喷淋头342。喷淋头342包括多个孔洞(未示出),处理时由此注入气态混合物。RF(射频)供应器328提供偏压电位至喷淋头342,以助于在喷淋头与基座328间产生等离子体。在等离子体加强化学气相沉积工艺期间,基座328可当作阴极,以于腔室主体302内产生RF偏压。阴极电气耦接至电极电源,以于沉积腔室300内产生电容电场。通常,RF电压施加至阴极,而腔室主体302电气接地。施加至基座328的功率会在基板的上表面上产生负电压形式的基板偏压。此负电压用于从腔室300内形成的等离子体吸引离子到基板的上表面。电容电场形成偏压,使感应形成的等离子体物种加速朝向基板,以提供基板在沉积期间的更垂直定向的异向性成膜,及在清洗期间的基板的蚀刻。
处理期间,处理气体跨基板表面均匀地径向分布。通过施加RF电源325的RF能量至喷淋头342,可由一个或多个处理气体或气体混合物形成等离子体,喷淋头做为供电电极。当基板暴露于等离子体与设置在其中的反应气体时,将发生膜沉积。腔室壁312通常接地。RF电源325可供应单一或混频RF信号至喷淋头342,以增强任何引入处理区318、320的气体的分解。
在一些实施例中,取决于如挡板346的构造,处理气体以“更多中心处流动”或“更多边缘处流动”的方式跨基板表面径向分布,此将进一步详述于后。
系统控制器334控制各种部件功能,例如RF电源325、驱动系统303、升降机构、气体分配歧管319和其他相关腔室和/或处理功能。系统控制器334执行存储于存储器338的系统控制软件,存储器可以是硬盘机且可包括模拟与数字输入/输出板、接口板和步进电机控制板。光学和/或磁性传感器通常用于移动及测定移动式机械组件的位置。
以上CVD系统叙述主要做为说明目的,其他等离子体处理腔室也可用于实行本公开的实施例。
各式各样的处理气体混合物可用于沉积工艺。处理气体可按约10毫克/分钟至约5000毫克/分钟的范围中的流率引入处理腔室,例如约300毫克/分钟至约3000毫克/分钟之间。
气体混合物可选择性包括一个或多个载气。可用载气示例包括氦、氩、二氧化碳和上述组合物。部分地取决于腔室内部尺寸,一个或多个载气可按小于约20000标准立方厘米每分钟(sccm)的流率引入处理腔室。载气流量可以在约500sccm至约1500sccm之间的范围中、约1000sccm。在一些工艺中,钝气送入处理腔室,例如氦或氩,以于引入反应处理气体前,稳定腔室内压力。
气体混合物可包括一个或多个氧化气体。适合的氧化气体包括氧(O2)、臭氧(O3)、一氧化二氮(N2O)、一氧化碳(CO)、二氧化碳(CO2)和上述组合物。部分地取决于腔室内部尺寸,氧化气体流量可以在约100sccm至约3000sccm的范围中。通常,氧化气体流量在约100sccm至约1000sccm的范围中。在进入沉积腔室前,氧或含氧化合物可于微波腔室和/或利用施加至腔室内处理气体的RF功率解离。
沉积期间,如图3所示,利用RF电源325施加至喷淋头的RF能量,通常可于腔室内的基板旁形成受控的等离子体。或者,RF功率可提供至基板支撑件。等离子体可利用高频RF(HFRF)功率与低频RF(LFRF)功率(例如双频RF)、恒定RF、脉冲RF或任何其他等离子体产生技术产生。RF电源325可供应约5兆赫至约300兆赫的单频RF。此外,RF电源325也可供应约300赫兹至约1000千赫之间的单频LFRF而供应混频,以加强引入处理腔室的处理气体的反应物种的分解。RF功率可循环或脉冲输送,以减少基板加热及促使沉积膜有更大孔隙度。适合的RF功率可以是约10瓦(W)至约5000W、约200W至约1000W的范围中的功率。适合的LFRF功率可以是约0W至约5000W、约0W至约200W的范围中的功率。
沉积工艺:
本公开的方面构想通过包括引入烃源、等离子体激发气体和稀释气体至处理腔室的工艺来沉积α-C:H层。烃源是一个或多个碳氢化合物的混合物。烃源可包括气相碳氢化合物(例如C3H6)和/或包括液相碳氢化合物的蒸汽与载气的气体混合物。等离子体激发气体可以是氦,因为氦很容易离子化,然也可使用其他气体,例如氩。稀释气体是易离子化、较大块的化学惰性气体。示例性稀释气体包括氩、氪和氙。
此外,使用部分或完全掺杂的碳氢化合物衍生物形成的非晶碳层也受惠于本公开方法。衍生物包括含氮、含氟、含氧、含羟基和含硼的碳氢化合物衍生物。碳氢化合物可以用含氮取代基而官能化和/或用含氮气体沉积,例如氨。碳氢化合物可以用含氟和/或含氧取代基而官能化。
用氩稀释的α-C:H沉积工艺可以是PECVD工艺。α-C:H层可由处理气体沉积,并使基板温度维持在约100℃至约650℃,藉以最小化形成膜的吸收系数范围。工艺进一步包括使腔室压力维持在约0.4托耳至约10托耳。沉积速率可以在约/分钟至约/分钟之间。烃源、等离子体激发气体和稀释气体引入腔室并激发等离子体而开始沉积。等离子体激发气体可以是氦或另一易离子化气体,且在烃源和稀释气体前引入腔室,如此允许形成稳定等离子体并减低电弧的机会。以约0.7W/cm2至约3W/cm2的功率密度,例如约1.1至2.3W/cm2之间,施加RF功率至基板表面区域,以产生等离子体。电极间距(例如基板与喷淋头间的距离)可以在约200密耳至约1000密耳之间。
双频RF系统可用于产生等离子体。由于击中膜表面的离子能量会影响膜密度,相信双频提供通量和离子能量的独立控制。不局限于理论,高频等离子体控制等离子体密度,低频等离子体控制击中膜表面的离子动能。混合RF功率的双频源提供约10兆赫至约30兆赫之间的范围中的高频功率(例如约13.56兆赫)和约10千赫与约1.1兆赫之间的范围中的低频功率(例如约350千赫)。当双频RF系统用于沉积α-C:H膜时,第二RF功率与混频总功率的比率可以是小于约0.6比1.0(0.6:1)。可基于基板尺寸和所用装备改变施加RF功率及一个或多个频率的使用。
沉积的α-C:H膜中的很高的膜应力会造成诸如α-C:H膜与基板表面的不良附着和/或α-C:H膜的破裂等问题。因此,相对于碳氢化合物加入超过一定摩尔比的氩或其他稀释剂将不当影响膜性质。因此,存在工艺窗口,其中取决于沉积的膜的期望性质,可使进入PECVD腔室的氩稀释剂的摩尔流率与碳氢化合物的摩尔流率的比维持在约2:1至约40:1。就沉积一些α-C:H膜而言,进入PECVD腔室的氩稀释剂摩尔流率与碳氢化合物摩尔流率的比为约10:1至约14:1。
沉积期间的高基板温度一般是用于促进高密度膜形成的工艺参数。如上所述,因氩稀释工艺已提高密度,故沉积期间的基板温度降至如约300℃时仍可制造期望密度的膜,例如从约1.2克/立方厘米(g/cc)至约2.2g/cc。氩稀释工艺可制造较高密度的膜且吸收系数小至约0.09。另外,对于所有基板,通常期望低处理温度,因为此可减低工艺热预算而防止形成于上的装置遭掺质迁移。
工艺引发覆盖误差与沉积膜的局部曲率和翘曲有关,此可作为膜内局部应力变化而测量。膜应力将增加覆盖误差,因为sp2/sp3键结变异会影响跨膜(例如硬模)的结构均匀度。例如,当使用热耦描绘跨表面的温度分布时,基板中心的温度比基板边缘高,导致基板高温区比起基板低温区包含更多sp2特性。另外,吸收系数(κ)与膜形貌和分子结构强烈地相关(即具较多sp2特性的膜区域比具较少sp2特性的膜区域更能有效吸收光)。如此,如图2A所示,吸收系数可利用各种测量工具在如633nm下监测,以确定跨基板的膜形貌。测量工具是指基于干涉的工具,可用于确定局部应力图,例如取自KLATencor’s Aleris系列。然应理解取自其他制造商且适于进行应力测量工艺的其他工具也可采用。
通过监测跨基板的膜形貌,可改变沉积工艺的沉积参数和/或沉积腔室的硬件,以沉积一个或多个膜,其中一个或多个膜各自包含均匀形貌和降低的覆盖误差。
图4是工艺流程图,用以示出根据本公开一些实施例的第一方法。如图4所示,通过调节引入腔室主体的气体的气体流动轮廓,调节一个或多个图案化膜的局部应力和覆盖误差(框402)。接着使腔室主体内的气体流向基板(框404)。气体流动轮廓例如可通过改变挡板346的孔洞密度而调节。孔洞密度是指挡板346的特定区域的各孔洞间距。图5A是挡板346的底视图。如图5A所示,内部区域522呈圆形且内部区域522的孔洞520的密度高于外部区域524的孔洞520的密度。内部区域522的直径502相当于对应喷淋头342的内径。外部区域524呈环形或圈状并围绕内部区域522。外部区域524的外径504部分或实质对应于喷淋头342的外径。
相较于外部区域524的孔洞520的间距,内部区域522的孔洞520彼此间隔更近。因此,外部区域524的孔洞520的密度小于内部区域522的孔洞520的密度。因内部区域522的孔洞520的密度大于外部区域524的孔洞520的密度,故在内部区域522通过挡板346的气体流量将大于通过外部区域524的气体流量。换言之,比起朝向喷淋头342的边缘,有更多气体流向喷淋头342的中心。
图5B是挡板346的替代实施例底视图。如图5B所示,相较于内部区域522的孔洞520的间距,外部区域524的孔洞520彼此间隔更近。因此,外部区域524的孔洞520的密度大于内部区域522的孔洞520的密度。因外部区域524的孔洞520的密度大于内部区域522的孔洞520的密度,故在外部区域524通过挡板346的气体流量将大于在内部区域522的气体流量。换言之,比起喷淋头342的中心处,有更多气体流至喷淋头342的边缘处。
图5C是挡板346的替代实施例底视图。如图5C所示,外部区域524的孔洞520彼此间隔基本上类似于内部区域522的孔洞520的间距。因此,外部区域524的孔洞520的密度基本上类似于内部区域522的孔洞520的密度。因外部区域524和内部区域522的孔洞520的密度基本上均匀,故在外部区域524和内部区域522通过挡板346的气体流量将基本上均匀。换言之,可存在跨整个基板的基本上均匀的气流。
在一些实施例中,取决于流向基板中心和/或边缘的期望气体量,内部区域522的表面积可基本上类似于外部区域524的表面积、或可不同于外部区域524的表面积。另外,挡板346可包含具不同孔洞520密度的附加区域(未示出)。
或者或除挡板346外,喷淋头342可配置以调整气体流动轮廓。图6是喷淋头342的底视图。如图6所示,内部区域622呈圆形且孔洞620的密度高于外部区域624的孔洞620的密度。内部区域622的直径602相当于对应基板的内径。外部区域624呈环形或圈状并围绕内部区域622。外部区域624的外径604基本上对应于基板的外径。
喷淋头342设在处理容积318、320内且耦接至腔室主体302。喷淋头342的凸耳626或其他类似结构配置以啮合腔室主体302内的支撑件,例如挡板346。挡板346隔开喷淋头342和腔室主体302,使喷淋头342设置在处理容积318内。喷淋头342和挡板346可由螺栓或螺钉或其他类似固定设备固定在一起。
相较于外部区域624的孔洞620的间距,内部区域622的孔洞620彼此间隔更近。因此,外部区域624的孔洞620的密度小于内部区域622的孔洞620的密度。在此实施例中,因内部区域622的孔洞620的密度大于外部区域624的孔洞620的密度,故在内部区域622通过喷淋头342的气体流量将大于通过外部区域624的气体流量。换言之,比起朝向基板边缘,有更多气体流向基板中心。
或者,相较于内部区域622的孔洞620的间距,外部区域624的孔洞620彼此间隔更近。因此,外部区域624的孔洞620的密度大于内部区域622的孔洞620的密度。因外部区域624的孔洞620的密度大于内部区域622的孔洞620的密度,故在外部区域624通过喷淋头342的气体流量将大于在内部区域622的气体流量。换言之,比起基板中心处,有更多气体流至基板边缘处。
或者,外部区域624的孔洞620彼此间隔情形实质类似内部区域622的孔洞620之间距。因此,外部区域624的孔洞620的密度基本上类似于内部区域622的孔洞620的密度。因外部区域624和内部区域622的孔洞620的密度基本上均匀,故在外部区域624和内部区域622通过喷淋头342的气体流量将基本上均匀。换言之,可存在跨整个基板的基本上均匀的气流。
在一些实施例中,取决于流向基板中心和/或边缘的期望气体量,内部区域622的表面积可基本上类似于外部区域624的表面积、或可不同于外部区域624的表面积。另外,喷淋头342可包含具不同孔洞620密度的附加区域(未示出)。
图2B至图2C示出相对于κ范围和局部应力的径向气体流动。如图2B至图2C所示,对于跨基板均匀流动,低κ范围减少工艺引发局部应力变化和覆盖误差。然以“更多在中心流动”的方式沉积时,此趋势将相反,其中低κ范围不会因增加基板尺度应力而增进覆盖量。但相较于“均匀流动”和“更多边缘处流动”条件,“更多中心处流动”能显著降低(>50%)整体κ范围和应力。因此,气体轮廓调节提供κ范围的最佳点,其中可达成覆盖误差和局部应力变化控制。
在一些实施例中,通过使基板旋转可改善κ范围和局部应力,此对应图4的框406。图7是根据本公开一些实施例的遮蔽环702的平视图,用于支撑基板。如图7所示,遮蔽环702包含一个或多个切口710,可用以协助基板旋转角度。任选地,可进行非原位旋转。可进行非原位旋转,其中基板移出腔室主体302、旋转及再进入腔室主体302供进一步处理。例如,机器人叶片(未示出)可经由设置穿过腔室壁的缝阀进入腔室,其中机器人手臂接着啮合由举升销支撑的基板的底表面。机器人叶片可将基板移出腔室。基板可顺时针或逆时针旋转约15度至约345度、约150度至约250度之间、约180度。在一些实施例中,基板包含一个或多个切口(未示出),以助于基板旋转。旋转后,基板再进入腔室供进一步处理。
可在膜层的沉积之间进行非原位旋转。或者,可中断沉积膜层、然后将基板移出腔室主体302,以进行非原位旋转,此甚至无原生层形成风险。接着使基板旋转及再进入腔室主体302。接着在腔室主体302内继续沉积膜层。
图2D示出κ-633nm的径向(即受控于流动轮廓和温度偏移)与方位角(即受控于基板旋转)分量和局部应力(标示为σxx)。如图2D所示,基板旋转可降低沉积膜内的局部应力和κ范围。基板旋转也可通过归一化如硬件特征引入的厚度变化而改善沉积膜厚度均匀度。图2E示出“更多中心处流动”结合基板旋转对局部应力和覆盖误差的影响。如图2E所示,相较于均匀流动,“更多中心处流动”的方式可使应力(σxx)降低50%。于一半膜厚时非原位旋转180°可进一步使应力(σxx)降低大于75%,且可获得约6nm至约8nm的覆盖误差。
在一些实施例中,通过利用双区加热器控制基板温度,统一基板的中心至边缘温度轮廓,可改善κ范围和局部应力,此对应图4的框408。如上所述,在如α-C:H膜的典型PECVD工艺期间,基板中心的温度可能比基板边缘高。换言之,沉积工艺期间,温度自基板中心径向下降。基板的热均匀度(和κ范围最小化)可利用双区加热器获得。图8是根据本公开一些实施例的双区加热器802的立体图。如图8所示,双区加热器802具有平板810和杆812。平板810可包含陶瓷材料。在一些实施例中,平板810对应腔室主体302内的基座328,杆812对应腔室主体302内的杆326。平板810可包含举升销(未示出),用以啮合基板的下表面。举升销在平板810与基板间形成的空间例如能在非原位基板旋转工艺期间让机器人叶片啮合基板底表面。平板810耦接杆812,其中平板810可动设在腔室主体302的处理容积318内。平板810可包含二个或更多个加热区,加热区配置以提供跨平板810的表面和基板表面的一个或多个温度。例如,面板810可包含第一加热区和第二加热区,第二加热区外接第一加热区。换言之,第二加热区沿径向设置成越过第一加热区。沉积工艺期间,第一加热区的温度可不同于第二加热区的温度。第一加热区的温度可低于、等于或高于第二加热区的温度。面板810可包含附加加热区。面板810可包含约2至20个加热区、约2至10个加热区、约2至4个加热区。在一些实施例中,加热区的其中一个离双区加热器的中心轴约5mm至约200mm、离双区加热器的中心轴约90mm至约140mm、离双区加热器的中心轴约110mm至约120mm。二个或更多个加热区的温度可由沿平板810内配置的一个或多个加热线圈(未示出)控制。加热线圈可配置以加热第一加热区达第一温度、第二加热区达第二温度。或者,二个或更多个加热区的温度可由一个或多个流体流道(未示出)控制,流体流道配置以容纳加热或冷却流体。图2F示出单区加热器和双区加热器的相应温度轮廓。如图2F所示,双区加热器通过统一中心至边缘温度轮廓而改善温度均匀度,进而使局部应力和覆盖不均匀度降低>50%。
调节一个或多个图案化膜的局部应力与覆盖误差的方法可包括调节引入腔室主体的气体的气体流动轮廓、使腔室主体内的气体流向基板、旋转基板,及通过利用双区加热器控制基板温度,统一基板的中心至边缘温度轮廓。用于沉积膜的腔室可包括包含一个或多个处理区的腔室主体。腔室主体可包括气体分配组件,气体分配组件具有挡板,用于输送气体至一个或多个处理区。挡板具有第一区域与第二区域,第一区域与第二区域各具多个孔洞。腔室主体可具有双区加热器。本公开的方法和设备可降低沉积多层内覆盖误差,及沉积集成电路制造可用材料层,材料层可共形沉积在具地形特征的基板上。本公开的方法和设备通过排除光刻和扫描仪应用测量步骤,故可缩短整体处理时间,例如缩短测定最佳膜组成所需时间。
虽然以上内容针对本公开的实施例,但可在不背离本公开基本范围的情况下,涉及本公开的其他和进一步实施例,因此本公开范围由所附权利要求书确定。

Claims (15)

1.一种调节一个或多个图案化膜的局部应力与覆盖误差的方法,所述方法包含:
经由包含第一区域与第二区域的挡板调节气体的气体流动轮廓,其中所述第一区域与所述第二区域各自具有多个孔洞;
通过所述挡板的所述第一区域与所述第二区域的所述多个孔洞,将所述气体引入腔室主体;
使所述腔室主体内的气体流向基板的第一区域与第二区域;及
在将至少部分的膜沉积至所述基板上后,旋转所述基板。
2.如权利要求1所述的方法,进一步包含:
通过利用双区加热器控制所述基板温度,统一所述基板的中心至边缘温度轮廓,其中所述双区加热器包含第一加热区和第二加热区,且其中所述第二加热区外接所述第一加热区。
3.如权利要求1所述的方法,进一步包含:
利用测量工具,监测跨所述一个或多个图案化膜的膜形貌。
4.如权利要求1所述的方法,其中所述挡板包含:
在所述挡板的第一区域的孔洞密度比在所述挡板的第二区域的孔洞密度高。
5.如权利要求4所述的方法,其中所述挡板的第一区域是中心区域,所述挡板的第二区域是边缘区域。
6.如权利要求1所述的方法,其中当所述基板由遮蔽环支撑时旋转所述基板。
7.如权利要求1所述的方法,其中所述基板旋转180度。
8.一种调节一个或多个图案化膜的局部应力与覆盖误差的方法,所述方法包含:
经由包含第一区域与第二区域的挡板调节气体的气体流动轮廓,其中所述第一区域与所述第二区域各自具有多个孔洞;
通过所述挡板的所述第一区域与所述第二区域的所述多个孔洞,将所述气体引入腔室主体;
使所述腔室主体内的气体流向基板的第一区域与第二区域;及
通过利用双区加热器控制所述基板温度,统一所述基板的中心至边缘温度轮廓,其中所述双区加热器包含第一加热区和第二加热区,所述第二加热区外接所述第一加热区。
9.如权利要求8所述的方法,进一步包含在将至少部分的膜沉积至所述基板上后,旋转所述基板。
10.如权利要求9所述的方法,其中旋转所述基板是非原位进行的。
11.如权利要求8所述的方法,其中所述挡板在所述挡板的第一区域的孔洞密度比所述挡板的所述第二区域的孔洞密度高。
12.如权利要求8所述的方法,其中所述腔室主体内的压力为0.4托耳至10托耳。
13.一种腔室主体,包含:
一个或多个处理区;
气体分配组件,包含挡板以用于输送气体至所述一个或多个处理区,其中所述挡板包含第一区域与第二区域,其中所述第一区域与所述第二区域各自具有多个孔洞;
双区加热器,其中所述双区加热器包含第一加热区和第二加热区,其中所述第二加热区外接所述第一加热区,其中所述加热区中的一个离所述双区加热器的中心轴5mm至200mm;及
遮蔽环,配置成支撑基板。
14.如权利要求13所述的腔室主体,其中所述加热区中的一个离所述双区加热器的所述中心轴110mm至120mm。
15.如权利要求13所述的腔室主体,其中所述挡板在所述挡板的第一区域的孔洞密度比在所述挡板的所述第二区域的孔洞密度高,且其中所述挡板的所述第一区域是中心区域,所述挡板的所述第二区域是边缘区域。
CN201580053158.8A 2014-10-03 2015-09-02 等离子体cvd膜中覆盖物的气体流动轮廓调节控制 Active CN107075671B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201462059751P 2014-10-03 2014-10-03
US62/059,751 2014-10-03
US14/549,380 US9390910B2 (en) 2014-10-03 2014-11-20 Gas flow profile modulated control of overlay in plasma CVD films
US14/549,380 2014-11-20
PCT/US2015/048153 WO2016053567A1 (en) 2014-10-03 2015-09-02 Gas flow profile modulated control of overlay in plasma cvd films

Publications (2)

Publication Number Publication Date
CN107075671A true CN107075671A (zh) 2017-08-18
CN107075671B CN107075671B (zh) 2019-09-13

Family

ID=55631239

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201580053158.8A Active CN107075671B (zh) 2014-10-03 2015-09-02 等离子体cvd膜中覆盖物的气体流动轮廓调节控制

Country Status (5)

Country Link
US (3) US9390910B2 (zh)
KR (3) KR102333160B1 (zh)
CN (1) CN107075671B (zh)
TW (2) TWI670392B (zh)
WO (1) WO2016053567A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111919284A (zh) * 2018-03-01 2020-11-10 应用材料公司 在器件制造中形成金属硬掩模的系统和方法
CN113056807A (zh) * 2018-11-30 2021-06-29 应用材料公司 用于三维与非(3d nand)应用的膜堆叠覆盖改进

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9490116B2 (en) * 2015-01-09 2016-11-08 Applied Materials, Inc. Gate stack materials for semiconductor applications for lithographic overlay improvement
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
WO2017184301A1 (en) * 2016-04-22 2017-10-26 Applied Materials, Inc. Method for pecvd overlay improvement
JP7384784B2 (ja) * 2017-08-11 2023-11-21 アプライド マテリアルズ インコーポレイテッド 熱化学気相堆積(cvd)における均一性を改善するための装置及び方法
KR102612989B1 (ko) 2017-12-01 2023-12-11 어플라이드 머티어리얼스, 인코포레이티드 고 에칭 선택성 비정질 탄소 막
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10526703B2 (en) * 2018-03-15 2020-01-07 Taiwan Semiconductor Manufacturing Company Ltd. Film formation apparatus for forming semiconductor structure having shower head with plural hole patterns and with corresponding different plural hole densities
WO2019199681A1 (en) 2018-04-09 2019-10-17 Applied Materials, Inc. Carbon hard masks for patterning applications and methods related thereto
WO2019209433A1 (en) * 2018-04-24 2019-10-31 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of carbon hard-mask
WO2019212592A1 (en) * 2018-05-03 2019-11-07 Applied Materials, Inc. Pulsed plasma (dc/rf) deposition of high quality c films for patterning
US11029297B2 (en) 2018-08-08 2021-06-08 Applied Materials, Inc. Method of gas composition determination, adjustment, and usage
US10734219B2 (en) * 2018-09-26 2020-08-04 Asm Ip Holdings B.V. Plasma film forming method
KR102623545B1 (ko) * 2018-12-17 2024-01-10 삼성전자주식회사 반도체 소자 제조 장치
US11270905B2 (en) 2019-07-01 2022-03-08 Applied Materials, Inc. Modulating film properties by optimizing plasma coupling materials
US11859284B2 (en) * 2019-08-23 2024-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Shower head structure and plasma processing apparatus using the same
US11236424B2 (en) * 2019-11-01 2022-02-01 Applied Materials, Inc. Process kit for improving edge film thickness uniformity on a substrate
CN115004332A (zh) * 2020-01-28 2022-09-02 朗姆研究公司 用于高功率高压力处理的分段式气体分配板
US20210319981A1 (en) * 2020-04-09 2021-10-14 Applied Materials, Inc. Faceplate with localized flow control

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6645884B1 (en) * 1999-07-09 2003-11-11 Applied Materials, Inc. Method of forming a silicon nitride layer on a substrate
CN101133479A (zh) * 2005-08-04 2008-02-27 东京毅力科创株式会社 等离子体处理装置以及气体通过板
CN101911253A (zh) * 2008-01-31 2010-12-08 应用材料股份有限公司 闭环mocvd沉积控制
CN102089863A (zh) * 2008-07-11 2011-06-08 应用材料股份有限公司 用于cvd应用的腔室部件
CN102598217A (zh) * 2009-10-28 2012-07-18 丽佳达普株式会社 金属有机化学汽相淀积设备及其温度控制方法
CN102934203A (zh) * 2010-04-28 2013-02-13 应用材料公司 用于短生命周期物种的具有内建等离子体源的处理腔室盖设计

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6596344B2 (en) 2001-03-27 2003-07-22 Sharp Laboratories Of America, Inc. Method of depositing a high-adhesive copper thin film on a metal nitride substrate
US20030017268A1 (en) * 2001-07-18 2003-01-23 Applied Materials, Inc. .method of cvd titanium nitride film deposition for increased titanium nitride film uniformity
JP4804038B2 (ja) * 2004-06-21 2011-10-26 キヤノン株式会社 像加熱装置及びこの装置に用いられるヒータ
US7857947B2 (en) * 2005-07-27 2010-12-28 Applied Materials, Inc. Unique passivation technique for a CVD blocker plate to prevent particle formation
KR20070102764A (ko) * 2006-04-17 2007-10-22 주식회사 엘지화학 Pecvd 법에 기반한 다층 박막 구조의 제조방법
US7867578B2 (en) 2006-06-28 2011-01-11 Applied Materials, Inc. Method for depositing an amorphous carbon film with improved density and step coverage
US7297376B1 (en) 2006-07-07 2007-11-20 Applied Materials, Inc. Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers
US20110290175A1 (en) * 2009-06-07 2011-12-01 Veeco Instruments, Inc. Multi-Chamber CVD Processing System
GB0922647D0 (en) * 2009-12-24 2010-02-10 Aviza Technologies Ltd Methods of depositing SiO² films
US8222100B2 (en) 2010-01-15 2012-07-17 International Business Machines Corporation CMOS circuit with low-k spacer and stress liner
US9543406B2 (en) 2010-11-30 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for overlay marks
KR20140092892A (ko) * 2011-11-08 2014-07-24 어플라이드 머티어리얼스, 인코포레이티드 개선된 증착 균일성을 위한 전구체 분배 피처들
US8679987B2 (en) 2012-05-10 2014-03-25 Applied Materials, Inc. Deposition of an amorphous carbon layer with high film density and high etch selectivity

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6645884B1 (en) * 1999-07-09 2003-11-11 Applied Materials, Inc. Method of forming a silicon nitride layer on a substrate
CN101133479A (zh) * 2005-08-04 2008-02-27 东京毅力科创株式会社 等离子体处理装置以及气体通过板
CN101911253A (zh) * 2008-01-31 2010-12-08 应用材料股份有限公司 闭环mocvd沉积控制
CN102089863A (zh) * 2008-07-11 2011-06-08 应用材料股份有限公司 用于cvd应用的腔室部件
CN102598217A (zh) * 2009-10-28 2012-07-18 丽佳达普株式会社 金属有机化学汽相淀积设备及其温度控制方法
CN102934203A (zh) * 2010-04-28 2013-02-13 应用材料公司 用于短生命周期物种的具有内建等离子体源的处理腔室盖设计

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111919284A (zh) * 2018-03-01 2020-11-10 应用材料公司 在器件制造中形成金属硬掩模的系统和方法
CN113056807A (zh) * 2018-11-30 2021-06-29 应用材料公司 用于三维与非(3d nand)应用的膜堆叠覆盖改进
CN113056807B (zh) * 2018-11-30 2024-03-22 应用材料公司 用于三维与非(3d nand)应用的膜堆叠覆盖改进

Also Published As

Publication number Publication date
US20160099147A1 (en) 2016-04-07
US9390910B2 (en) 2016-07-12
WO2016053567A1 (en) 2016-04-07
TW201945585A (zh) 2019-12-01
KR20220070069A (ko) 2022-05-27
CN107075671B (zh) 2019-09-13
US20180096843A1 (en) 2018-04-05
KR102333160B1 (ko) 2021-11-29
TWI705154B (zh) 2020-09-21
US10373822B2 (en) 2019-08-06
TW201614098A (en) 2016-04-16
TWI670392B (zh) 2019-09-01
KR20170063943A (ko) 2017-06-08
US9837265B2 (en) 2017-12-05
US20160307752A1 (en) 2016-10-20
KR102401034B1 (ko) 2022-05-20
KR102503734B1 (ko) 2023-02-23
KR20210145860A (ko) 2021-12-02

Similar Documents

Publication Publication Date Title
CN107075671B (zh) 等离子体cvd膜中覆盖物的气体流动轮廓调节控制
KR101234256B1 (ko) 플라즈마 에칭 방법 및 플라즈마 에칭 장치
US9847221B1 (en) Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
CN104517815B (zh) 掺硫的碳硬膜
US9279184B2 (en) Method of forming a pattern and substrate processing system
US8962101B2 (en) Methods and apparatus for plasma-based deposition
TW438901B (en) Apparatus for depositing a film with a four-corners grounded susceptor
US7981810B1 (en) Methods of depositing highly selective transparent ashable hardmask films
US10494715B2 (en) Atomic layer clean for removal of photoresist patterning scum
US20150371851A1 (en) Amorphous carbon deposition process using dual rf bias frequency applications
US20230038611A1 (en) Uv cure for local stress modulation
KR101046506B1 (ko) 이머전 리소그라피에서 패턴 붕괴를 방지하기 위한 플라즈마 표면처리
WO2015122981A1 (en) Cleaning process for cleaning amorphous carbon deposition residuals using low rf bias frequency applications
TW202013505A (zh) 電漿處理裝置及電漿處理方法
TW202249206A (zh) 基板處理系統中的原位膜退火
TW202240668A (zh) 使用一或更多個無定形碳硬遮罩層來處理基板的方法、系統和裝置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant