TW202249206A - 基板處理系統中的原位膜退火 - Google Patents

基板處理系統中的原位膜退火 Download PDF

Info

Publication number
TW202249206A
TW202249206A TW111111863A TW111111863A TW202249206A TW 202249206 A TW202249206 A TW 202249206A TW 111111863 A TW111111863 A TW 111111863A TW 111111863 A TW111111863 A TW 111111863A TW 202249206 A TW202249206 A TW 202249206A
Authority
TW
Taiwan
Prior art keywords
film
annealing
substrate
gas
processing chamber
Prior art date
Application number
TW111111863A
Other languages
English (en)
Inventor
奧文尼斯 古普塔
道格拉斯 華特 阿格紐
史貴凡迪 巴頓 藍 凡
喬瑟夫 R 亞伯
法蘭克 L 帕斯果
艾里恩 拉芙依
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202249206A publication Critical patent/TW202249206A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4486Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by producing an aerosol and subsequent evaporation of the droplets or particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Dispersion Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Photovoltaic Devices (AREA)

Abstract

在一範例中,在基板上沉積膜之方法包括將基板設置在處理腔室中之基板支撐件上、並且設定處理壓力、溫度及腔室中之壓力。該方法包括點燃電漿並且以在預定膜厚度範圍內之厚度將該膜沉積在基板上及退火。

Description

基板處理系統中的原位膜退火
本揭示內容係關於基板處理系統,更具體而言,關於包含二氧化矽(SiO 2)之膜或基板之原位退火之系統及方法。 [相關申請案之交互參照]
本申請案主張2021年3月30日提出申請之美國臨時專利申請案第63/168,217號之優先權,其完整內容係併入本申請案中之參考資料。
基板處理系統可用於沉積膜在基板(例如半導體晶圓)上。可在基板上實施之示例性處理包括,但不限於,化學氣相沉積(CVD)、原子層沉積(ALD)、電漿增強CVD(PECVD)、及電漿增強ALD(PEALD)。基板可設置在基板處理系統之處理腔室中之基板支撐件上,例如基座、靜電夾盤(ESC)等。在處理期間,氣體混合物被導引至處理腔室中,且電漿可用於增強處理腔室內之化學反應。
ALD是保形的沉積方法。隨著越來越多的材料在 ALD 下沉積至特徵部中,所得的結構之深寬比隨著間隙填充之進行而增加。在某些時候,待填充之結構之深寬比可能接近無限大。此時,沉積反應物越來越難以進入結構,例如溝槽。結果,可能在溝槽側壁之中間形成有缺陷的線或品質不良的膜,稱為「狹縫(seam)」。例如,在狹縫處之濕蝕刻速率(WER)是不利的高(相較於在自然的側壁處之第二WER)。
改善具有狹縫之膜品質之嘗試包括在高溫(例如,900°C或更高)之外部工具或爐中用氮氣對受到影響的基板進行退火,但是這些嘗試增加了複雜性及成本、可能由於高熱預算而與先進的元件不相容、且在去除狹縫上尚未證明其完全可靠。
本文中所提出之先前技術大致上用於呈現本揭示內容之背景。在此先前技術部分中所述之本案發明人之成果範圍、以及不適格做為申請時之先前技術之實施態樣,皆非直接或間接地被承認為對抗本揭示內容之先前技術。
在某些範例中,提出用於在基板上沉積膜之系統。示例性系統包括:處理腔室;基板支撐件,用以將基板支撐在處理腔室中;調壓器,配置以將處理腔室中之處理及退火壓力設定至預定壓力範圍,退火壓力係設定用於該膜之腔室內退火;加熱器,配置以將處理腔室或基板支撐件之處理及退火溫度設定至預定溫度,退火溫度係設定用於該膜之腔室內退火;氣體分配裝置,配置以接收處理氣體混合物及膜退火氣體混合物之供應,其中處理氣體混合物包括前驅物氣體、摻質、包含第一氧物種之氣體以及惰性氣體(例如,氦或氬氣),及其中膜退火氣體混合物包括第二氧物種或氫物種;電極,用以點燃電漿;及沉積工具,配置為以在預定厚度範圍內之厚度將該膜沉積在基板上及退火。
在某些範例中,退火氣體混合物之氫物種包括氫(H 2),以500-10000標準立方公分∕分鐘(sccm)範圍內之流率而引入多站式處理工具,例如圖2之四站式工具200。在某些範例中,H 2是以50-100 slm(50,000-100,000 sccm)範圍內之流率而引入單一處理工作站。在某些範例中,退火溫度或環境溫度係由退火氣體中成分之比率、退火氣體比率、或氣體壓力比率而決定。在某些範例中,退火環境溫度係獨立於氣體流率而導出。
在某些範例中,退火氣體混合物之第二氧物種包括氧(O 2),以500-10000 sccm範圍內之流率而引入多站式處理工具,例如圖2之四站式工具200。在某些範例中,O 2是以50-100 slm(50,000-100,000 sccm)範圍內之流率而引入單一處理工作站。
根據實施方式、申請專利範圍及圖式,本揭露內容之進一步應用範圍將變得明顯。實施方式及具體範例僅僅是為了說明之目的,並非用於限制本揭示內容之範疇。
以下之實施方式包括體現本發明標的之說明性實施例之系統、方法、技術、指令序列及計算機程式產品。在以下描述中,為了說明而提出許多特定細節,以便提供對於示例性實施例之徹底理解。然而,對於熟悉此項技藝者來說,顯而易見地,可在沒有這些特定細節之情況下實行本案實施例。
在一些範例中,原位退火( in-situannealing)操作係用於基板或晶圓膜之生產,其中避免或減少了特徵部中狹縫之形成。在一些範例中,原位退火操作包括,在高壓下將氫(H 2)或氧(O 2)或二氣體之混合物引入基板處理腔室中,以支持在超過攝氏600度之溫度下之熱退火。在一些範例中,熱退火範圍包括從攝氏600度至攝氏1000度之溫度。在一些範例中,熱退火範圍包括從攝氏500度至攝氏2000度之溫度。其它範圍是可能的。在本揭示內容之一些範例中,原位退火操作或範例(其包括或提及將氫(H 2)及氧(O 2)引入處理腔室中)係由縮略詞H 2/O 2來代表。在一些範例中,基於 WER,膜品質相較於習知的基於氮(N 2)的退火改善了30%。在一些範例中,膜品質改善對於狹縫品質具有深深的影響,尤其是在ALD沉積中之狹縫處。
圖1描繪出原子層沉積(ALD)處理工作站100之實施例之示意圖,處理工作站100具有用於維持低壓環境之處理腔室本體102。在共同低壓處理工具環境中可包括複數ALD處理工作站100。為了簡化起見,ALD處理工作站100係描繪為獨立處理工作站,具有用於維持低壓環境之處理腔室本體102。然而,應當理解,在共同處理工具環境中可包括複數ALD處理工作站100。此外,應當理解,在一些實施例中,藉由一或更多電腦控制器,可編程地調整ALD處理工作站100之一或更多硬體參數,包含以下所詳細討論者。
ALD處理工作站100與反應物輸送系統101流體連通,反應物輸送系統101用於將處理氣體輸送至分配噴淋頭106。反應物輸送系統101包括可選的混合容器104,用於混合及∕或調節處理氣體以輸送至噴淋頭106。一或更多混合容器入口閥120可控制處理氣體至混合容器104之引入。類似地,噴淋頭入口閥105可控制處理氣體至噴淋頭106之引入。在其它範例中,反應物輸送系統101可維持反應物為彼此分開的,直到輸送至腔室本體102之內部。
某些反應物,像是含矽前驅物,例如胺基矽烷前驅物(例如,二(三級丁基胺基)矽烷,BTBAS),可以液體形式貯存,然後汽化並隨後輸送至處理工作站。其它前驅物是可能的。例如,圖1之實施例包括汽化點103,用於將待供應至混合容器104之液體反應物汽化。在一些實施例中,汽化點103可為加熱的汽化器。由此類汽化器所產生之反應物蒸汽可能在下游的輸送管路中凝結。讓不相容的氣體暴露至凝結的反應物可能產生小微粒。這些小微粒可能堵塞管道、阻礙閥操作、污染基板等。解決這些問題之一些方法涉及吹掃及∕或抽空輸送管路,以去除殘留的反應物。然而,吹掃輸送管路可能增加處理工作站之循環時間,從而降低處理工作站之產能。因此,在一些實施例中,汽化點103下游之輸送管路可為伴熱的(heat-traced)。在一些範例中,混合容器104亦可為伴熱的。在一非限制性範例中,汽化點103下游之管路具有漸增的溫度分佈,從大約100°C至在混合容器104處之大約150°C。
在一些實施例中,反應物液體可在液體注入器被汽化。例如,液體注入器可將液體反應物脈衝注入至在混合容器上游之載氣流中。在一方案中,液體注入器可藉由使液體從較高壓力快速移動至較低壓力而使反應物汽化。在另一方案中,液體注入器可使液體霧化為分散的微滴,該分散的微滴隨後在加熱的輸送管路中被汽化。應當理解,較小的液滴之汽化可能比較大的液滴更快,因而縮短在液體注入與完全汽化之間之延遲。較快的汽化可減少在汽化點103下游之管路長度。在一方案中,液體注入器可直接安裝至混合容器104。在另一方案中,液體注入器可直接安裝至噴淋頭106。
在一些實施例中,可在汽化點103上游設置液體流量控制器,以控制用於汽化及輸送至ALD處理工作站100之液體之質流。例如,液體流量控制器(LFC)可包括未顯示出的熱質流計(MFM)。接著,可調整LFC之柱塞閥,以因應於由比例-積分-微分(PID)控制器(與MFM係電性連通)所提供之反饋控制信號。然而,使用反饋控制可能花費1秒或更久來使液體流量穩定。這可能拉長用於注入液體反應物之時間。因此,在一些實施例中,LFC可在反饋控制模式與直接控制模式之間進行動態地切換。在一些實施例中,可藉由使LFC之感測管及PID控制器失效而將LFC從反饋控制模式動態地切換至直接控制模式。
噴淋頭106將處理氣體朝向基板112分配。在圖1所示之實施例中,基板112位於噴淋頭106下方,並且顯示為置於基座108上。應當理解,噴淋頭106可具有任何適當的形狀,並且可具有任何適當數目及配置之埠口,用以分配處理氣體至基板112。
在一些實施例中,微容積107位於噴淋頭106下方。ALD及∕或CVD處理之實施係在微容積中而不是在處理工作站之整個容積中,可縮短反應物暴露及吹掃時間、可縮短用於改變處理條件 (例如壓力、溫度等)之時間、可限制處理工作站機械臂暴露至處理氣體等。示例性微容積尺寸包括,但不限於,介於0.1公升與2公升之間之容積。微容積亦影響生產產能。雖然每一循環之沉積率下降,但循環時間亦同時減少。在某些例子中,對於給定的目標膜厚而言,後者之效果是戲劇性的而足以改善模組之整體產能。
在一些實施例中,基座108可升高或降低,以使基板112暴露至微容積107、及∕或改變微容積107之容積。例如,在基板傳遞階段中,基座108可降低,以允許基板112載入至基座108上。在沉積處理階段期間,基座108可升高,以將基板112放置於微容積107內。在一些實施例中,在沉積處理期間,微容積107可完全包圍基板112以及基座108之一部份,以建立高流動阻抗之區域。
可選地,在部分的沉積處理期間,基座108可降低及∕或升高,以調變在微容積107內之處理壓力、反應物濃度等。在處理腔室本體102於沉積處理期間維持在基礎壓力之一方案中,降低基座108可容許將微容積107排空。微容積與處理腔室容積之示例性比例包括,但不限於,介於1:200與1:10之間之容積比。應當了解,在一些實施例中,可藉由適當的電腦控制器以編程地調整基座高度。
在另一方案中,在沉積處理中所包括之電漿活化及∕或處理循環期間,調整基座108之高度可允許電漿密度之改變。在沉積處理階段結束時,基座108可在另一基板傳遞階段期間下降,以容許基板112從基座108移除。
雖然本文中所述之示例性微容積改變係與高度可調整的基座有關,但應當了解,在一些實施例中,噴淋頭106之位置可相對於基座108而加以調整,以改變微容積107之容積。此外,應當了解,在本揭示內容之範疇內,基座108及∕或噴淋頭106之垂直位置可藉由任何適當的機構而加以改變。在一些實施例中,基座108可包括旋轉軸,用於旋轉基板112之位向。應當了解,在一些實施例中,這些示例性調整其中一或多者可藉由一或更多適當的電腦控制器而編程地加以實施。
回到圖1所示之實施例,噴淋頭106及基座108與用來對電漿施加功率之RF電源114及匹配網路116電性連通。在一些實施例中,藉由控制處理工作站壓力、氣體濃度、RF來源功率、RF來源頻率、及電漿功率脈衝時序其中一或更多者,可控制電漿能量。例如,RF電源114及匹配網路116可在任何合適的功率下操作,以形成具有期望的自由基物種組成之電漿。合適功率之範例係說明在上文中。同樣地,RF電源114可提供任何適當頻率之RF功率。在一些實施例中, RF電源114可配置成彼此獨立地控制高頻及低頻RF電源。示例性低頻RF頻率可包括,但不限於,介於50 kHz與200 kHz之間之頻率。示例性高頻RF頻率可包括,但不限於,介於1.8 MHz與2.45 GHz之間之頻率。應當了解,任何適當的參數都可分離地或連續地加以調控,以提供用於表面反應之電漿能量。在一非限制性範例中,可間歇地以脈衝式提供電漿功率(相對於連續地對電漿施加功率),以減少基板表面之離子轟擊。
在一些實施例中,電漿可藉由一或更多電漿監控器而受到原位監控。在一方案中,電漿功率可藉由一或更多電壓、電流感測器(例如,VI探針)而加以監控。在另一方案中,電漿密度及∕或處理氣體濃度可藉由一或更多光學放射光譜感測器(OES)而加以量測。在一些實施例中,一或更多電漿參數可基於來自這樣的原位電漿監控器之測量結果而編程地加以調整。例如,OES感測器可使用在用於提供電漿功率之編程控制之反饋迴路中。應當了解,在一些實施例中,可使用其它監控器以監控電漿及其它處理特性。這樣的監控器可包括,但不限於,紅外線(IR)監控器、音訊監控器、及壓力轉換器。
在一些實施例中,電漿可透過輸入∕輸出控制(IOC)序列指令而加以控制。在一範例中,用於設定電漿處理階段之電漿條件之指令可包括在沉積處理配方或雜質減少處理配方之相應的電漿活化配方階段中。在一些例子中,可依序地設置處理配方階段,俾使用於沉積處理階段之所有指令與該處理階段係同時執行。在一些實施例中,用以設定一或更多電漿參數之指令可包括在電漿處理階段之前之配方階段中。例如,第一配方階段可包括:用於設定惰性氣體及∕或反應物氣體之流率之指令、用於設定電漿產生器至一功率設定點之指令、以及用於第一配方階段之時間延遲指令。隨後的第二配方階段可包括:用於啟動電漿產生器之指令、及用於第二配方階段之時間延遲指令。第三配方階段可包括:用於關閉電漿產生器之指令、及用於第三配方階段之時間延遲指令。應當了解,這些配方階段可在本揭示內容之範疇內以任何適當方式進一步再分割及∕或重複。
在一些沉積處理中,電漿點燃係持續幾秒或更長時間之數量級。在某些實行例中,可使用更短的電漿點燃。這些可能為10 ms至1秒之數量級,通常大約為20至80 ms,其中一特定範例為50 ms。這種非常短的RF電漿點燃需要極快的電漿穩定。為了實現這一點,電漿產生器可配置為使得阻抗匹配被預先設定為特定電壓,而允許頻率為浮動。通常,高頻電漿係以大約13.56 MHz之RF頻率產生。在本文中所揭示之各種實施例中,允許頻率浮動至與該標準值不同的值。透過在將阻抗匹配固定至預定電壓之同時允許頻率浮動,電漿可更快地穩定,當使用與某些類型的沉積循環相關之非常短的電漿點燃時,此結果可能很重要。
在一些實施例中,基座108可透過加熱器110而控制溫度。此外,在一些實施例中,ALD處理工作站100之壓力控制可藉由蝶形閥118來提供。如圖1之實施例中所示,蝶形閥118節流由下游真空泵(未顯示)所提供之真空。然而,在一些實施例中,ALD處理工作站100之壓力控制亦可藉由改變一或更多氣體導入至ALD處理工作站100之流率而加以調整。
在多站式處理工具中可包括一或更多處理工作站。圖2顯示多站式處理工具200之實施例之示意圖,具有入站裝載室202及出站裝載室204,入站裝載室202及出站裝載室204其中任一者或兩者可包括遠端電漿源。在大氣壓力下之機器人206係用以將基板或晶圓從晶舟(透過盒208而裝載)經由大氣埠210移動至入站裝載室202中。藉由機器人206將基板放置在入站裝載室202中之基座212上,關閉大氣埠210,並且抽空裝載室。在入站裝載室202包括遠端電漿源之情況中,可使基板在被導入處理腔室214之前、在裝載室中暴露至遠端電漿處理。此外,基板亦可在入站裝載室202中進行加熱,例如,以移除濕氣及吸附的氣體。接著,打開通往處理腔室214之腔室傳送埠216,另一機器人(未顯示)將基板放置在反應器中、且在反應器中所示之第一工作站之基座上,以進行處理。雖然圖2中所繪示之實施例包括裝載室,但應當了解,在一些實施例中,基板可直接進入處理工作站中。在各種實施例中,當基板由機器人206放置在基座212上時,將浸漬氣體導入該工作站。
在圖2所示之實施例中,所描繪的處理腔室214包括四處理工作站,編號為1到4。每一工作站具有加熱的基座(顯示於工作站1之218)及氣體管線入口。應當了解,在一些實施例中,每一處理工作站可具有不同或多個目的。例如,在某些實施例中,處理工作站可在ALD與電漿增強ALD(PEALD)處理模式之間切換。額外地或替代地,在某些實施例中,處理腔室214可包括一或更多ALD與電漿增強ALD處理工作站配對。儘管所描繪的處理腔室214包括四工作站,但應當理解,根據本揭示內容之處理腔室可具有任何適當數目之工作站。例如,在一些實施例中,處理腔室可具有五或更多工作站,然而在其它實施例中,處理腔室可具有三或更少工作站。
圖2描繪晶圓搬運系統290之實施例,用以在處理腔室214中傳送晶圓。在一些實施例中,晶圓搬運系統290可在不同處理工作站之間及∕或在處理工作站與裝載室之間傳送晶圓。應當了解,可採用任何適當的晶圓搬運系統。非限制性範例包括晶圓旋轉架及晶圓搬運機器人。圖2亦描繪系統控制器250之實施例,用以控制處理工具200之處理條件及硬體狀態。系統控制器250可包括一或更多記憶體裝置256、一或更多大容量儲存裝置254、及一或更多處理器252。處理器252可包括CPU或電腦、類比及∕或數位輸入∕輸出連接、步進馬達控制器板等。在一些實施例中,系統控制器250包括機器可讀指令以用於實施操作,例如本文中所述的那些操作。
在一些實施例中,系統控制器250控制處理工具200之活動。系統控制器250執行系統控制軟體258,系統控制軟體258係儲存於大容量儲存裝置254中、載入至記憶體裝置256中、並且在處理器252上執行。或者,控制邏輯可硬編碼在系統控制器250中。針對該等目的,可使用特定應用積體電路、可編程邏輯裝置(例如,場域可編程閘陣列、或FPGA)及類似者。在以下討論中,在使用「軟體」或「編碼」的任何情形中,可適當地使用功能上可比較的硬編碼邏輯。系統控制軟體258可包括用以控制以下者之指令:時序、氣體之混合、氣體流量、腔室及∕或工作站壓力、腔室及∕或工作站溫度、基板溫度、目標功率位準、RF功率位準、基板基座、夾盤及∕或托座位置、及藉由處理工具200而執行之特定處理之其它參數。系統控制軟體258可以任何適當的方式加以配置。例如,可撰寫各種處理工具構件子程序或控制物件,以控制用於實行各種處理工具處理之處理工具構件之操作。系統控制軟體258可以任何適當的電腦可讀程式語言加以編碼。
廣義而言,系統控制器250可定義為具有用以接收指令、發出指令、控制操作、使清洗操作得以進行、使終點測量得以進行、及達成類似功能之各種積體電路、邏輯、記憶體、及∕或軟體之電子元件。積體電路可包括儲存程式指令之韌體形式之晶片、數位信號處理器(DSP)、定義為特殊應用積體電路(ASIC)之晶片、及∕或一或更多微處理器、或執行程式指令(例如,軟體)之微控制器。程式指令可為以各種單獨設定(或程式檔案)之形式通訊至系統控制器250之指令,定義了用以在半導體晶圓上、或對半導體晶圓、或對系統實施特定處理之操作參數。在一些實施例中,操作參數可為由製程工程師所定義以在晶圓之一或更多層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及∕或晶粒之製造期間內完成一或更多處理步驟之配方之一部分。
在一些實行例中,系統控制器250可為電腦之一部分或耦接至電腦,該電腦與系統整合、耦接至系統、以其它方式網路連接至系統、或其組合。例如,系統控制器250可在「雲端」或晶圓廠主機電腦系統之全部或一部分中,允許晶圓處理之遠端控制。電腦可使得對系統之遠端控制得以進行,以監控製造操作之當前處理、檢驗過去製造操作之歷史記錄、檢驗複數製造操作之趨勢或效能評量、改變當前處理之參數、設定在當前處理之後之處理步驟、或開始新的處理。在一些範例中,遠端電腦(例如,伺服器)可透過網路而將處理配方提供至系統,網路可包括區域網路或網際網路。遠端電腦可包括使用者介面,使用者介面使得參數及∕或設定之輸入或編程得以進行,參數及∕或設定接著從遠端電腦被傳遞至該系統。在一些範例中,系統控制器250接收數據形式之指令,指令為待於一或更多操作期間內執行之處理步驟其中每一者指定了複數參數。應當了解,該等參數可針對待執行之處理類型、以及控制器與其接合或對其進行控制之工具類型。因此,如上所述,系統控制器250可為分散式的,例如藉由包括以網路連接在一起並朝著共同目標(例如本文中所述之處理及控制)工作之一或更多獨立控制器。用於這類目標之分散式控制器之範例為,與位於遠端(例如,在平台等級或做為遠端電腦之一部分)之一或更多積體電路進行通訊之腔室中之一或更多積體電路,其結合以控制腔室中之處理。
在一些實施例中,電漿可藉由一或更多電漿監控器而受到原位監控。在一方案中,電漿功率可藉由一或更多電壓、電流感測器(例如,VI探針)而加以監控。在另一方案中,電漿密度及∕或處理氣體濃度可藉由一或更多光學放射光譜感測器(OES)而加以量測。在一些實施例中,一或更多電漿參數可基於來自這樣的原位電漿監控器之測量結果而編程地加以調整。例如,OES感測器可使用在用於提供電漿功率之編程控制之反饋迴路中。應當了解,在一些實施例中,可使用其它監控器以監控電漿及其它處理特性。這樣的監控器可包括,但不限於,紅外線(IR)監控器、音訊監控器、及壓力轉換器。
非限制性地,示例性系統可包括電漿蝕刻腔室或模組、沉積腔室或模組、旋轉清洗腔室或模組、金屬電鍍腔室或模組、清洗腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、及關於或用於半導體晶圓之加工及∕或製造之任何其它半導體處理系統。
本文中所述之設備∕處理可與,例如,用於加工或製造半導體元件、顯示器、LED、光伏面板等之微影圖案化工具或處理一起使用。一般而言,雖然並非必要,但此類工具∕處理會在一共同的製造設施中一起使用或進行。膜之微影圖案化通常包括下述操作之一些或全部,每一操作以幾個可能的工具而提供:(1) 在工作件(亦即,基板)上光阻之塗佈,使用旋塗式或噴塗式工具;(2) 光阻之固化,使用加熱板或加熱爐或UV固化工具;(3) 以工具(例如,晶圓步進機)使光阻暴露至可見光或UV光或x射線光;(4) 使光阻顯影,以便使用工具(例如,濕式清洗台)選擇性地移除光阻及從而使其圖案化;(5) 使用乾式或電漿輔助蝕刻工具,將光阻圖案轉移至下方膜或工作件中;及 (6) 使用工具(例如,RF或微波電漿光阻剝除器)移除光阻。
ALD處理工作站100亦可用於CVD處理。應當注意,本案說明書中所稱之ALD包括在其範圍內之PEALD及熱ALD,所稱之CVD包括在其範圍內之PECVD及熱CVD。一些範例係使用ALD及隨後的CVD之組合。換言之,ALD處理可用於沉積一定厚度之膜,接著切換為CVD處理,反之亦然。
在一些範例中,在處理腔室中進行基板處理期間,在基板上或在沉積於其上之膜上執行退火操作。退火操作係在原位進行,亦即在處理腔室內而不是在外部工具或爐進行。為此,可透過氣體輸送系統將退火氣體引入處理腔室中。在一些範例中,退火氣體包括H 2及∕或O 2或其混合物。在一些範例中,退火氣體包括以500-10000標準立方公分∕分鐘(sccm)範圍內之流率所供應之H 2、及∕或以500-10000 sccm範圍內之流率所供應之O 2。退火氣體可包括或不包括惰性氣體,例如氬(Ar)。在一些範例中,Ar係存在於退火氣體中2000-20000 sccm之範圍。示例性退火處理參數可包括在5-30T範圍內之退火壓力、以及在500-1000C範圍內之退火溫度。
現在參考圖3A-3B,顯示與襯層或覆蓋膜應用有關之一些示例性退火操作。在一ALD循環之後、在SiO 2轉化之後,在302處將一或更多退火氣體引入至基板處理腔室中。在一些範例中,在退火操作中可包括或不包括前驅物氣體。可藉由對退火操作進行一或更多調整以在基板上配置期望的膜或膜品質304。例如 (A),在初始膜沉積操作中,可執行ALD SiO 2之一或更多循環306,接著為使用退火氣體(例如上述)之短H 2/O 2退火操作。這些沉積及退火操作可在308重複多次,以達到期望的膜厚度。在範例 (B) 中,參考或結合ALD而加以描述之上述退火範例亦適用於CVD,如310所示。在範例 (C) 中,首先在312沉積整個期望的膜厚度(即完整的膜厚度),接著在314進行更長的退火時間(例如,在2-30分鐘範圍內之退火時間)以使膜完全退火。在上文中,退火操作係原位地進行。
參考圖4A-4E,提供了用於在狹縫修復(healing)中之間隙填充應用之一些示例性操作。此處,沉積足夠的ALD氧化物以使受影響的狹縫402閉合。在範例 (A) 中,在沉積404之後,使用如上所述之退火氣體進行H 2/O 2退火操作406,例如,退火時間在2-30分鐘之範圍內。接著在408處沉積狹縫過載407。在又一狹縫修復範例 (B) 中,在410處使用ALD以初始沉積整個期望的膜厚度(即完整的膜厚度),接著在412處進行 H 2/O 2退火,例如,使用上述之退火氣體或參數其中一或多者。
在一些CVD範例中,沉積前驅物及反應物兩者可同時輸送至處理腔室,以在包括沉積前驅物及反應物之腔室中產生處理環境。在一些實施例中,沉積前驅物及反應物流動係在不同時間打開,但至少有一些時間是沉積前驅物流動與反應物流動兩者同時打開,從而在包括沉積前驅物及反應物兩者之處理腔室中產生處理環境。熱CVD處理可進行任何合適的持續時間。如本文中所述之此操作之持續時間係基於基板暴露於包括沉積前驅物及反應物兩者之處理環境之持續時間。在此基礎上,沉積速率可在約3 Å∕秒與約16 Å∕秒之間、或至少約12 Å∕秒。處理腔室之壓力可在約9托與約30托之間。氣體流率可取決於所使用的氣體。在一些實施例中,氫與氧化劑係以在0 sccm與約5000 sccm之間之流率共同流動。在某些範例中,在氫不共同流動之情況下,氫之流率為0 sccm。相較於ALD,熱CVD之一優勢為約12 Å∕秒之CVD沉積速率高於約1 Å∕循環之第二ALD速率,而明顯更快地達成相同的處理。
在一些範例中,退火操作係進行1-30分鐘之持續時間。在一些範例中,在5-30T範圍內之腔室壓力下執行退火操作。在一些範例中,在500-700°C範圍內之溫度下執行退火操作。在一些範例中,退火條件包括以3-5標準升∕分鐘(SLM)而引入之H 2、以3-5 SLM而引入之O 2、在17-30T範圍內之腔室壓力、以及攝氏500-700度範圍內之溫度。
圖5顯示出曲線圖500,其描繪根據上述退火條件在處理腔室中原位退火之示例性膜之比較實驗濕蝕刻數據。在一些範例中,在本文所述之示例性膜或基板上所執行之原位H 2/O 2退火操作之特徵在於,在處理腔室本身內(亦即,腔室內)執行,不需要將膜或基板從處理腔室移除以執行 H 2/O 2退火操作。濕蝕刻數據,例如 WER,可被視為是膜品質之代表或一態樣。較低的WER對應於較高品質的膜。較高品質的膜通常導致較好的特徵部形成。在17.5托 (T) 之腔室壓力下進行退火15分鐘,對於無退火組、中立(外部)氮退火組、以及根據本揭示內容進行原位退火之示例性H 2/O 2組,獲得在狹縫區域中之49個膜位置之示例性濕蝕刻結果。可看出,相較於使用氮對膜進行惰性退火,原位H 2/O 2退火在降低WER方面是更有效的。
圖6顯示出曲線圖600,其描繪根據以下示例性退火條件在處理腔室中原位退火之二示例性膜(測試1 H 2/O 2及測試2 H 2/O 2)之比較實驗濕蝕刻數據。條件包括,在可變退火時間(如曲線圖600所示)內保持17.5T之腔室壓力、以5 SLM引入H 2、以5 SLM引入O 2、以及以20 SLM引入氬(Ar)。將測試1 H 2/O 2及測試2 H 2/O 2所得到之濕蝕刻數據對測試1 N 2及測試2 N 2膜之比較數據作圖,其中測試1 N 2及測試2 N 2膜係使用氮在指定的退火時間內進行習知的退火(亦即,在處理腔室外部)。測試1 H 2/O 2及測試2 H 2/O 2範例係呈現顯著較低的濕蝕刻速率,如曲線圖600所示。
在一些範例中,退火條件係配置以更快地改善膜品質或以更具影響力的方式降低濕蝕刻速率。為此,在可變壓力下對範例進行測試。圖7之曲線圖700中向下傾斜的曲線圖線701指出,在原位退火期間增加腔室壓力有助於降低濕蝕刻速率。亦在可變時間下對更多範例進行測試。例如,圖8之曲線圖800指出,可藉由增加在1至120分鐘範圍內之退火時間而減少濕蝕刻,在該範圍內之時間2.5、15及30分鐘進行測試。曲線圖800之受測範例之退火條件包括攝氏600度之腔室溫度、在可變時間(如曲線圖800所示)內保持在17.5T 之腔室壓力、在狹縫區域中之 49 個膜位置進行測量。
在一些範例中,相較於使用其它氣體,在原位膜退火操作中使用氫及∕或氧提供了花費不多的輸送工具。一些H 2/O 2範例可使用於修復狹縫(例如,參見以下所述之圖 9A-9B)、或使用在間隙填充應用中。一些H 2/O 2範例可包括多個或重複的退火操作。在一些範例中,一或更多退火操作係與一或更多沉積操作交替發生。在這樣的範例中,一或更多交替發生的退火及沉積操作在各循環中可分別為相同的(亦即,重複操作)、或在循環與循環之間不同。如果在給定晶圓或基板上存在或需要不同的尺寸,則交替發生的沉積及退火操作可能是有用的。本揭示內容之原位退火方法及配置可在這方面提供有效的解決方案。
圖9A-9B繪示出根據一些範例之原位退火對膜中之示例性狹縫之有益影響。在圖9A中,當使用習知方法進行退火時,一些缺陷902形成或餘留在膜906之狹縫904中。使用本方法,狹縫904得到明顯的改善,且缺陷902已經被去除,如圖9B所示。
本文中所揭示之一些實施例包括方法。參考圖10,在基板上沉積膜之方法1000中之操作包括:在操作1002,將基板設置在處理腔室中之基板支撐件上;在操作1004,將處理腔室中之處理壓力設定為在預定壓力範圍內之壓力;在操作1006,將處理腔室或基板支撐件之處理溫度設定為在預定溫度範圍內之溫度;在操作1008,將處理氣體混合物供應至氣體分配裝置,其中處理氣體混合物包括前驅物氣體、任選的一或更多摻質、包含第一氧物種之氣體、以及例如氦或氬之惰性氣體;在操作1010,將處理腔室中之膜退火壓力設定為在預定退火壓力範圍內之壓力;在操作1012,將處理腔室或基板支撐件之膜退火溫度設定為在預定退火溫度範圍內之溫度;在操作1014,在預定退火時間期間內供應膜退火氣體混合物以用於已沉積膜之腔室內退火,該退火氣體混合物包括第二氧物種或氫物種;而且,在操作1016,點燃電漿並且以在預定膜厚度範圍內之厚度將膜沉積在基板上及退火。
在一些範例中,退火氣體混合物之氫物種包括以500-10000標準立方公分∕分鐘(sccm)範圍內之流率而引入之H 2
在一些範例中,退火氣體混合物之第二氧物種包括以500-10000 sccm範圍內之流率而引入之O 2
在一些範例中,方法1000更包括:將前驅物氣體、任選的一或更多摻質、包含氧物種之氣體、以及惰性氣體供應至混合歧管,以產生處理氣體混合物;將第二氧物種或氫物種供應至混合歧管,以產生退火氣體混合物;以及將處理氣體混合物及退火氣體混合物輸送至氣體分配裝置,氣體分配裝置係設置在基板支撐件上方。
在一些範例中,方法1000更包括供應輔助吹淨氣體(purge gas)至處理腔室。
在一些範例中,輔助吹淨氣體包括氬。
在一些範例中,預定退火壓力範圍為5-30T。
在一些範例中,預定退火溫度範圍為500-700°C。
在一些範例中,預定退火時間期間在1-30分鐘之範圍內。
在一些範例中,在處理腔室或多站工具點燃電漿包括,為第一電極及第二電極其中一者供應在從1000至6500W範圍內之HF功率、並且為第一電極及第二電極其中一者供應在從500至6500W範圍內之LF功率。
在一些範例中,點燃電漿包括供應在從2000至3000W範圍內之HF功率至第一電極及第二電極其中一者、以及在從1000至3000W範圍內之LF功率至第一電極及第二電極其中一者。
在一些範例中,包含第一氧物種之氣體包括分子氧。
在一些範例中,包含第一氧物種之氣體係以從15 slm至30 slm (標準升∕分鐘)範圍內之流率而供應在處理腔室或多站工具。
在一些範例中,包含第一氧物種之氣體係以從20 slm至25 slm範圍內之流率而供應在處理腔室或多站工具。
在一些範例中,前驅物氣體係以從40 sccm至70 sccm(標準立方公分∕分鐘)範圍內之流率而供應在處理腔室或多站工具。
圖11係繪示出機器(例如,系統控制器1100)之範例之方塊圖,可藉由該機器而控制本文中所述之一或更多示例性處理實施例。在替代實施例中,系統控制器1100可做為獨立裝置而運作或可連接(例如,網路連接)至其它機器。在一些範例中,系統控制器1100可由圖2之系統控制器250所構成或包括系統控制器250。在以網路連接的部署中,系統控制器1100可在伺服器–客戶端網路環境中做為伺服器機器、客戶端機器或兩者而運作。在一範例中,系統控制器1100可在對等(peer-to-peer,P2P)(或其它分散式)網路環境中做為對等機器。此外,雖然僅繪示單一機器(亦即,系統控制器1100),但是用語「機器」亦應被視為包括單獨地或共同地執行一組(或多組)指令(例如透過雲端運算、軟體即服務(SaaS)、或其它電腦叢集配置)以執行本文所討論之方法其中任何一或多者之機器之任何集合。
如本文中所述,範例可包括邏輯、數個構件或機構,或可藉由上述者來操作。電路系統是在包括硬體(例如簡單電路、閘、邏輯等)之有形實體中實施之電路之集合。電路系統之組成可隨時間及基本的硬體變異性而具有靈活性。電路系統包括可單獨或結合地在運作時執行指定操作之構件。在一範例中,電路系統之硬體可不變地設計為執行特定操作(例如硬佈線式)。在一範例中,電路系統之硬體可包括以可變方式連接之實體構件(例如執行單元、電晶體、簡單電路等),包括經過物理修飾(例如磁性地、電性地、藉由不變質量粒子之可移動設置等)之電腦可讀媒體,以編碼特定操作之指令。在連接實體構件時,硬體構成之基本電性改變(例如,從絕緣體變成導體,反之亦然)。指令使得嵌入的硬體(例如,執行單元或加載機構)能夠透過可變連接而產生硬體中電路系統之構件,以在運作時執行特定操作之一部分。因此,當裝置運作時,電腦可讀媒體可通信地耦接至電路系統之其它構件。在一範例中,可將實體構件之任何者使用在多於一電路系統之多於一構件中。例如,在操作下,執行單元可在一時間點上用於第一電路系統之第一電路中,並在不同時間由第一電路系統中之第二電路或第二電路系統中之第三電路重複使用。
系統控制器(例如,電腦系統)1100可包括硬體處理器1102(例如,中央處理單元(CPU)、硬體處理器核心、或其任何組合)、圖形處理單元(GPU)1103、主記憶體1104及靜態記憶體1106,其一些或全部者可透過互連(例如,匯流排)1108彼此通信。系統控制器1100可更包括顯示裝置1110、字母數字輸入裝置1112(例如,鍵盤)與使用者介面(UI)導向裝置1114(例如,滑鼠)。在一範例中、顯示裝置1110、字母數字輸入裝置1112及UI 導向裝置1114可為觸控螢幕顯示器。系統控制器1100可額外包括大量儲存裝置(例如,驅動機單元)1116、訊號產生裝置1118(例如,揚聲器)、網路介面裝置1120及一或更多感測器1121,例如全球定位系統(GPS)感測器、羅盤、加速計或另一個感測器。系統控制器1100可包括輸出控制器1128,例如串列式(例如,通用串列匯流排(USB))、平行式或其它有線或無線(例如,紅外光(IR)、近場通信(NFC)等)連接,以通信或控制一或更多周邊裝置(例如,印表機、讀卡機等)。
大量儲存裝置1116可包括其上儲存有一或多組資料結構或指令1124(例如軟體)之機器可讀媒體1122,該一或多組資料結構或指令1124實現在本文中所述之技術或功能其中任何一或更多者或由其所利用。在由系統控制器1100執行之期間,指令1124亦可完全或至少部分地駐留在主記憶體1104內、在靜態記憶體1106內、在硬體處理器1102內、或在GPU 1103內。在一範例中,硬體處理器1102、GPU 1103、主記憶體1104、靜態記憶體1106、或大量儲存裝置1116其中一者或任何組合可構成機器可讀媒體1122。
雖然機器可讀媒體1122係繪示為單一媒體,但用語「機器可讀媒體」可包括配置為儲存一或更多指令1124之單一媒體或複數媒體(例如集中式或分佈式資料庫、及∕或相關的快取與伺服器)。
用語「機器可讀媒體」可包括能夠儲存、編碼或攜帶供系統控制器1100執行並致使系統控制器1100執行本揭示內容之技術之任何一或多者之指令1124、或者是能夠儲存、編碼或攜帶由這類指令1124所使用或與其相關之資料結構之任何媒體。非限制性的機器可讀媒體範例可包括固態記憶體以及光學與磁性媒體。在一範例中,大量機器可讀媒體包含利用具有不變(例如,靜止)質量之複數粒子之機器可讀媒體1122。因此,大量機器可讀媒體並非暫態性傳播訊號。大量機器可讀媒體之具體範例可包括非揮發性記憶體,例如半導體記憶體裝置(例如,電性可編程唯讀記憶體(EPROM)、電性可抹除唯讀記憶體(EEPROM))與快閃記憶體裝置;磁碟,例如內部硬碟及可移除磁碟;磁光碟;以及CD-ROM與DVD-ROM。指令1124可利用傳遞媒體透過網路介面裝置1120在通信網路1126上進一步傳遞或接收。
雖然已經參考特定示例性實施例來描述實施例,但是顯然可以對這些實施例進行各種修改及改變而不偏離更廣的本發明標的範圍。因此,說明書及圖式係被視為是說明性的而不是限制性的。形成其一部分之附圖係藉由說明而非限制的方式而顯示出可施行本標的之特定實施例。本文中所繪示之實施例被充分詳細地描述,以使熟悉此項技術者能夠實行所揭露之教示。可使用其它實施例並從其進行衍生,俾使可在不偏離此揭示內容之範圍之情況下進行結構及邏輯替換及改變。因此,此實施方法不應被視為是限制性的,各種實施例之範圍僅由所附申請專利範圍及這些申請專利範圍所賦予之均等物之完整範圍所界定。
在本文中,可能單獨及∕或共同地藉由用語「發明」來指稱本發明標的之這類實施例,此僅是為了方便起見,並非自願地將本申請案之範圍限制於任何單一發明或發明概念,如果實際上揭露了不止一者。因此,儘管本文中已經繪示及描述了具體實施例,但是應當理解,任何被計畫以實現相同目的之配置可取代所示之具體實施例。本揭示內容旨在涵蓋各種實施例之所有修改或變化。在閱讀以上的描述之後,上述實施例之組合、以及本文中未具體描述之其它實施例對於熟悉此項技術者而言將是顯而易見的。
1-4:處理工作站 100:處理工作站 101:反應物輸送系統 102:處理腔室本體 103:汽化點 104:混合容器 105:噴淋頭入口閥 106:噴淋頭 107:微容積 108:基座 110:加熱器 112:基板 114:射頻(RF)電源 116:匹配網路 118:蝶形閥 120:混合容器入口閥 200:多站式處理工具 202:入站裝載室 204:出站裝載室 206:機器人 208:盒 212:基座 214:處理腔室 216:腔室傳送埠 218:基座 250:系統控制器 252:處理器 254:大容量儲存裝置 256:記憶體裝置 258:系統控制軟體 290:晶圓搬運系統 302:進入 304:膜 306:循環 308:重複 310:循環 312:沉積 314:退火 402:狹縫 404:沉積 406:退火 407:狹縫過載 408:沉積 410:沉積 412:退火 500:曲線圖 600:曲線圖 700:曲線圖 701:曲線圖線 800:曲線圖 902:缺陷 904:狹縫 906:膜 1000:方法 1002-1016:操作 1100:系統控制器 1102:硬體處理器 1103:圖形處理單元 1104:主記憶體 1106:靜態記憶體 1108:互連 1110:顯示裝置 1112:字母數字輸入裝置 1114:使用者介面(UI)導向裝置 1116:大量儲存裝置 1118:訊號產生裝置 1120:網路介面裝置 1121:感測器 1122:機器可讀媒體 1124:指令 1126:通信網路 1128:輸出控制器
藉由範例而非限制,將參考附圖以說明某些實施例:
圖1描繪出根據一示例性實施例之原子層沉積(ALD)處理工作站之實施例之示意圖。
圖2係根據一示例性實施例之基板處理工具之示意圖。
圖3A-3B及圖4A-4E係描繪根據示例性實施例之基板處理方法中之示例性操作及態樣之示圖。
圖5-8包括曲線圖,描繪根據某些示例性實施例之已退火的膜之濕蝕刻數據。
圖9A-9B繪示出根據示例性實施例之膜狹縫及基板部分。
圖10係流程圖,包括根據一示例性實施例之基板處理方法中之示例性操作。
圖11係方塊圖,繪示出系統控制器之範例,在其上可實行一或更多示例性實施例、或藉由其可控制一或更多示例性實施例。
302:進入
304:膜

Claims (16)

  1. 一種在基板上沉積膜之方法,包括: 將基板設置在處理腔室中之基板支撐件上; 將該處理腔室中之處理壓力設定為在預定壓力範圍內之壓力; 將該處理腔室或該基板支撐件之處理溫度設定為在預定溫度範圍內之溫度; 供應處理氣體混合物至氣體分配裝置,其中該處理氣體混合物包括前驅物氣體、包含第一氧物種之氣體以及惰性氣體; 點燃電漿並且沉積在預定膜厚度範圍內之膜在該基板上; 在該處理腔室中、在該已沉積膜上執行原位退火操作,該原位退火操作至少包括: 將該處理腔室中之膜退火壓力設定為在預定退火壓力範圍內之壓力; 將該處理腔室或該基板支撐件之膜退火溫度設定為在預定退火溫度範圍內之溫度; 在預定退火時間期間內供應膜退火氣體混合物以用於該已沉積膜之原位退火,該退火氣體混合物包括第二氧物種或氫物種其中至少一者;及 將在該基板上之該膜進行退火。
  2. 如請求項1之在基板上沉積膜之方法,其中該退火氣體混合物之該氫物種包括以500-10000標準立方公分∕分鐘(sccm)範圍內之流率而引入該處理腔室之氫(H 2)。
  3. 如請求項1之在基板上沉積膜之方法,其中該退火氣體混合物之該第二氧物種包括以500-10000 sccm範圍內之流率而引入該處理腔室之O 2
  4. 如請求項1之在基板上沉積膜之方法,更包括: 供應該前驅物氣體、包含該第一氧物種之該氣體以及該惰性氣體至混合歧管,以產生該處理氣體混合物; 供應該第二氧物種或該氫物種其中至少一者至該混合歧管,以產生該退火氣體混合物;及 輸送該處理氣體混合物及該退火氣體混合物至該氣體分配裝置,該氣體分配裝置係設置在該基板支撐件上方。
  5. 如請求項1之在基板上沉積膜之方法,更包括:供應吹淨氣體至該處理腔室。
  6. 如請求項5之在基板上沉積膜之方法,其中該吹淨氣體包括氬。
  7. 如請求項1之在基板上沉積膜之方法,其中該預定退火壓力範圍係5-30T。
  8. 如請求項1之在基板上沉積膜之方法,其中該預定退火溫度範圍係500-700°C。
  9. 如請求項1之在基板上沉積膜之方法,其中該預定退火時間期間係在1-30分鐘之範圍內。
  10. 如請求項1之在基板上沉積膜之方法,其中在該處理腔室或在多站工具點燃該電漿包括:為第一電極及第二電極其中一者供應在從1000至6500W範圍內之高頻(HF)功率,並且為該第一電極及該第二電極其中一者供應在從500至6500W範圍內之低頻(LF)功率。
  11. 如請求項10之在基板上沉積膜之方法,其中在該處理腔室或在多站工具點燃該電漿包括:為第一電極及第二電極其中一者供應在從2000至3000W範圍內之HF功率,並且為該第一電極及該第二電極其中一者供應在從1000至3000W範圍內之LF功率。
  12. 如請求項1之在基板上沉積膜之方法,其中包含該第一氧物種之該氣體包括分子氧。
  13. 如請求項1之在基板上沉積膜之方法,其中包含該第一氧物種之該氣體係以從15 slm至30 slm (標準升∕分鐘)範圍內之流率而供應。
  14. 如請求項1之在基板上沉積膜之方法,其中包含該第一氧物種之該氣體係以從20 slm至25 slm範圍內之流率而供應。
  15. 如請求項1之在基板上沉積膜之方法,其中該前驅物氣體係以從40 sccm至70 sccm(標準立方公分∕分鐘)範圍內之流率而供應。
  16. 一種用於在基板上沉積膜之系統,包括: 處理腔室; 基板支撐件,用以將基板支撐在該處理腔室中; 調壓器,配置以將該處理腔室中之處理及退火壓力設定至預定壓力範圍,該退火壓力係設定用於該膜之原位退火; 加熱器,配置以將該處理腔室或該基板支撐件之處理及退火溫度設定至預定溫度,該退火溫度係設定用於該膜之該原位退火; 氣體分配裝置,配置以接收處理氣體混合物及膜退火氣體混合物之供應,其中該處理氣體混合物包括前驅物氣體、包含第一氧物種之氣體以及惰性氣體,及其中該膜退火氣體混合物包括第二氧物種或氫物種其中至少一者; 電極,用以點燃電漿以沉積在預定厚度範圍內之該膜;及 該處理腔室,配置以基於該退火壓力及溫度將該已沉積膜進行原位退火。
TW111111863A 2021-03-30 2022-03-29 基板處理系統中的原位膜退火 TW202249206A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202163168217P 2021-03-30 2021-03-30
US63/168,217 2021-03-30

Publications (1)

Publication Number Publication Date
TW202249206A true TW202249206A (zh) 2022-12-16

Family

ID=83456678

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111111863A TW202249206A (zh) 2021-03-30 2022-03-29 基板處理系統中的原位膜退火

Country Status (5)

Country Link
US (1) US20240167153A1 (zh)
KR (1) KR20230162897A (zh)
CN (1) CN115868005A (zh)
TW (1) TW202249206A (zh)
WO (1) WO2022212202A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060260545A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Low temperature absorption layer deposition and high speed optical annealing system
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
JP5813303B2 (ja) * 2009-11-20 2015-11-17 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US8871617B2 (en) * 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US9431238B2 (en) * 2014-06-05 2016-08-30 Asm Ip Holding B.V. Reactive curing process for semiconductor substrates

Also Published As

Publication number Publication date
KR20230162897A (ko) 2023-11-29
US20240167153A1 (en) 2024-05-23
CN115868005A (zh) 2023-03-28
WO2022212202A1 (en) 2022-10-06

Similar Documents

Publication Publication Date Title
KR102470304B1 (ko) 실리콘 옥사이드의 선택적인 증착
US10577691B2 (en) Single ALD cycle thickness control in multi-station substrate deposition systems
CN109913852B (zh) 抑制喷头背面寄生等离子体的方法和装置
TWI695082B (zh) 無氨無氯保形氮化矽膜的沉積方法
KR20230018507A (ko) 증착 내내 웨이퍼 온도를 가변함으로써 계면 반응들 억제
US10526700B2 (en) Hardware and process for film uniformity improvement
TW201623682A (zh) 原子層沉積所形成的氮化矽膜之特徵部內溼蝕刻速率的均勻降低用方法及設備
KR20160038783A (ko) 플라즈마 보조 원자층 증착의 rf 보상을 위한 방법 및 장치
KR20180103018A (ko) 촉매 제어를 사용한 실리콘 옥사이드 상의 실리콘 나이트라이드의 선택적 증착
US20220238325A1 (en) In-situ control of film properties during atomic layer deposition
JP2023514497A (ja) 局所応力調整のためのuv硬化
US20220275510A1 (en) Thermal atomic layer deposition of silicon-containing films
JP7494209B2 (ja) 調整された原子層堆積
CN111819659A (zh) 基于蚀刻残渣的抑制剂的选择性处理
US20230220544A1 (en) In-feature wet etch rate ratio reduction
TW202249206A (zh) 基板處理系統中的原位膜退火
US12040180B2 (en) Nitride films with improved etch selectivity for 3D NAND integration
TW202238685A (zh) 利用寬間隙電極間距在低壓力條件下之高選擇性、低應力、及低氫碳硬遮罩