KR20230018507A - 증착 내내 웨이퍼 온도를 가변함으로써 계면 반응들 억제 - Google Patents

증착 내내 웨이퍼 온도를 가변함으로써 계면 반응들 억제 Download PDF

Info

Publication number
KR20230018507A
KR20230018507A KR1020230009210A KR20230009210A KR20230018507A KR 20230018507 A KR20230018507 A KR 20230018507A KR 1020230009210 A KR1020230009210 A KR 1020230009210A KR 20230009210 A KR20230009210 A KR 20230009210A KR 20230018507 A KR20230018507 A KR 20230018507A
Authority
KR
South Korea
Prior art keywords
substrate
temperature
station
pedestal
film
Prior art date
Application number
KR1020230009210A
Other languages
English (en)
Other versions
KR102662595B1 (ko
Inventor
세샤세이 바라다라잔
아론 알. 펠리스
앤드류 존 맥케로우
제임스 사무엘 심즈
라메시 찬드라세카란
존 헨리
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20230018507A publication Critical patent/KR20230018507A/ko
Application granted granted Critical
Publication of KR102662595B1 publication Critical patent/KR102662595B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/305Sulfides, selenides, or tellurides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece

Abstract

멀티-스테이션 증착 장치에서 막을 증착하기 위한 방법들 및 장치들 및 시스템들이 개시된다. 방법들은: (a) 장치의 제 1 스테이션으로 기판을 제공하는 단계, (b) 제 1 온도로 기판의 온도를 조정하는 단계, (c) 기판이 제 1 스테이션에서 제 1 온도로 있는 동안 기판 상에 재료의 제 1 부분을 증착하는 단계, (d) 제 2 스테이션으로 기판을 이송하는 단계, (e) 제 2 온도로 기판의 온도를 조정하는 단계, 및 (f) 제 1 부분 및 제 2 부분이 상이한 값들의 재료의 특성을 나타내도록 기판이 제 2 온도로 있는 동안 기판 상에 재료의 제 2 부분을 증착하는 단계를 포함할 수도 있다. 장치들 및 시스템들은 멀티-스테이션 증착 장치 및 단계 (a) 내지 단계 (f) 중 하나 이상을 수행하기 위한 제어 로직을 갖는 제어기를 포함할 수도 있다.

Description

증착 내내 웨이퍼 온도를 가변함으로써 계면 반응들 억제{SUPPRESSING INTERFACIAL REACTIONS BY VARYING WAFER TEMPERATURE THROUGHOUT DEPOSITION}
일부 반도체 제작 프로세스들은 반도체 기판 또는 웨이퍼 상에 재료의 하나 이상의 층들을 증착한다. 집적 회로 제조사들 및 설비 설계자들은 재료 증착 동안 및 재료 증착 후에 바람직한 특성들을 갖는 막들을 생성하도록 다양한 프로세스 및 장치 구성들을 채용한다. 증착된 재료의 전체 특성들을 제어하기 위해 재료 증착 시스템들, 예컨대 CVD (chemical vapor deposition) 챔버들은 상이한 프로세스 조건들에서 동작할 수도 있다. 그러나, 많은 요건들을 만족하는 특성들을 갖는 막들을 생성하는 프로세스 조건들을 규정하는 것은 과제를 남긴다.
일 실시예에서 멀티-스테이션 증착 장치에서 기판 상에 재료를 증착하기 위한 방법이 제공될 수도 있다. 방법은: (a) 멀티-스테이션 증착 장치의 제 1 스테이션으로 기판을 제공하는 단계, (b) 기판과 제 1 스테이션의 페데스탈 사이의 열 전달을 통해 제 1 온도로 기판의 온도를 조정하는 단계, (c) 기판이 제 1 스테이션에서 제 1 온도로 있는 동안 기판 상에 재료의 제 1 부분을 증착하는 단계, (d) 멀티-스테이션 증착 장치의 제 2 스테이션으로 기판을 이송하는 단계, (e) 기판과 제 2 스테이션의 페데스탈 사이의 열 전달을 통해 제 2 온도로 기판의 온도를 조정하는 단계, 및 (f) 제 1 부분 및 제 2 부분이 상이한 값들의 재료의 특성을 나타낼 수도 있도록 기판이 제 2 온도로 있는 동안 기판 상에 재료의 제 2 부분을 증착하는 단계를 포함할 수도 있다.
일부 실시예들에서, 기판이 제 1 온도에 있는 동안 기판 상에 재료를 증착하는 단계는 제 1 값의 특성을 갖는 제 1 층으로서 재료의 제 1 부분을 증착할 수도 있고, 그리고 기판이 제 2 온도에 있는 동안 기판 상에 재료를 증착하는 단계는 제 2 값의 특성을 갖는 제 2 층으로서 재료의 제 2 부분을 증착할 수도 있다.
일부 실시예들에서, 특성은 제 1 습식 에칭 레이트, 제 1 조성, 또는 제 1 밀도일 수도 있다.
일부 실시예들에서, 단계 (b) 는 제 1 스테이션의 페데스탈의 가열 엘리먼트를 사용하여 기판의 온도를 제 1 온도로 조정하는 것을 포함할 수도 있고, 그리고 단계 (e) 는 제 2 스테이션의 페데스탈의 가열 엘리먼트를 사용하여 기판의 온도를 제 2 온도로 조정하는 것을 포함할 수도 있다.
일부 실시예들에서, 방법은 또한 (g) 단계 (f) 후에, 기판을 멀티-스테이션 증착 장치의 제 3 스테이션에 제공하는 단계; (h) 기판과 제 3 스테이션의 페데스탈 사이의 열 전달을 통해 기판의 온도를 제 3 온도로 조정하는 단계; 및 (i) 기판이 제 3 온도에 있는 동안 기판 상에 재료의 제 3 부분을 증착하는 단계를 포함할 수도 있다.
일부 이러한 실시예들에서, 제 3 온도는 제 1 온도, 또는 제 2 온도, 또는 제 1 온도 또는 제 2 온도가 아닌 온도일 수도 있다.
일부 다른 이러한 실시예들에서, 방법은 (j) 단계 (i) 후에, 멀티-스테이션 증착 장치의 제 4 스테이션으로 기판을 제공하는 단계; (k) 기판과 제 4 스테이션의 페데스탈 사이의 열 전달을 통해 제 4 온도로 기판의 온도를 조정하는 단계; 및 (l) 기판이 제 4 온도에 있는 동안 기판 상에 재료의 제 4 부분을 증착하는 단계를 더 포함할 수도 있다.
일부 다른 이러한 실시예들에서, 제 4 온도는 제 1 온도, 또는 제 2 온도, 또는 제 3 온도, 또는 제 1 온도, 또는 제 2 온도, 또는 제 3 온도가 아닌 온도일 수도 있다.
일부 실시예들에서, 단계 (c) 는 N1 증착 사이클들에 걸쳐 기판 상의 재료의 제 1 부분을 증착하는 것을 포함할 수도 있고, 증착 사이클은 일 스테이션에서 증착된 재료의 층의 총 두께의 단편을 집합적으로 증착하는 프로세스 단계들의 세트일 수도 있고, 그리고 단계 (f) 는 N2 증착 사이클들에 걸쳐 기판 상에 재료의 제 2 부분을 증착하는 것을 포함할 수도 있고, 증착 사이클은 일 스테이션에서 증착된 재료의 층의 총 두께의 단편을 집합적으로 증착하는 프로세스 단계들의 세트일 수도 있다.
일부 실시예들에서, 단계 (c) 는 t1의 시간 기간 동안 기판 상에 재료의 제 1 부분을 증착하는 것을 포함할 수도 있고, 그리고 단계 (f) 는 t2의 시간 기간 동안 기판 상에 재료의 제 2 부분을 증착하는 것을 포함할 수도 있다.
일부 실시예들에서, 방법은 단계 (c) 에서 재료의 제 1 부분을 증착하는 동안, 기판과 페데스탈 간의 분리 거리를 조정하고 제 1 스테이션의 기판의 온도를 더 조정하도록 제 1 스테이션의 페데스탈의 기판 지지 표면을 향해 또는 제 1 스테이션의 페데스탈의 기판 지지 표면으로부터 기판을 이동시키는 단계를 더 포함할 수도 있다.
일부 실시예들에서, 기판의 온도를 제 1 온도로 조정하는 단계 (b) 는 기판으로 하여금 제 1 스테이션의 페데스탈의 기판 지지 표면으로부터 제 1 분리 거리만큼 이격되게 하는 것을 포함할 수도 있다. 방법은 단계 (c) 후 그리고 단계 (d) 전에, 기판으로 하여금 제 1 스테이션의 페데스탈의 기판 지지 표면으로부터 제 2 분리 거리만큼 이격되게 함으로써 기판의 온도를 제 3 온도로 조정하는 단계를 더 포함할 수도 있고, 단계 (c) 후 그리고 단계 (d) 전에, 기판이 제 1 스테이션, 제 2 분리 거리, 그리고 제 3 온도에 있는 동안 기판 상에 재료의 제 3 부분을 증착하는 단계를 더 포함할 수도 있다. 제 3 부분은 재료의 제 1 부분 및 제 2 부분 중 하나 이상과 상이한 값들의 재료의 특성을 나타낼 수도 있다.
일부 이러한 실시예들에서, 단계 (e) 는 기판으로 하여금 제 2 스테이션의 페데스탈의 기판 지지 표면으로부터 제 3 분리 거리만큼 이격되게 함으로써 기판의 온도를 제 2 온도로 조정하는 것을 포함할 수도 있다. 방법은 단계 (f) 후에, 기판으로 하여금 제 2 스테이션의 페데스탈의 기판 지지 표면으로부터 제 4 분리 거리만큼 이격되게 함으로써 기판의 온도를 제 4 온도로 조정하는 단계를 더 포함할 수도 있고, 단계 (f) 후에, 기판이 제 4 온도에서 그리고 제 2 스테이션에 있는 동안 기판 상에 재료의 제 4 부분을 증착하는 단계를 더 포함할 수도 있다. 제 4 부분은 재료의 제 1 부분, 제 2 부분, 및 제 3 부분 중 하나 이상과 상이한 값들의 재료의 특성을 나타낼 수도 있다.
일부 다른 이러한 실시예들에서, 제 1 분리 거리는 기판이 기판 지지 표면과 콘택트하도록 실질적으로 0일 수도 있다.
일부 다른 이러한 실시예들에서, 단계 (e) 는, 기판이 고정된 채로 있는 동안, 기판으로부터 페데스탈을 수직으로 이동시킴으로써 기판으로 하여금 페데스탈의 기판 지지 표면으로부터 제 2 분리 거리만큼 이격되게 하는 것을 포함할 수도 있다.
일부 다른 이러한 실시예들에서, 단계 (e) 는, 페데스탈이 고정된 채로 있는 동안, 페데스탈로부터 기판을 수직으로 이동시킴으로써 기판으로 하여금 페데스탈의 기판 지지 표면으로부터 제 2 분리 거리만큼 이격되게 하는 것을 포함할 수도 있다.
일부 실시예들에서, 멀티-스테이션 증착 장치가 제공될 수도 있다. 장치는 프로세싱 챔버; 제 1 프로세스 스테이션에 위치된 기판을 가열하도록 구성된 제 1 가열 엘리먼트를 갖는 제 1 페데스탈을 포함하는 프로세싱 챔버 내 제 1 프로세스 스테이션; 제 2 프로세스 스테이션에 위치된 기판을 가열하도록 구성된 제 2 가열 엘리먼트를 갖는 제 2 페데스탈을 포함하는 프로세싱 챔버 내 제 2 프로세스 스테이션; 제 1 프로세스 스테이션과 제 2 프로세스 스테이션 사이에서 하나 이상의 기판들을 이송하기 위한 기판 이송 디바이스; 및 상이한 온도들에서 기판 상에 재료를 증착하도록 상기멀티-스테이션 증착 장치를 제어하는 제어기를 포함할 수도 있다. 제어기는, (a) 멀티-스테이션 증착 장치의 제 1 스테이션으로 기판을 제공하는 동작; (b) 기판과 제 1 페데스탈 사이의 열 전달을 통해 제 1 온도로 기판의 온도를 조정하는 동작; (c) 기판이 제 1 스테이션에서 제 1 온도로 있는 동안 기판 상에 재료의 제 1 부분을 증착하는 동작; (d) 제 2 스테이션으로 기판을 이송하는 동작; (e) 기판과 페데스탈 사이의 열 전달을 통해 제 2 온도로 기판의 온도를 조정하는 동작; 및 (f) 기판이 제 2 온도로 있는 동안 기판 상에 재료의 제 2 부분을 증착하는 동작을 위한 제어 로직을 포함할 수도 있다. 제 1 부분 및 제 2 부분이 상이한 값들의 재료의 특성을 나타낼 수도 있다.
일부 실시예들에서, 페데스탈 각각은 기판 지지 표면을 포함할 수도 있고, 기판으로 하여금 기판 지지 표면에 콘택트되게 하도록 구성될 수도 있고, 그리고 기판으로 하여금 기판 지지 표면으로부터 제 1 분리 거리만큼 그리고 제 2 분리 거리만큼 이격되게 하도록 구성될 수도 있다. 제어기는, 기판을 제 1 분리 거리에 그리고 제 2 분리 거리에 포지셔닝하고; 그리고 멀티-스테이션 증착 장치의 제 1 스테이션에서 동작 (a) 내지 동작 (c), 동작 (e), 및 동작 (f) 를 수행하기 위한 제어 로직을 더 포함할 수도 있다. 부가적으로, 동작 (b) 는 기판으로 하여금 제 1 스테이션의 페데스탈의 기판 지지 표면으로부터 제 1 분리 거리만큼 이격되게 함으로써 제 1 온도로 기판의 온도를 조정하는 동작을 포함할 수도 있고, 동작 (c) 는 기판이 기판 지지 표면으로부터 제 1 분리 거리만큼 이격되는 동안 재료의 제 1 부분을 증착하는 동작을 포함할 수도 있고, 동작 (e) 는 기판으로 하여금 제 1 스테이션의 페데스탈의 기판 지지 표면으로부터 제 2 분리 거리만큼 이격되게 함으로써 제 2 온도로 기판의 온도를 조정하는 동작을 포함할 수도 있고; 그리고 동작 (f) 는 기판이 제 1 스테이션의 페데스탈의 기판 지지 표면으로부터 제 2 분리 거리만큼 이격되는 동안 기판 상에 재료를 증착하는 동작을 포함할 수도 있다.
일부 실시예들에서, 페데스탈 각각은 기판 지지 표면을 포함할 수도 있고, 기판으로 하여금 기판 지지 표면에 콘택트되게 하도록 구성될 수도 있고, 그리고 기판으로 하여금 기판 지지 표면으로부터 제 1 분리 거리만큼 그리고 제 2 분리 거리만큼 이격되게 하도록 구성될 수도 있다. 부가적으로, 동작 (b) 는 기판이 제 1 스테이션의 페데스탈의 기판 지지 표면으로부터 제 1 분리 거리만큼 이격되는 동안 제 1 스테이션의 페데스탈과 기판 사이의 열 전달을 통해 제 1 온도로 기판의 온도를 조정하는 것을 포함할 수도 있다. 제어기는, 동작 (c) 후 그리고 동작 (d) 전에, 기판으로 하여금 제 1 스테이션의 페데스탈의 기판 지지 표면으로부터 제 2 거리만큼 이격되게 함으로써 기판의 온도를 제 3 온도로 조정하고, 그리고 동작 (c) 후 그리고 동작 (d) 전에, 기판이 제 1 스테이션, 제 2 분리 거리, 그리고 제 3 온도에 있는 동안 기판 상에 재료의 제 3 부분을 증착하기 위한 제어 로직을 더 포함할 수도 있다. 제 3 부분은 재료의 제 1 부분 및 제 2 부분 중 하나 이상과 상이한 값들의 재료의 특성을 나타낼 수도 있다.
일부 실시예들에서, 제 1 가열 엘리먼트는 알루미늄에 임베딩된 내열 코일 또는알루미늄 나이트라이드에 임베딩된 가열 배선 중 하나일 수도 있다.
본 개시의 이들 및 다른 특징들은 연관된 도면들을 참조하여 이하에 보다 상세히 기술될 것이다.
도 1은 단일 프로세스 스테이션을 갖는 프로세싱 챔버를 갖는 기판 프로세싱 장치의 단면 개략도를 도시한다.
도 2는 하나 이상의 멀티-스테이션 반도체 프로세싱 툴들에서 막 증착을 수행하고, 4-스테이션 기판 프로세싱 툴, 2 개의 프로세스 스테이션들로부터 기판들을 로딩 및 언로딩하기 위한 기판 핸들러 로봇, 및 툴을 동작시키기 위한 제어기를 포함하는, 시스템의 개략도를 도시한다.
도 3은 막 각각 내내 가변하는 값들의 막 특성을 갖는 4 개의 막들의 표현을 도시한다.
도 4는 멀티-스테이션 증착 장치에서 다양한 온도들에서 기판 상에 재료를 증착하기 위한 예시적인 기법의 플로우차트를 도시한다.
도 5는 예시적인 페데스탈의 등축도를 도시한다.
도 6은 도 5의 예시적인 페데스탈의 측면도를 도시한다.
도 7은 후퇴된 위치의 리프트 핀들을 갖는 도 5의 예시적인 페데스탈의 측면도를 도시한다.
도 8은 다양한 온도들에서 기판 상에 재료를 증착하기 위한 예시적인 기법의 플로우차트를 도시한다.
도 9는 멀티-스테이션 증착 장치에서 상이한 온도들에서 기판 상에 재료를 증착하기 위한 예시적인 기법의 플로우차트를 도시한다.
도 10은 상이한 온도들에서 생성된 막의 습식 에칭 레이트의 차를 도시하는 차트를 도시한다.
도 11은 예시적인 PCRAM 프로세싱 동안 기판의 일부의 부분적인 측단면도를 도시한다.
도 12는 도 5의 페데스탈의 단면도를 도시한다.
도 13은 예시적인 DRAM 프로세싱 동안 기판의 일부의 부분적인 측단면도를 도시한다.
이하의 기술에서, 복수의 구체적인 상세들이 제시된 개념들의 전체적인 이해를 제공하기 위해 언급된다. 제시된 개념들은 이들 구체적인 상세들 중 일부 또는 전부 없이도 실시될 수도 있다. 다른 예들에서, 공지의 프로세스 동작들은 기술된 개념들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 일부 개념들이 구체적인 구현예들에 관하여 기술되지만, 구현된 구현예들을 제한하는 것으로 의도되지 않는다는 것이 이해될 것이다.
많은 개념들 및 구현예들이 본 명세서에 기술되고 예시된다. 본 명세서에서 논의된 구현예들의 특정한 특징들, 속성들 및 장점들이 기술되고 예시되지만, 본 발명들의 많은 다른 뿐만 아니라 상이한 그리고/또는 유사한 구현예들, 특징들, 속성들 및 장점들이 기술 및 예시들로부터 자명하다. 이와 같이, 이하의 구현예들은 단순히 본 개시의 일부 가능한 예들이다. 이들은 개시된 정밀한 형태들, 기법들, 재료들 및/또는 구성들로 본 개시를 제한하거나 배타적인 것으로 의도되지 않는다. 많은 수정들 및 변형들이 본 개시의 관점에서 가능하다. 다른 구현예들이 활용될 수도 있고 동작적 변화들이 본 개시의 범위로부터 벗어나지 않고 이루어질 수도 있다는 것이 이해된다. 이와 같이, 본 개시의 범위는 상기 구현예들의 기술이 예시 및 기술을 목적으로 제공되기 때문에 이하의 기술로만 제한되지 않는다.
중요하게, 본 개시는 어떠한 단일 양태 또는 구현예, 이러한 양태들 및/또는 구현예들의 어떠한 단일 조합 및/또는 치환으로 제한되지 않는다. 더욱이, 본 개시의 양태들 및/또는 이의 구현예들 각각은 다른 양태들 및/또는 이의 구현예들 중 하나 이상과 결합하여 또는 단독으로 채용될 수도 있다. 간결함을 위해, 많은 이들 치환들 및 결합들은 본 명세서에서 별도로 논의 및/또는 예시되지 않을 것이다.
증착 장치에서 상이한 온도들로 막 증착을 수행하기 위한 방법들, 시스템들, 및 장치들이 본 명세서에 개시된다. 일부 반도체 프로세스들은 웨이퍼와 같은 기판 상에 재료의 하나 이상의 층들을 증착하도록 사용된다. 본 명세서에서 사용될 때, "웨이퍼"는 통상적으로 다른 형태들의 "기판" 예컨대 대형 포맷 디스플레이 기판을 포함하도록 해석될 수 있다. 본 출원에서, 용어들 "반도체 웨이퍼", "웨이퍼", "기판", "웨이퍼 기판", 및 "부분적으로 제조된 집적 회로"는 상호교환 가능하게 사용된다. 당업자는 용어 "부분적으로 제조된 집적 회로"가 그 위에서의 집적 회로 제조의 많은 단계들 중 임의의 많은 단계 동안 실리콘 웨이퍼를 지칭할 수 있다는 것을 이해할 것이다. 반도체 디바이스 산업에서 사용된 웨이퍼 또는 기판은 통상적으로 200 ㎜, 또는 300 ㎜, 또는 450 ㎜의 직경을 갖는다. 그러나, 본 발명은 이렇게 제한되지 않는다. 워크피스는 다양한 형상들, 사이즈들, 및 재료들일 수도 있다. 반도체 웨이퍼들에 부가하여, 본 발명의 장점을 취할 수도 있는 다른 워크피스들은 인쇄 회로 기판들과 같은 다양한 물품들을 포함한다.
막 증착 프로세스들의 예들은 "CVD" (chemical vapor deposition), "PECVD" (plasma-enhanced CVD), "ALD" (atomic layer deposition), 저압 CVD, 초-고 CVD, "PVD" (physical vapor deposition), 및 "CFD" (conformal film deposition) 를 포함한다. 예를 들어, 일부 CVD 프로세스들은 단일 증착 프로세스 내에서 기판 표면 상에 막을 증착할 수도 있다. 일부 다른 증착 프로세스들은 각각 "개별" 막 두께를 생성하는, 복수의 막 증착 사이클들을 수반한다. ALD는 이러한 막 증착 방법 중 하나이지만, 막의 박층들을 만들고 반복되는 순차적인 방식에 사용되는 모든 기법은 증착의 복수의 사이클들을 수반하는 것으로 보여질 수도 있다.
막 증착은, 때때로 "레시피"로 지칭되는, 증착될 재료 및 활용될 증착 프로세스에 대해 최적화되는, 특정한 프로세스 조건들 하에서 수행된다. 일부 예시적인 프로세스 조건들은 타이밍 및 가스 및 액체 반응물질들의 혼합물, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 기판 온도, 타깃 전력 레벨들, RF 전력 레벨들, RF 노출 시간, 기판 페데스탈, 척 및/또는 서셉터 위치, 스테이션 각각에서 플라즈마 형성, 및 가스 및 액체 반응물질들의 플로우를 포함한다.
막 증착 장치들의 개요
반도체 기판들 상에 막들을 증착하기 위한 동작들은 일반적으로 도 1에 도시된 바와 같은 기판 프로세싱 장치에서 수행될 수도 있다. 이하에 보다 상세히 기술될, 도 1의 툴 (100) 은 진공 펌프 (118) 에 의해 진공 하에서 유지될 수도 있는 내부 볼륨 내 단일 기판 홀더 (108) 를 갖는 단일 프로세싱 챔버 (102) 를 갖는다. 기판 홀더, 즉 페데스탈 (108) 은 페데스탈 및 기판을 가열할 수도 있는 가열 엘리먼트 (110) 를 갖는다. 또한 가스 전달 시스템 (101) 및 샤워헤드 (106) 가 (예를 들어) 막 전구체들, 캐리어 가스 및/또는 퍼지 가스 및/또는 프로세스 가스, 2차 반응물질들 등의 전달을 위한 챔버에 유체적으로 커플링된다. 프로세싱 챔버 내 플라즈마를 생성하기 위한 장비가 또한 도 1에 도시되고 이하에 더 상세히 기술될 것이다. 어떠한 경우든, 이하에 상세히 기술된 바와 같이, 도 1에 개략적으로 예시된 장치는 반도체 기판들 상에서 ALD와 같은 막 증착 동작들을 수행하기 위한 기본적인 장비를 제공한다.
일부 환경들에서 도 1과 같은 기판 프로세싱 장치가 충분할 수도 있지만, 시간 소모적인 막 증착 동작들이 수반된다면, 복수의 반도체 기판들 상에서 동시에 복수의 증착 동작들을 동시에 수행함으로써 기판 프로세싱 쓰루풋을 증가시키는데 유리할 수도 있다. 이 목적을 위해, 도 2에 개략적으로 예시된 멀티-스테이션 기판 프로세싱 장치가 채용될 수도 있다. 도 2의 기판 프로세싱 장치 (200) 는 여전히 단일 기판 프로세싱 챔버 (214) 를 채용하지만, 프로세싱 챔버의 벽들에 의해 규정된 단일 내부 볼륨 내에, 복수의 기판 프로세스 스테이션들이 있고, 스테이션 각각은 이 프로세스 스테이션에서 페데스탈에 홀딩된 기판 상에서 프로세싱 동작들을 수행하도록 사용될 수도 있다. 이 특정한 실시예에서, 멀티-스테이션 기판 프로세싱 장치 (200) 는 4 개의 프로세스 스테이션들 (201, 202, 203, 및 204) 을 갖는 것으로 도시된다. 장치는 또한 기판 로딩 디바이스, 이 경우에서 프로세스 스테이션들 (201 및 202) 에서 기판들을 로딩하기 위한 기판 핸들러 로봇 (226) 및 기판 이송 디바이스, 이 경우에서 다양한 프로세스 스테이션들 (201, 202, 203, 및 204) 사이에서 기판들을 이송하기 위한 기판 캐로절 (290) 을 채용한다. 다른 유사한 멀티-스테이션 프로세싱 장치들은 실시예, 그리고 예를 들어, 동시 기판 프로세싱, 사이즈/공간 제약들, 비용 제약들, 등의 목표된 레벨에 따라 보다 많거나 보다 적은 프로세싱 스테이션들을 가질 수도 있다. 또한 효율적인 기판 증착 동작들, 예컨대, 예를 들어, ALD 동작들을 수행할 목적을 또한 보조하는, 이하에 보다 상세히 기술될, 제어기 (250) 가 도 2에 도시된다.
장비 비용 및 동작 비용들 모두에 대해 도 2에 도시된 멀티-스테이션 프로세싱 장치의 사용을 통해 다양한 효율들이 달성될 수도 있다는 것을 주의한다. 예를 들어, 단일 진공 펌프 (도 2에는 미도시이지만, 예를 들어 도 1의 118) 가 모든 4 개의 프로세스 스테이션들에 대해 단일 고진공 분위기를 생성하도록 사용될 수도 있고, 모든 4 개의 프로세스 스테이션들에 대해 소비된 프로세스 가스들, 등을 배기하도록 또한 사용될 수도 있다. 실시예에 따라, 프로세스 스테이션 각각은 통상적으로 가스 전달을 위한 전용 샤워헤드 (예를 들어, 도 1의 106 참조) 를 갖지만, 동일한 가스 전달 시스템 (예를 들어, 도 1의 (101)) 을 공유한다. 유사하게, 플라즈마 생성기 장비의 특정한 엘리먼트들 (예를 들어, 전력 공급부들) 이 프로세스 스테이션들 사이에서 공유될 수도 있지만, 실시예에 따라, 특정한 양태들은 프로세스 스테이션 특정일 수도 있다 (예를 들어, 샤워헤드들이 플라즈마 생성 전기 전위들을 인가하도록 사용된다면―이하 도 1의 논의 참조―). 그러나, 다시 한번, 이러한 효율들은 또한 프로세싱 챔버 당 보다 많거나 보다 적은 수의 프로세스 스테이션들, 예컨대 반응 챔버 당 2, 3, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 또는 16 개 이상의 프로세스 스테이션들을 사용함으로써 보다 큰 정도 또는 보다 적은 정도로 달성될 수도 있다.
막 증착의 개요
상기 주지된 바와 같이, 이러한 막 증착 프로세스들의 예들은 다른 것들 중에서 CVD, PECVD, 및 ALD를 포함한다. 일부 CVD 프로세스들은 하나 이상의 가스 반응물질들을 반응기 내로 흘림으로써 기판 표면 상에 막을 증착할 수도 있고, 이는 막 전구체들 및 부산물들을 형성한다. 전구체들은 기판에 의해 흡착되는 기판 표면으로 이동되고, 기판 내로 확산되고, 그리고 화학 반응들에 의해 기판 상에 증착되고, 화학 반응들은 표면으로부터 그리고 반응기로부터 제거되는 부산물들을 또한 생성한다.
반도체 산업계에서 디바이스 및 피처들 사이즈가 계속해서 축소되고, 또한 3D 디바이스들 구조체들이 집적 회로 (IC) 설계에서 보다 일반적이 되기 때문에, 얇은 컨포멀 막들 (conformal films) (비평면 (non-planar) 이더라도, 아래에 놓인 구조체의 형상에 비해 균일한 두께를 갖는 재료의 막들) 을 증착하는 능력이 계속해서 중요해진다. ALD (Atomic layer deposition) 는, ALD의 단일의 사이클은 단지 재료의 단일 박층을 증착하고, 막-형성 화학 반응 자체에 앞서 기판 표면 상에 흡착할 수도 있는 (즉, 흡착 제한된 층을 형성하는) 하나 이상의 막 전구체 반응물질들의 양으로 두께가 제한된다는 사실로 인해, 컨포멀한 막들의 증착에 잘 맞는 막 형성 기법이다. 나중에 복수의 "ALD 사이클들"은 목표된 두께의 막을 구축하도록 사용될 수도 있고, 층 각각은 얇고 컨포멀하기 때문에, 발생되는 막은 실질적으로 아래에 놓인 디바이스 구조체의 형상을 따른다. 특정한 실시예들에서, ALD 사이클 각각은 다음의 단계들을 포함한다:
1. 제 1 전구체로 기판 표면 노출.
2. 기판이 위치되는 반응 챔버의 퍼지.
3. 통상적으로 플라즈마 및/또는 제 2 전구체를 사용하여, 기판 표면 반응의 활성화.
4. 기판이 위치되는 반응 챔버의 퍼지.
ALD 사이클 각각의 지속기간은 통상적으로 25 초 미만, 또는 10 초 미만 또는 5 초 미만일 수도 있다. ALD 사이클의 활성화 단계 (또는 단계들) 는 짧은 지속기간, 예컨대 1 초 이하의 지속기간일 수도 있다. 일부 저온, 상대적으로 비반응성 전구체들에 대해, 도즈 및 변환 시간들은 약 1 분 이상일 수 있다. 상기 기술된 PEALD (plasma-enhanced ALD) 시퀀스는 또한 기판이 매우 센서티브해서 플라즈마에서 생성된 에너제틱 종의 충돌을 견딜 수 없을 때 열적 활성화 또는 변환 단계를 사용하여 실행될 수 있다. PEALD 시스템의 일 장점은, 특히 고-AR 피처들의 측면들 상의 막 표면들을 개질하도록 가변하는 전력, 주파수, 및 이온 에너지의 플라즈마들을 사용하는 것을 인에이블하는 것이다. 일부 경우들에서, 1 분 이상일 수 있는 한 부가적인 표면 개질 단계가 상기 기술된 ALD 시퀀스에 추가될 수도 있다.
막 특성들
일부 증착 프로세스들에서, 프로세스 조건들은 증착된 막의 다양한 특성들, 예컨대 물리적 특성들 및/또는 화학적 특성들에 영향을 줄 수도 있다. 예를 들어, 프로세스 조건들, 특히 온도는 조성 (예를 들어, 화학적 화학량론 또는 다른 성분들, 예컨대 수소의 첨가), 광학적 특성들 (예를 들어, RI 및/또는 흡착 계수), 및/또는 증착된 막의 밀도, 뿐만 아니라 막의 파괴 전압 및 전기적 특성들 (예를 들어, 저항률) 에 영향을 줄 수 있다. 많은 통상적인 프로세스들에서, 프로세스 조건들은, 예를 들어, 막 균일도, 막의 깊이에 걸쳐 균질 막 특성들 (예컨대 습식 에칭 레이트 또는 건식 에칭 레이트), 반복성, 및/또는 고 쓰루풋을 제공하기 위해 전체 막 증착 프로세스 내내 실질적으로 일정하게 유지된다. 그러나, 본 개시의 주제인, 일부 증착 프로세스들에서, 프로세스 조건들은 가변하는 특성을 갖는 막, 즉, 막은 멀티-층 막, 예컨대 2층 (bilayer), 3층, 4층, 계속해서 가변하는 층, 등이 생성되도록 증착 동안 수정될 수도 있다.
예를 들어, 도 3은 막 각각 내내 가변하는 값들의 막 특성을 갖는 4 개의 막들의 표현을 도시한다. 도 3의 막 특성은 특정한 막 특성이 아니라, 예시적인 목적으로 대표적인 특성이고 값들은 또한 단지 예시적이라는 것이 이해되어야 한다; 이러한 막 특성은 전술한 특성들 중 어느 하나, 예컨대 조성 또는 밀도를 나타낼 수도 있다. 도 3의 x-축은 0이 막과 기판의 하단 표면 (예를 들어, 막의 하단부와 기판 상에 증착되는 막의 제 1 부분) 간의 계면이고 800은 막의 상단부 (예를 들어, 증착되는 막의 마지막 부분) 이도록 막의 총 두께를 나타낸다. 도 3에서, 막 1은 막 특성이 막 두께에서 2 개의 상이한 값들을 갖도록 2층 막이고; 증착된 막의 제 1 부분 (즉, 약 절반, 0으로부터 약 400 Å) 은, 보다 작은 값 (예를 들어, 2) 을 갖는 증착된 막의 제 2 부분 (즉, 다른 절반, 약 400 Å 내지 800 Å) 보다 큰 값 (예를 들어, 16) 의 막 특성을 갖는다. 막 1의 막 특성 값의 변화는 하나 이상의 프로세스 조건들, 예컨대 프로세스 조건들, 예컨대 플라즈마 전력 또는 온도의 조정에 의해 일어날 수도 있다. 이하에 논의된 바와 같이, 프로세스 조건들은 증착 프로세스 동안 다양한 방식들로 변화될 수도 있다. 도 3의 막 2는 막 특성이 막 내내 3 개의 상이한 값들을 갖도록 3층으로 간주될 수도 있다; 제 1 부분 (즉, 0 내지 약 300 Å) 은 가장 높은 막 특성 값, 마지막 부분 (즉, 약 550 Å 내지 800 Å) 은 가장 낮은 막 특성 값을 갖고, 중간 부분 (즉, 약 300 Å 내지 약 550 Å) 은 제 1 부분과 마지막 부분 이내의 막 특성 값을 갖는다.
막은 가변하는 값들의 광범위한 수의 층들을 가질 수도 있고, 미리 결정된 막 특성 값을 갖는 막 각각의 부분들은 또한 막 두께에서 고르지 않게 분포될 수도 있다 (예를 들어, 일 막 특성 값의 막의 1/3 그리고 상이한 막 특성 값의 막의 2/3) 는 것을 주의해야 한다. 예를 들어, 도 3의 막 3은 막 두께의 처음 1/8이 특정한 막 특성 값 (예를 들어, 8) 을 갖고, 막 두께의 다음 5/8은 보다 낮은 막 특성 값 (예를 들어, 6) 을 갖고, 막 두께의 마지막 1/4은 다른 두 부분들보다 높은 막 특성 값 (예를 들어, 16) 을 갖는다는 것을 도시한다. 막 3은 또한 3층 막으로 고려될 수도 있지만, 상이한 막 특성 값들을 갖는 3 개의 고르지 않은 막 두께의 층들을 갖는다.
막 전체의 막 특성의 값은 또한 도 3의 막 4로 예시된 바와 같이, 계속하여 가변할 수도 있다. 막 4의 막 특성은 가장 높은 값에서 시작되고 증착된 막의 상단 또는 끝에서 약 3 Å의 가장 낮은 값으로 계속해서 (예를 들어, 선형 방식으로) 가변한다. 이러한 연속적인 가변은 선형 가변으로 제한되지 않고, 비선형 (예를 들어, 지수적) 일 수도 있고, 뿐만 아니라 선형 가변 및 비선형 가변 모두를 가질 수도 있다.
도 3의 예들은 막 두께가 상이한 값의 특정한 막 특성을 각각 갖는 복수의 층들 또는 부분들을 갖도록 구성될 수도 있다는 것을 예시한다. 층의 부분들의 수, 부분 각각의 값들, 및 부분들 간의 변동은 특정한 프로세스에 바람직할 수도 있는 임의의 수의 방식들로 구성될 수도 있다.
온도-민감성 기판들 상에 막을 증착하는 것을 포함하는 일부 반도체 프로세스들은 막의 두께 내내 가변하는 막 특성들 (예컨대 상이한 밀도들 또는 습식 에칭 레이트 ("WER")) 을 갖는 막이 유리할 수도 있다. 예를 들어, DRAM (dynamic random-access memory) 생산 애플리케이션들에서 (DRAM은 집적 회로 내 분리된 커패시터에 데이터의 비트를 저장하는 일부 랜덤 액세스 메모리의 유형임), 증착 프로세스는 다른 것들 중에서, 텅스텐의 상단 상에 실리콘 나이트라이드 막의 증착을 수반하는, 텅스텐 비트라인 스페이서를 생산하도록 사용된다. 이러한 증착 프로세스는 보다 높은 온도들, 예컨대 650 ℃에서, 실리콘 나이트라이드 막이 텅스텐 나이트라이드를 생성하기 위해 텅스텐과 반응할 수 있는 화학물질을 사용하여 증착되기 때문에 온도 민감성이고; 발생되는 텅스텐 나이트라이드는 텅스텐보다 높은 저항률을 갖고 따라서 많은 DRAM 애플리케이션들에서 바람직하지 않다. 따라서, 이 기판-막, 예를 들어, 실리콘 나이트라이드-텅스텐 계면에서의 증착은 이 계면에서 두 재료들 간의 반응을 거의 생성하지 않거나 전혀 생성하지 않는 것이 바람직하고, 이는 상부에 막이 증착되는 기판의 표면과 반응하지 않거나, 저 반응 레이트를 갖는 특정한 화학 조성을 갖는 막을 생산하기 위해 프로세스 조건을 변화시킴으로써 달성될 수도 있다. 이는 또한 두 재료들 간의 반응 레이트가 낮게 또는 공칭 레이트로 유지되도록 프로세스 조건을 변화시킴으로써 달성될 수도 있다. 이 예에서, 실리콘 나이트라이드와 텅스텐 간 저 반응 레이트는 약 250 ℃를 포함하여, 약 100 ℃ 내지 300 ℃일 수도 있는 저온에서 막을 증착함으로써 달성될 수도 있다.
DRAM 프로세싱에서 증착된 실리콘 나이트라이드 막에 대해 후속하는 프로세싱 단계들, 예컨대 에칭 또는 산성 세정에 노출될 때, 막이 안정되게 할 수 있는 막 특성들을 갖는 것이 또한 바람직할 수도 있다. 예를 들어, 보다 낮은 WER을 갖는 실리콘 나이트라이드 막은 이러한 후속 프로세싱 단계들로부터 막을 보다 우수하게 보호할 수도 있다. 이하에 논의된 바와 같이, 보다 낮은 WER을 갖는 막은 보다 높은 증착 온도들에 의해 생산될 수도 있다. 이에 따라, 실리콘 나이트라이드와 텅스텐의 계면에서 실리콘 나이트라이드와 텅스텐 간의 화학 반응을 억제하기 위해 보다 저온에서 텅스텐 상으로 실리콘 나이트라이드 막을 증착하는 것이 바람직할 수도 있고 또한 보다 낮은 WER을 생성하도록 보다 고온에서 실리콘 나이트라이드를 증착하는 것이 바람직할 수도 있다. 따라서, 이 실리콘 나이트라이드 증착은 증착 프로세스 동안 상이한 증착 온도들, 예컨대 프로세스 시작시 보다 저온이고 프로세스 종료시 보다 고온이 유리할 수도 있다.
또 다른 예에서, PCRAM (phase change random-access memory) 에서, PCRAM에서 사용된 칼코게나이드 (chalcogenide) 메모리 엘리먼트들은 약 250 ℃ 이상에서 반응물질들에 노출될 때 (예를 들어, 화학적 및/또는 형태적) 열화를 겪을 수도 있다. 일부 이러한 PCRAM 프로세싱에서, 칼코게나이드-캡슐화 층을 증착하는 것이 바람직하지만, 증착이 일어나는 온도는 칼코게나이드에 부정적 영향을 줄 수도 있다. 따라서, 250 ℃ 이하의 온도들에서 캡슐화 층의 처음 부분들을 증착하는 것이 바람직하고, 층의 나머지 부분들 또는 다른 층들은 보다 높은 온도들에서 증착될 수도 있다.
그러나, 많은 현재 증착 프로세스들 및 장치들, 예컨대 단일 스테이션 증착 장치는 증착 프로세스 내내 효과적인 그리고/또는 생산적인 방식으로 온도를 조정할 능력을 갖지 않는다. 예를 들어, 일부 증착 장치들은 증착 프로세스 동안 미리 결정된 스테이션에서 온도를 상승시키거나 하강시킴으로써 온도를 가변시킬 수도 있고, 이는 시간 소모적인 프로세스 (예를 들어, 기판을 가열하고 그리고/또는 냉각하기 위해 몇 분 또는 시간들을 대기) 일 수도 있고, 쓰루풋의 용인할 수 없는 감소를 유발하고 그리고/또는 기판을 플레이크 오프하고 (flake off) 기판을 오염시키는 재료들을 유발할 수도 있다 (예를 들어, 벽들 상의 막 성장 및/또는 냉각 동안 기판이 플레이크 오프할 수도 있다). 따라서, 상이한 요건들에 대해 타협된, 최적미만의 막 특성들을 갖지만, 이러한 요건들을 부분적으로 충족시키거나 상이한 요건들 중 하나만을 만족시키는 막을 생산하도록 단일 온도가 통상적으로 선택된다. 상기 DRAM 실리콘 나이트라이드 막 증착 예에서, 온도는 기판-계면 요건들 또는 후속하는 프로세싱 요건들에 최적으로 적합하지 않은 막을 증착하도록 선택될 수도 있지만, 요건들 모두를 부분적으로 만족시키도록 선택된다.
본 개시는 증착된 막의 하나 이상의 특성들을 변화시키도로 증착 프로세스 동안 증착 온도를 조정하기 위한 진보적인 방법들, 장치들, 및 시스템들을 제공한다.
가변하는 온도들을 사용하는 증착 기법들
도 4는 멀티-스테이션 증착 장치에서 다양한 온도들에서 기판 상에 재료를 증착하기 위한 예시적인 기법의 플로우차트를 도시한다. 블록 430에서 알 수 있는 바와 같이, 기판이 멀티-스테이션 증착 장치의 제 1 프로세싱 스테이션 (즉 "스테이션") 에 제공될 수도 있다. 멀티-스테이션 반응기의 스테이션 각각은 페데스탈을 가질 수도 있고 페데스탈 각각은 기판을 지지하도록 구성되는 기판 지지 표면을 가질 수도 있다. 일부 실시예들에서, 기판은 기판 지지 표면과 콘택트하도록 제 1 스테이션으로 그리고 기판 지지 표면 상으로 제공될 수도 있지만, 일부 다른 실시예들에서, 기판이 0이 아닌 거리만큼 기판 지지 표면으로부터, 예를 들어, 위로 이격되도록, 기판이 리프팅 메커니즘들, 예를 들어, 페데스탈의 리프트 핀들 상에 제공될 수도 있다.
블록 432에서, 기판의 온도가 제 1 온도로 조정된다. 이 조정은 기판의 가열 또는 냉각일 수도 있고, 기판과 페데스탈 사이의 열 전달에 의해 수행될 수도 있다. 페데스탈은 기판을 가열하고 그리고/또는 냉각하기 위해 페데스탈을 통해 기판으로 전달될 수도 있는 열을 생성할 수도 있는 가열 엘리먼트를 포함할 수도 있다. 이하에 논의된 바와 같이, 가열 엘리먼트는 기술분야의 임의의 공지된 가열 엘리먼트, 예컨대 코일을 통해 흐른 전류에 기초하여 단일 온도 또는 가변하는 온도의 열을 생성하는 내열 코일일 수도 있다. 기판의 온도는 다양한 방식들, 예컨대 기판과 페데스탈의 기판 지지 표면 사이의 분리 거리를 증가시킴으로써, 페데스탈을 통해 흐르는 냉각 유체, 및/또는 기판 상으로 흐르는 가스에 의해 냉각될 수도 있다.
블록 434에서, 일단 기판이 제 1 온도로 가열되면, 기판이 제 1 온도에 있는 동안 재료의 일부가 기판 상에 증착된다. 이 제 1 온도에서의 증착 프로세스는 증착된 재료의 부분 (즉, 증착된 막의 부분) 의 적어도 일부로 하여금 제 1 값을 갖는 적어도 하나의 특성, 그리고 일부 실시예들에서 각각 제 1 값들을 갖는 복수의 특성들을 갖게 할 수도 있다. 특성은 특징들 및/또는 값들이 적어도 부분적으로 제 1 온도에 의해 구동되는 조성, 밀도, 및/또는 WER과 같은 상기 논의된 것들 중 하나일 수도 있다. 예를 들어, 약 250 ℃의 제 1 온도는 제 1 값, 예컨대 약 900 Å/min을 갖는 WER과 같은 특성을 갖는 재료의 층의 일부를 생성할 수도 있지만, 약 400 ℃의 제 1 온도는 약 100 Å/min의 값을 갖는 WER인 제 1 특성을 갖는 재료의 부분을 생성할 수도 있다.
상기 논의된 바와 같이, 일부 프로세싱 애플리케이션들에서, 증착된 재료의 제 1 부분 (예를 들어, 재료 층의 제 1 부분) 과 상부에 재료의 제 1 부분이 증착되는 표면 (예를 들어, 기판 또는 기판 상의 다른 프로세싱된 재료) 사이의 반응을 감소시키는 것이 바람직하다. 따라서, 제 1 온도 동안 재료의 제 1 부분을 기판 상에 증착시키는 것은 재료와 상부에 재료가 증착되는 표면 간의 반응들을 감소시킬 수도 있다. 일부 실시예들에서, 이는 상부에 재료가 증착되는 표면과의 반응을 거의 유발하지 않거나 전혀 유발하지 않는 제 1 값들에서 특성들, 예컨대 조성을 갖는 재료로 간주될 수도 있다. 상기 예들을 참조하면, 제 1 값들 (또는 제 1 값) 을 갖는 특성들 (또는 특성) 은 따라서 DRAM 프로세싱에서 실리콘 나이트라이드와 텅스텐 간의 반응을 감소시키거나 방지할 수도 있고, 뿐만 아니라 PCRAM 프로세싱에서 칼코게나이드-캡슐화 층을 증착할 때 칼코게나이드 메모리 엘리먼트들의 열화를 감소시키거나 방지할 수도 있다.
블록 436에서, 제 1 스테이션에서의 증착 후, 멀티-스테이션 증착 장치의 제 2 스테이션으로 기판이 제공된다. 기판은 기판 핸들링 로봇에 의해 제 2 스테이션으로 이동될 수도 있고, 기판은 제 2 스테이션의 페데스탈의 기판 지지 표면 상으로 또는 기판이 0이 아닌 거리만큼 기판 지지 표면으로부터, 예를 들어, 위로 이격되도록, 기판이 제 2 스테이션의 페데스탈의 리프팅 메커니즘들, 예를 들어, 리프트 핀들 상에 제공될 수도 있다. 블록 438에서 알 수 있는 바와 같이, 일단 제 2 스테이션에서, 기판의 온도가 제 2 온도로 조정된다. 432에서와 유사하게, 이 조정은, 기판과 제 2 스테이션의 페데스탈 사이의 열 전달을 통한 기판의 가열 또는 냉각일 수도 있다. 제 2 온도에서, 블록 440에서 기판이 제 2 온도에 있는 동안 재료의 제 2 부분이 기판 상으로 증착된다. 이 제 2 온도에서 제 2 부분의 증착은 제 2 부분으로 하여금 재료의 제 1 부분의 동일한 특성들 중 적어도 하나를 갖게 하지만, 제 2 부분은 제 1 부분과 상이한 값의 특성을 나타낸다. 예를 들어, 제 1 온도가 약 250 ℃이면, 그러면 이 온도에서 재료의 제 1 부분의 증착은 제 1 특성, 약 900 Å/min의 제 1 값의 WER을 갖는 제 1 부분을 생성할 수도 있고 제 2 온도가 약 400 ℃이면, 그러면 이 온도에서의 증착은 약 100 Å/min의 제 2 값의 WER을 갖는 재료의 제 2 부분을 생성할 수도 있다.
도 4의 예시적인 기법은 단지 2 개의 스테이션들에 대해 기술되었지만 이러한 기법은 임의의 수의 스테이션들에 사용될 수도 있다. 예를 들어, 4 개의 스테이션들을 갖는 멀티-스테이션 증착 장치에서, 블록 440 후에, 기판은 제 3 스테이션 내로 제공될 수도 있고, (즉, 기판을 가열하거나 냉각함으로써) 제 3 온도로 조정되고, 그리고 기판이 제 3 스테이션에서 제 3 온도로 있는 동안 기판 상에 증착된 재료의 제 3 부분을 가질 수도 있어서 제 3 부분이 제 3 값의 특성을 갖게 한다. 적어도 부분적으로 제 3 온도의 값에 따라, 제 3 값의 특성은 제 1 값의 특성 및 제 2 값의 특성과 상이할 수도 있고, 또는 제 1 값의 특성 또는 제 2 값의 특성과 동일할 수도 있다 (예를 들어, 제 3 온도가 제 1 온도와 같다면, 제 3 값의 특성은 제 1 값의 특성과 동일할 수도 있다).
이어서, 기판은 제 4 스테이션으로 제공될 수도 있고, 제 4 온도로 조정될 수도 있고, 기판이 제 4 스테이션에서 제 4 온도로 있는 동안 기판 상에 증착된 재료의 제 4 부분을 가질 수도 있어서 제 4 부분이 제 4 값의 특성을 갖게 한다. 제 3 부분과 유사하게, 제 4 값의 특성은 제 1 값의 특성, 또는 제 2 값의 특성, 또는 제 3 값의 특성과 상이할 수도 있고, 또는 적어도 부분적으로 제 4 온도의 값에 따라, 제 1 값의 특성, 또는 제 2 값의 특성, 또는 제 3 값의 특성 중 하나와 동일할 수도 있다 (예를 들어, 제 4 온도가 제 2 온도와 같다면, 그러면 제 4 값의 특성은 제 2 값의 특성과 같을 수도 있다).
이에 따라, 적어도 3 개의 스테이션들을 갖는 멀티-스테이션 증착 장치를 사용하는 일부 구현예들에서, 적어도 2 개의 스테이션들이 동일한 온도를 가질 수도 있지만 하나 이상의 다른 스테이션들은 상이한 온도를 가질 수도 있다. 예를 들어, 상기 4 스테이션 예에서, 제 1 온도, 제 2 온도, 및 제 4 온도는 모두 상이한 온도들일 수도 있지만, 제 3 온도는 제 1 온도와 실질적으로 동일할 수도 있어서 제 1 부분 및 제 3 부분으로 하여금 실질적으로 동일한 값의 특성을 갖게 한다. 이 예에서, 기법은 기판이 제 3 스테이션으로 제공되고, 제 1 온도로 조정되고, 제 3 스테이션에서 제 1 온도의 기판 상에 증착되는 재료의 제 3 부분을 가져 재료로 하여금 제 1 값의 특성을 갖게 하도록 확대될 수도 있다. 따라서 제 2 부분 및 제 4 부분이 서로 상이하고 제 1 부분 및 제 3 부분과 상이한 값들의 특성을 갖는 동안 동일한 값의 특성을 갖는 막의 제 1 부분 및 제 3 부분을 갖는 4층 막을 생성할 수도 있다. 이 예는 제 1 스테이션 및 제 3 스테이션만으로 제한되지 않고, 유사한 그리고/또는 상이한 온도들을 갖는 스테이션들의 임의의 조합이 특정한 증착 프로세스를 위해 구성될 수도 있다.
기판의 온도는 다양한 방식들로 조정될 수도 있다. 도 4의 예시적인 구현예에서, 기판의 온도는 상이한 온도들로 설정된 스테이션들 사이에서 기판을 이동시킴으로써 조정된다. 일부 실시예들에서, 기판의 온도는 기판과 페데스탈 사이의 분리 거리를 변화시킴으로써 조정될 수도 있다. 예를 들어, 설정된 온도의 페데스탈에 대해, 페데스탈의 기판 지지 표면 상에 배치된 기판은 페데스탈의 설정된 온도와 실질적으로 동일한 온도 (예를 들어, 약 5 내지 10 % 이내) 로 가열되게 될 수도 있다. 그러나, 기판의 온도는 페데스탈의 기판 지지 표면으로부터 더 이격, 예를 들어, 보다 큰 분리 거리, 기판과 페데스탈의 설정된 온도 사이의 보다 큰 온도차일 때 감소된다. 이러한 분리는 증착 프로세스 동안 그리고 증착 프로세스 내내 기판의 온도의 미세 튜닝 및 온도 조정을 인에이블할 수도 있다. 예를 들어, 페데스탈이 약 300 ℃의 온도로 설정될 수도 있고, 기판과 페데스탈의 기판 지지 표면 사이의 분리 거리는 약 200 ℃의 온도를 조정하는 능력을 갖는, 약 300 ℃ 내지 약 250 ℃ 이하로 기판의 온도 조정을 인에이블할 수도 있다.
페데스탈은 다양한 방식들로 기판 지지 표면과 기판 사이의 분리를 유발할 수도 있다. 예를 들어, 일부 페데스탈들은 기판이 기판 지지 표면 위로 수직으로 상승되도록 기판 지지 표면을 통과하여 기판 지지 표면을 넘어 연장하도록 구성되는 리프트 핀들을 포함한다. 리프트 핀들은 기판이 기판 지지 표면 직상에 그리고 기판 지지 표면 옆에 실질적으로 지지될 수도 있도록 기판 지지 표면 아래로 리세스되게 (즉, "리세스된 위치") 구성될 수도 있다. 일부 실시예들에서, 페데스탈은 리프트 핀들 및 기판이 수직으로 (기판 지지 표면에 대해 수직으로) 이동하는 동안 고정되게 유지되고, 일부 실시예들에서, 페데스탈은 리프트 핀들 및 기판이 고정되게 유지되는 동안 수직으로 이동한다.
리프트 핀들 및/또는 페데스탈이 이동하는 거리는 가변할 수도 있다. 예를 들어, 리프트 핀들 및/또는 페데스탈에 의한 이동은 예를 들어, 약 1 mil 내지 약 1 inch의 범위의 분리 거리를 유발할 수도 있다. 리프트 핀들 및 페데스탈들의 수직 이동은 상대적으로 작고 큰 증가 및 총 거리들에 대한 이동을 인에이블하는 스텝퍼 모터들에 의해 달성될 수도 있다. 예를 들어, 일부 리프트 핀들은 약 5 mil만큼 작게 증가하여 이동할 수도 있고 약 1 inch의 총 범위를 가질 수도 있고; 일부 페데스탈들은 또한 약 5 mil, 약 1 inch의 총 범위만큼 작게 증가하여 이동가능할 수도 있다. 이는 기판의 온도로 하여금 미세하게 튜닝되게 한다.
도 5는 예시적인 페데스탈의 등축도를 도시한다. 알 수 있는 바와 같이, 페데스탈 (508) 은 연한 쉐딩으로 식별된 기판 지지 표면 (542) 및 점선 타원들 내에 식별된 3 개의 리프트 핀들 (544) 을 포함한다. 리프트 핀들 (544) 이 기판 지지 표면을 넘어 연장하도록 리프트 핀들 (544) 은 연장된 위치에 있는 것으로 도시된다. 도 6은 도 5의 예시적인 페데스탈의 측면도를 도시한다. 여기서, 리프트 핀들 (544) 은 수직, 또는 z-축 방향으로 기판 지지 표면 (542) 을 지나 연장하는 것이 도시된다. 기판 (546) 이 또한 도 6에서 도시되고, 기판 (546) 이 z-축에 대해 위로 상승되어, 기판 지지 표면 (542) 으로부터, 도 6의 좌측에서 수직 화살표들 사이에 있는 분리 거리 (548) 만큼 분리되도록 3 개의 리프트 핀들 (544) 상에 위치된다. 도 6의 분리 거리 (548) 는 0이 아닌 거리로 도시되지만, 이 분리 거리 (548) 는 기판이 기판 지지 표면 (542) 상에 위치되고 기판 지지 표면 (542) 에 의해 지지될 때 0으로 간주될 수도 있고, 리프트 핀들 (544) 이 기판 지지 표면 (542) 아래에 위치될 때 (예를 들어, 리세스된 위치 내) 분리 거리가 발생할 수도 있다. 도 7은 또한 후퇴된 위치의 리프트 핀들을 갖는 도 5의 예시적인 페데스탈을 도시한다. 도 6과 달리, 페데스탈 (508) 의 리프트 핀들은 도 7에서 후퇴되었다. 따라서, 페데스탈 (508) 의 최상단 표면은 페데스탈 (508) 상에 배치된 기판이 기판 지지 표면 (542) 과 콘택트하도록 기판 지지 표면 (542) 이다. 이에 따라, 도 7의 페데스탈 (508) 의 기판 지지 표면 (542) 상에 배치된 (예를 들어, 콘택트하는) 기판에 대한 분리 거리는 0이다.
상기 주지된 바와 같이, 기판과 기판 지지 표면 사이의 분리 거리는 페데스탈이 고정되게 유지되는 동안 z-축으로 상향으로 수직으로 이동하는 리프트 핀들 및 기판에 의해, 또는 페데스탈이 z-축으로 아래로 수직으로 이동하는 동안 z-축으로 고정되게 유지되는 리프트 핀들 및 기판에 의해, 또는 이 둘의 조합에 의해 유발될 수도 있다.
이에 따라, 본 개시의 기법들은 기판 및 페데스탈의 기판 지지 표면으로 하여금 다양한 거리들로 분리되게 함으로써 기판의 온도를 조정할 수도 있다. 도 8은 다양한 온도들에서 기판 상에 재료를 증착하기 위한 예시적인 기법에 대한 플로우차트를 도시한다. 본 명세서에 기술된 바와 같이, 일부 실시예들에서, 도 8에 도시된 예시적인 기법은 기판과 기판 지지 표면 사이의 분리 거리를 변화하게 함으로써 그리고 기판이 스테이션들 사이에서 이동하지 않게 함으로써 하나 이상의 온도 조정들이 발생하는 단일 스테이션에서 수행되는 도 4의 확장된 기법일 수도 있다. 블록 850에서, 블록 430과 유사하게 증착 장치의 스테이션 내에 기판이 배치된다. 기판이 스테이션 내로 배치될 때, 기판이 기판 지지 표면과 콘택트하도록 스테이션 내 페데스탈의 기판 지지 표면 상에 기판이 배치될 수도 있고, 또는 기판과 기판 지지 표면 사이에 0이 아닌 분리 거리가 존재하도록, 페데스탈의 기판 지지 표면을 지나 연장하는 리프팅 메커니즘, 예를 들어, 리프트 핀들 상에 배치될 수도 있다.
블록 852에서, 기판의 온도는 기판 및 페데스탈의 기판 지지 표면으로 하여금 제 1 분리 거리만큼 이격되게 함으로써 제 1 온도로 조정된다. 일부 실시예들에서, 분리 거리는, 상기 논의된 바와 같이, 기판이 기판 지지 표면과 콘택트하도록 0일 수도 있다. 다른 실시예들에서, 제 1 분리 거리는 0이 아닌 값일 수도 있다.
일부 실시예들에서, 852의 조정은 기판이 스테이션 내로 위치되면 기판이 제 1 분리 거리에 있도록 블록 850에서 기판을 스테이션으로 제공하는 것의 일부로서 발생할 수도 있다. 예를 들어, 0인 제 1 분리 거리는 기판을 기판 지지 표면 직상에 배치함으로써 발생할 수도 있다. 일부 다른 실시예들에서, 기판은 스테이션으로 제공될 수도 있고 이어서 제 1 분리 거리로 조정되거나 위치될 수도 있다; 예를 들어, 기판을 제 1 분리 거리로 조정하는 단계. 예를 들어, 기판과 기판 지지 표면 간 거리가 0이 되도록 기판이 기판 지지 표면 직상에 배치될 수도 있고, 그 후 리프트 핀들은 기판 및 기판 지지 표면이 0이 아닌 제 1 분리 거리만큼 분리되도록 상향으로 수직으로 연장될 수도 있다 (또는 페데스탈은 하향으로 수직으로 이동할 수도 있다). 스테이션 내로 기판의 배치는 또한 기판이 처음으로 연장된 리프트 핀들 직상에 스테이션 내로 배치되고 이어서 스테이션 내로의 배치시 최초 거리일 수도 있고, 보다 크거나 보다 작은 0이 아닌 값일 수도 있고, 또는 0일 수도 있는 제 1 분리 거리로 상승되거나 하강될 수도 있도록 역순일 수도 있다.
블록 854에서, 일단 기판이 페데스탈의 기판 지지 표면으로부터 제 1 분리 거리에 위치되면, 기판이 제 1 온도 및/또는 제 1 분리 거리에 있는 동안, 재료의 제 1 부분의 증착이 기판 상에서 발생할 수도 있다. 상기와 유사하게, 이 제 1 온도에서의 증착은 층의 제 1 부분으로 하여금 제 1 값의 제 1 특성을 갖게 할 것이다. 상기 주지된 바와 같이, 블록 850 내지 블록 854는 도 4의 블록 430 내지 블록 434과 유사할 수도 있다.
그러나, 도 8의 기법에서, 부가적인 블록 856 및 블록 858 동안 기판은 제 1 스테이션에 남아 있고; 도 4의 블록 436은 도 8에서 생략된다. 854에서의 증착 후, 기판의 온도는 기판으로 하여금 페데스탈의 기판 지지 표면으로부터 제 2 분리 거리만큼 이격되게 함으로써 블록 856에서 조정된다. 상기 언급된 바와 같이, 이 분리는 페데스탈이 고정되게 유지되는 동안 리프트 핀들을 상승시키거나 하강시킴으로써, 리프트 핀들 및 기판이 고정되게 유지되는 동안 페데스탈을 상승시키거나 하강시킴으로써, 또는 이 둘의 조합으로 조정될 수도 있고; 제 2 분리 거리는 또한 0일 수도 있고 또는 0이 아닌 값일 수도 있다. 이는 또한 페데스탈 및/또는 리프트 핀들의 위치를 조정함으로써 기판의 위치를 제 2 분리 거리로 조정하는 것으로 기술될 수도 있고; 기판의 온도로 하여금 기판이 가열된 페데스탈에 보다 가깝거나 가열된 페데스탈로부터 보다 멀기 때문에 변화되게 한다. 856의 이 조정은 기판이 동일한 스테이션에 남아 있는 동안 856의 조정이 발생하는 것이 438과 상이하다.
854와 유사하게, 일단 기판이 제 2 온도 및 제 2 분리 거리에 있으면, 블록 858에서 재료의 제 2 부분이 기판 상에 증착될 수도 있다. 이러한 증착은 상기 기술된 도 4와 유사하게, 제 2 값의 특성을 갖는 재료의 제 2 부분을 생성할 수도 있다.
도 8에 예시된 기법은 상이한 값들의 특성을 갖는 두 부분들 보다 많은 부분들을 갖는 막을 생성하기 위해 두 분리 거리들 보다 많이 기판 지지 표면으로부터 기판을 분리함으로써 기판으로 하여금 동일한 스테이션에 남아 있는 동안 두 온도들보다 높은 온도로 가열되게 하도록 반복될 수도 있고 그리고/또는 수정될 수도 있다. 부가적으로 또는 대안적으로, 도 8의 기법은 제 1 특성들 및/또는 제 2 특성들을 각각 갖는 2 이상의 층을 생성하도록 기판으로 하여금 제 1 온도 및/또는 제 2 온도로 2 회 이상 가열되게 하기 위해 (즉, 기판으로 하여금 각각 제 1 분리 거리 및/또는 제 2 분리 거리만큼 기판 지지 표면으로부터 분리되게 함으로써) 반복될 수도 있다.
이에 따라, 예를 들어, 블록 858 후에, 기판의 온도는 기판으로 하여금 제 3 거리만큼 기판 지지 표면으로부터 이격되게 함으로써 제 3 온도로 조정될 수도 있다. 이 조정에 이어서, 제 3 부분이 제 3 값의 특성을 갖도록 기판이 제 3 온도 그리고/또는 제 3 거리에 있는 동안 재료의 제 3 부분이 증착될 수도 있다. 상기 기술된 바와 같이, 제 3 부분의 프로세스 조건들, 예컨대 증착 온도에 따라, 제 3 값은 제 1 값의 특성 또는 제 2 값의 특성과 같거나 상이할 수도 있다.
본 명세서에 기술된 기법들은 또한 서로 결합될 수도 있다. 일부 실시예들에서 멀티-스테이션 증착 장치에서 기판 상에 재료를 증착하기 위한 기법은 상이한 온도들의 2 이상의 스테이션들 및 기판과 스테이션의 페데스탈의 기판 지지 표면 사이의 2 이상의 분리 거리들을 사용함으로써 기판의 온도를 조정할 수도 있다.
도 9는 멀티-스테이션 증착 장치에서 상이한 온도들의 기판 상에 재료를 증착하기 위한 예시적인 기법을 도시한다. 블록 960 내지 블록 968은 기판이 제 1 스테이션의 페데스탈 상의 두 상이한 온도들에 있는 동안 기판 상에 재료의 두 부분들이 증착되고; 기판이 기판과 제 1 스테이션의 페데스탈의 기판 지지 표면 사이의 분리 거리를 조정함으로써 상이한 온도들로 가열되도록 블록 850 내지 블록 858과 각각 동일할 수도 있다. 블록 962 및 블록 964는 블록 966 및 블록 968에서 증착되는 제 2 값의 특성을 갖는 제 2 부분과 상이한 제 1 값의 특성을 갖는 재료의 제 1 부분을 증착한다.
블록 968 후에, 블록 436에서와 같이 블록 970에서 기판이 멀티-스테이션 증착 장치의 제 2 스테이션으로 제공된다. 블록 970 내지 블록 974는 블록 436 내지 블록 440과 유사할 수도 있지만, 도 9에서 기판의 온도는 제 2 스테이션에서 제 3 온도로 조정된다. 일부 실시예들에서, 제 2 스테이션의 페데스탈의 온도는 제 1 스테이션의 페데스탈의 온도와 상이하다. 이에 따라, 기판이 제 2 스테이션 내로 배치될 때, 기판은 제 1 온도 및 제 2 온도와 상이한 제 3 온도로 가열되거나 냉각된다. 이어서 재료의 제 3 부분은 제 2 스테이션에서 제 3 온도인 동안 기판 상에 증착되고, 이는 상기 기술된 바와 유사하게 제 3 값의 특성을 갖는 재료의 제 3 부분을 생성한다.
도 9에 도시되지 않지만, 블록 974의 증착에 이어서, 기판의 온도는 제 2 스테이션에 있는 동안 기판과 제 2 스테이션의 페데스탈의 기판 지지 표면 사이의 분리 거리의 조정에 의해 제 4 온도로 조정될 수도 있다. 이는 블록 960 내지 블록 968과 유사하지만, 제 2 스테이션에서 수행될 수도 있다. 예를 들어, 기판이 제 2 스테이션 내로 배치된 후 그리고/또는 기판이 제 2 스테이션 내로 배치될 때, 기판의 온도는 기판과 제 2 스테이션의 페데스탈의 기판 지지 표면 사이의 제 3 분리 거리에서 제 3 온도로 조정될 수도 있다 (이는 블록 960과 유사할 수도 있다). 상기 주지된 바와 같이, 제 3 분리 거리는 0일 수도 있고 또는 0이 아닌 값일 수도 있다. 이어서 제 3 값의 특성을 갖는 재료의 제 3 부분을 생성하도록 기판이 제 3 분리 거리에 있는 동안 증착이 수행된다. 이 증착에 이어서, 기판의 온도는 기판으로 하여금 제 2 스테이션의 페데스탈의 기판 지지 표면으로부터 제 4 분리 거리만큼 분리되게 함으로써 제 4 온도로 조정될 수도 있다. 이어서 상기 기술된 바와 유사하게 제 4 값의 특성을 갖는 재료의 제 4 부분을 생성하도록 기판이 이 제 4 온도 및 제 4 분리 거리에 있는 동안 증착이 수행된다.
일부 실시예들에서, 도 9에 기술된 기법은 동일한 순서 또는 동일한 단계들로 발생하지 않을 수도 있다. 예를 들어, 블록 960 내지 블록 964가 처음으로 발생할 수도 있고, 그 후 기판이 제 2 스테이션으로 제공될 수도 있고 (예를 들어, 블록 970이 발생) 블록들 966, 968, 972, 및 974가 제 2 스테이션에서 발생한다.
부가적으로, 일부 실시예들에서 그리고 본 명세서에 기술된 바와 같이, 재료의 제 1 부분을 증착하는 동안 기판은 스테이션의 페데스탈의 기판 지지 표면을 향해 또는 스테이션의 페데스탈의 기판 지지 표면으로부터 이동될 수도 있어서 기판과 페데스탈 사이의 분리 거리를 조정하고 제 1 스테이션에서 기판의 온도를 더 조정할 수도 있다.
본 개시의 기법들 및 구현예들에 활용된 증착 프로세스들은 CVD 및 ALD (플라즈마 및 열적으로 구동된 프로세스들을 포함하여) 와 같은 임의의 공지의 프로세스일 수도 있다. 예를 들어, 일부 CVD 증착 장치들에서, 본 명세서에 기술된 기법들의 증착들 각각은 재료의 막을 생성하는, 미리 결정된 온도 (예를 들어, 제 2 온도) 에서 CVD 증착일 수도 있다.
일부 ALD 프로세스들에서, 본 명세서에 기술된 기법들의 증착들은 전체 ALD 증착 프로세스일 수도 있고 그리고/또는 단일 증착 프로세스의 특정한 사이클들일 수도 있다. 온도들 및 증착 사이클들의 많은 수의 조합들이 수행될 수 있다. 예를 들어, 단 하나의 사이클을 포함하여, 특정한 수의 증착 사이클들이 멀티-스테이션 증착 장치 내에서 특정한 온도에서 발생할 수도 있다. 4 개의 스테이션들을 갖는 멀티-스테이션 ALD 장치에서, 예를 들어, 장치는 2, 3, 또는 4 개의 상이한 온도들에서 증착을 인에이블하도록 구성될 수도 있고, 기판은 ALD 프로세스에 걸쳐 임의의 수의 사이클들에 대해 4 개의 스테이션들 각각에서 프로세싱될 수도 있다. 예를 들어, 상이한 온도들 각각에서 증착됨으로써 재료의 적어도 4 개의 값들의 특성을 갖는 재료의 4층이 증착되도록 총 ALD 프로세스의 사이클들의 ¼이 스테이션 각각에서 발생할 수도 있다. 또 다른 예에서, 도 4를 다시 참조하면, 블록 434에서 제 1 온도에서의 재료의 증착이 총 ALD 증착 프로세스의 임의의 수의 사이클들일 수도 있는, N1, 증착 사이클들 동안 발생할 수도 있고; 유사하게, 블록 440의 증착은 또한 임의의 사이클들일 수도 있는 N2 증착 사이클들 동안 발생할 수도 있다. 증착들은 또한 스테이션 각각에서 또는 온도 각각에서 동일한 수의 증착들로 제한되지 않지만, 증착된 재료의 목표된 특성들에 따라 가변할 수도 있다. 본 명세서에서 논의된 바와 같이, 증착 사이클은 스테이션에서 증착된 재료의 층의 총 두께의 단편을 집합적으로 증착하는 프로세스 단계들의 세트일 수도 있다.
부가적으로, 스테이션 각각에서 기판의 온도는 기판이 일 스테이션에 남아 있을 수도 있지만, 2 이상의 온도들로 가열될 수도 있고, 동일한 스테이션에서 2 이상의 온도들에서 증착들을 겪을 수도 있도록 상기 기술된 바와 같이 기판과 기판 지지 표면 사이의 분리 거리를 증가시키거나 감소시킴으로써 5 이상의 온도들로 더 조정될 수도 있다.
일부 실시예들에서, 제 1 온도에서의 증착 및 제 2 온도에서의 증착이 또한 상이한 온도들에 더하여, 예를 들어, 상이한 시간들, 플라즈마 노출 시간들, 플라즈마 전력들, 반응물질 노출 시간들, 및 플라즈마 주파수들과 같은 상이한 프로세스 조건들 하에서 발생할 수도 있다.
본 명세서에 기술된 온도들은 특정한 증착 프로세스를 위해 임의의 수의 바람직한 온도들 사이에서 가변할 수도 있다. 일부 실시예들에서, 온도들은 예를 들어, 약 50 ℃ 내지 약 635 ℃, 약 150 ℃ 내지 약 500 ℃, 그리고 약 250 ℃ 내지 약 450 ℃의 범위일 수도 있다. 증착이 발생하는 온도들 사이의 조정들은 온도의 상승일 수도 있고 그리고/또는 감소일 수도 있다. 예를 들어, 일반적으로 말하면, 온도들은 고온에서 저온으로, 저온에서 고온으로, 고온에서 저온으로 고온으로, 저온에서 고온으로 저온으로 변화할 수도 있다. 본 명세서에서 사용될 때 "고온 (Hot)" 및 "저온 (cold)"은 서로에 대해 보다 고온이고 보다 저온인 온도들의 일반적인 기술을 제공하도록 의도된 상대적인 용어들이다.
예들
상기 주지된 바와 같이, 상이한 온도들에서 기판 상으로 재료를 증착하는 것은 상이한 온도들 각각에서 생성되는 막의 상이한 부분들에서 상이한 특성들을 갖는 막을 생성한다. 도 10은 상이한 온도들에서 생성된 막의 습식 에칭 레이트의 차를 도시하는 차트를 도시한다. 도 10의 차트의 x-축은 페데스탈 온도를 나타내고 y-축은 막의 특성인 WER (wet etch rate) 을 나타낸다. 동일한 증착 사이클들이 스테이션 각각에서 수행되고 도 10에서 알 수 있는 바와 같이, 증착 온도가 상승할 때 막의 WER은 감소한다.
본 개시의 일부로서 개시된 기법들 및 장치들은 다양한 프로세싱 애플리케이션들에서 활용될 수도 있다. 예를 들어, 임의의 이들 기법들이 본 명세서에서 이하에 논의된 도 4의 기법과 같은, DRAM의 텅스텐 비트라인 상의 스페이서의 증착에 사용될 수도 있다. DRAM 제작 프로세스를 위한 스페이서 재료는 이러한 애플리케이션을 위한 임의의 적합한 재료, 예컨대 실리콘 나이트라이드, 실리콘 카보나이트라이드, 및 실리콘 옥시나이트라이드일 수도 있다. DRAM의 텅스텐 비트라인 상의 스페이서의 증착을 위해, 블록 430에서 기판은 멀티-스테이션 증착 장치의 제 1 스테이션으로 제공될 수도 있다. 블록 432에서, 기판의 온도는 제 1 온도로 조정된다. 여기서, 제 1 온도는 상기 기술된 바와 같이, 증착 동안 스페이서 재료와 텅스텐 사이의 반응을 감소시키거나 방지하는 온도일 수도 있다. 이 보다 낮은 온도는 또한 모든 원소 분리 또는 탈가스, 뿐만 아니라 접착 및/또는 저항률을 열화시킬 수 있는 형태적 변화들을 억제할 수 있다. 이러한 온도는 약 450 ℃일 수도 있고, 일부 실시예들에서, 예를 들어, 400 ℃, 350 ℃, 또는 300 ℃와 같이 보다 낮을 수도 있다.
블록 434에서, 스페이서 재료의 제 1 부분은 기판의 텅스텐 비트라인 상에 증착된다. 이 재료의 층은 제 1 온도에서 증착에 의해 유발되는 재료의 제 1 값의 특성을 가질 수도 있고; 이 특성은 WER 및/또는 조성, 예컨대 화학적 화학량론일 수도 있고, 제 1 값은 각각 텅스텐 나이트라이드의 형성을 방지하거나 감소시키기 위해 증착된 스페이서 재료와 텅스텐 사이의 반응을 감소시키거나 방지하는 고 WER 및/또는 조성일 수도 있다.
그 후, 블록 436에서, 기판이 멀티-스테이션 증착 장치의 제 2 스테이션으로 이송된다. 블록 438에서 알 수 있는 바와 같이 제 2 스테이션에 있으면, 기판의 온도는 보다 높은 온도로 조정된다. 이 제 2, 보다 높은 온도는 상기 기술된 바와 같이, 후속 프로세싱, 예를 들어, 에칭 또는 산 세정으로부터 막의 외측 부분들을 보호하기 위해 저 WER 또는 저 "DER" (dynamic etch rate) 일 수도 있는 제 2 값의 특성을 갖는 재료의 제 2 부분을 생성하도록 선택될 수도 있다. 이에 더하여, 후속하는 프로세스 화학물질들과 양립가능하도록 막의 외측 부분들의 배리어 특성들 또는 산화 내성이 조정되어야 할 수도 있다. 이러한 제 2 온도는 임의의 달성가능한 온도, 예컨대 약 450 ℃ 또는 650 ℃일 수도 있다. 블록 440에서, 이 제 2 스테이션에서 제 2, 보다 높은 온도에서의 증착은 예를 들어, 도 10에 따라 저 WER, 예컨대 약 300 Å/min 또는 약 100 Å/min을 갖는 재료의 제 2 부분을 생성한다.
발생되는 증착된 재료의 막은 상이한 값들의 특성을 갖는 적어도 2 부분들을 가질 것이다. 도 13은 예시적인 DRAM 프로세싱 동안 기판의 일부의 부분적인 측단면도를 도시한다. 제 1 재료 층 (1392), 예컨대 폴리실리콘, 텅스텐 층 (1394), 및 제 3 재료 층 (1396), 예컨대 나이트라이드 하드 마스크를 포함하는 재료의 스택 상으로 스페이서 재료 (1386), 예컨대 실리콘 나이트라이드의 증착 후 기판 (1384) 의 일부가 도시된다. 점선 타원으로 식별된 스페이서 재료 (1386) 와 텅스텐 층 (1394) 간의 계면 (1398) 은 상기 논의된 바와 같이, 스페이서 재료 (1386), 예컨대 실리콘 나이트라이드와 텅스텐 층 (1394) 사이의 반응 레이트를 감소시키거나 제거하는 것이 바람직할 수도 있는 영역이다.
도 13에서 알 수 있는 바와 같이, 증착된 재료, 즉, 스페이서 재료 (1386) 는 제 1 부분 (1388) 및 제 2 부분 (1391) 을 포함한다. 제 1 부분 (1388) 은 층들의 스택, 즉, 제 1 층 (1392), 텅스텐 층 (1394), 및 제 3 층 (1396) 상에 먼저 증착되는 재료의 일부 (예를 들어, 일 층) 를 나타내고, 제 1 온도는 제 1 부분 (1388) 으로 하여금 제 1 값의 특성, 예를 들어, 높은 값인 WER (도 10에 도시된 바와 같이, 저온은 고 WER 값에 대응), 또는 또 다른 특성, 예를 들어, 조성, 예컨대 계면 (1398) 에서 제 1 부분 (1388) 과 텅스텐 층 (1394) 사이의 반응을 방지하거나 감소시키는 제 1 값을 갖는, 화학적 화학량론을 갖게 한다. 증착된 재료 (1386) 의 제 2 부분 (1391) 이 제 2 부분 (1391) 으로 하여금 제 1 값과 상이한 제 2 값의 특성, 예컨대 저 WER을 갖게 하도록 제 2, 보다 높은 온도에서 나중에 증착된다.
본 개시의 기법들은 또한 PCRAM 프로세싱 동안 캡슐화 층의 증착에 사용될 수도 있다. 이 예에서, 도 4는 또한 유사한 방식으로 적용가능하거나 수행될 수도 있다. 그러나, PCRAM 프로세싱을 위해, 기판 상에 증착될 재료는 폴리머, 실리콘 나이트라이드, 실리콘 카보나이트라이드 또는 실리콘 옥시나이트라이드와 같이 DRAM 프로세싱에 대해 상기 기술된 재료와 상이할 수도 있고, 이러한 캡슐화 층은 칼코게나이드 메모리 엘리먼트들을 포함하는 재료의 스택 상으로 증착된다. 제 1 온도는 칼코게나이드 메모리 엘리먼트들이 반응물질들에 노출될 때 예컨대 250 ℃ 이하, 50 ℃만큼 낮을 때 열화 (예를 들어, 화학적 그리고/또는 형태적) 를 겪지 않도록 선택될 수도 있다. 제 1 온도에서 증착된 이 재료의 층의 대응하는 제 1 값의 특성은 증착된 캡슐화 재료와 메모리 엘리먼트들 간의 반응을 방지하거나 감소시키는 조성, 예컨대 화학적 화학량론을 포함할 수도 있다. 메모리 엘리먼트들 상으로 캡슐화 재료의 처음 부분의 증착 후에, 온도는 블록 438에 도시된 바와 같이, 제 2, 보다 높은 온도, 예컨대 400 ℃로 조정될 수도 있고, 제 2 값의 특성, 예컨대 저 WER 또는 고 기밀성을 갖는 캡슐화 재료의 제 2 부분의 생성을 유발한다.
도 11은 예시적인 PCRAM 프로세싱 동안 기판의 일부의 부분적인 측단면도를 도시한다. 예시적인 기판 (1173) 은, 옥사이드 층 (1175) 과 같은, 재료의 층 상에 2 개의 메모리 스택들을 포함한다. 일 스택은 텅스텐 층 (1143a), 탄소 층 (1145a), 칼코게나이드 층 (1147a), 제 2 탄소 층 (1155a), 제 2 칼코게나이드 층 (1157a), 제 3 탄소 층 (1165a), 및 나이트라이드 층 (1149a) 을 포함한다. 제 2 스택은 텅스텐 층 (1143b), 탄소 층 (1145b), 칼코게나이드 층 (1147b), 제 2 탄소 층 (1155b), 제 2 칼코게나이드 층 (1157b), 제 3 탄소 층 (1165b), 및 나이트라이드 층 (1149b) 을 포함한다. 두 스택들은 기판 상에 증착된 나이트라이드 스페이서들 (1189) 및 캡슐화 막 (1179) 을 포함한다. 캡슐화 막 (1179) 은 실리콘 함유 캡슐화 막, 예컨대 실리콘 나이트라이드 막, 또는 실리콘 카바이드 막, 또는 실리콘 탄소 함유 막 예컨대 SiCN 또는 SiOC 막일 수도 있다. 알 수 있는 바와 같이, 캡슐화 막 (1179) 은 제 1 부분 (1180) 및 제 2 부분 (1182) 을 포함한다. 제 1 부분 (1180) 은 재료의 제 1 부분 (1180) 으로 하여금 제 1 값의 특성을 갖게 하는 제 1, 저온에서 증착되는 재료의 부분 (예를 들어, 일 층) 을 나타내고, 제 2 층 (1182) (예를 들어, 제 2 부분) 은 본 명세서에 기술된 바와 같이 제 2 값의 특성을 갖는다. 예를 들어, 재료의 제 1 부분 (1180) 은 상기 논의된 바와 같이 칼코게나이드 층들 (1147a, 1157a, 1147b, 및 1157b) 의 제한된 열화를 유발하거나 열화를 유발하지 않는 제 1 값의 특성을 가질 수도 있다.
기판 프로세싱 시스템들의 상세한 기술
본 명세서에 기술된 방법들 및 기법들은, 반도체 프로세싱 툴 (예를 들어, 임의의 적합한 멀티-스테이션 증착 장치) 에서 막 증착을 수행하기 위한 임의의 적합한 시스템을 사용하여 수행될 수도 있다. 반도체 프로세싱 툴들 내에서 2 이상의 온도들로 막 증착을 수행하기 위한 시스템은 본 명세서에 개시된 프로세싱 기법들에 따라 프로세스 동작들을 달성하기 위한 하드웨어 및 프로세스 동작들을 제어하기 위한 머신-판독가능 인스트럭션들을 갖는 (또는 액세스하는) 시스템 제어기를 포함한다.
따라서, 일부 실시예들에서, 복수의 반도체 기판들 상에 재료의 막들을 증착하기에 적합한 시스템은 각각이 프로세싱 챔버 내에 포함된 기판 홀더 (예를 들어, 페데스탈) 를 갖는, 제 1 세트의 하나 이상의 프로세스 스테이션들, 각각이 프로세싱 챔버 내에 포함된 기판 홀더를 갖는, 제 2 세트의 하나 이상의 프로세스 스테이션들, 프로세스 스테이션들로의 막 전구체의 플로우를 제어하기 위한 하나 이상의 밸브들, 및 하나 이상의 프로세싱 챔버들 내에 포함된 프로세스 스테이션들을 둘러싸는 볼륨들로부터 막 전구체를 제거하기 위한 하나 이상의 밸브 동작된 진공 소스들을 포함할 수도 있다. 그리고, 이러한 시스템은 또한 기판들 상으로 재료의 막들을 증착하기 위해 기판 로딩 디바이스, 기판 이송 디바이스, 하나 이상의 밸브들, 및 진공 소스를 동작시키기 위한 머신-판독가능한 인스트럭션들을 갖는 (또는 액세스하는) 제어기를 포함할 수도 있다.
따라서, 일부 실시예들에서, 제어기에 의해 실행된 상기 인스트럭션들은 프로세싱 챔버 내에 포함된 복수의 프로세스 스테이션들에서 복수의 기판들 상에 막들을 형성하기 위한 인스트럭션들을 포함할 수도 있고, 복수의 막 층들은 CVD 증착 프로세스들 또는 ALD 사이클들의 시퀀스에 의해 기판 각각 상에 형성된다. 따라서, 특정한 이러한 실시예들에서, 제어기에 의해 실행된 상기 인스트럭션들은 상기 기술된 바와 같은 ALD 동작들 (1) 내지 (4) 를 수행하기 위한 인스트럭션들, 및 기판 프로세싱 장치의 복수의 프로세스 스테이션들에서 복수의 기판들 상에 복수의 막 층들을 형성하도록, ALD 동작들 (1) 내지 (4) 를 복수 회 반복하기 위한 인스트럭션들을 포함할 수도 있다. 일부 다른 실시예들에서, 제어기에 의해 실행된 상기 인스트럭션들은 장치의 복수의 프로세스 스테이션들에서 복수의 기판들 상에서 CVD 프로세스들을 수행하기 위한 인스트럭션들을 포함할 수도 있다.
이에 따라, 도 1은 시스템의 일부일 수도 있는, 기판 프로세싱 툴 (100) 의 실시예를 개략적으로 도시한다. 간결성을 위해, 프로세싱 툴 (100) 은 저압 분위기를 유지하기 위해 프로세스 챔버 바디 (102) 를 갖는 독립된 프로세스 스테이션으로서 도시된다. 그러나, 본 명세서에 기술된 바와 같이, 복수의 프로세스 스테이션들은 공통 프로세스 툴 분위기 내―예를 들어, 공통 반응 챔버 내―에 포함될 수도 있다는 것이 이해될 것이다. 예를 들어, 도 2는 멀티스테이션 프로세싱 툴을 포함하는 시스템의 실시예를 도시한다. 또한, 일부 실시예들에서, 상기 상세히 논의된 것들을 포함하는, 프로세싱 툴 (100) 의 하나 이상의 하드웨어 파라미터들은, 하나 이상의 시스템 제어기들에 의해 프로그램적으로 조정될 수도 있다는 것이 이해될 것이다.
프로세스 챔버 (102) 는 분배 샤워헤드 (106) 로 프로세스 가스들을 전달하기 위한 반응 물질 전달 시스템 (101) 과 유체적으로 통신한다. 반응 물질 전달 시스템 (101) 은 샤워헤드 (106) 로의 전달을 위해 프로세스 가스들을 블렌딩 (blending) 및/또는 컨디셔닝 (conditioning) 하기 위한 혼합 용기 (804) 를 포함한다. 하나 이상의 혼합 용기 유입부 밸브들 (120) 이 혼합 용기 (804) 로의 프로세스 가스들의 도입을 제어할 수도 있다.
일부 반응 물질들은 기화 및 프로세스 챔버 (102) 로의 후속하는 전달 전에 액체 형태로 저장될 수도 있다. 도 1의 실시예는 혼합 용기 (804) 로 공급될 액체 반응 물질을 기화하기 위한 기화 지점 (103) 을 포함한다. 일부 실시예들에서, 기화 지점 (103) 은 가열된 액체 주입 모듈일 수도 있다. 일부 실시예들에서, 기화 지점 (103) 은 가열된 기화기일 수도 있다. 모듈들/증발기들로부터 생산된 포화된 반응 물질 증기는 적절한 제어가 이루어지지 않을 때 (예를 들어, 액체 반응 물질을 기화/원자화 (atomizing) 하는데 헬륨이 사용되지 않을 때) 다운스트림 전달 파이프에서 응결될 수도 있다. 양립 가능하지 않은 가스들의 응결된 반응 물질로의 노출은 작은 입자들을 생성할 수도 있다. 이들 작은 입자들은 파이프를 막고, 밸브 동작을 지연시키고, 기판을 오염시키는 등을 할 수도 있다. 이러한 문제들을 해결하기 위한 일부 방법들은 잔류 반응 물질을 제거하기 위해 전달 파이프를 스윕핑 (sweeping) 및/또는 배기하는 것을 포함할 수도 있다. 그러나, 전달 파이프를 스윕핑하는 것은 프로세스 스테이션 사이클 시간을 상승시킬 수도 있고, 프로세스 스테이션 쓰루풋을 저하시킨다. 따라서, 일부 실시예들에서, 기화 지점 (103) 의 전달 파이프 다운스트림은 가열 처리될 수도 있다. 일부 예들에서, 혼합 용기 (804) 가 또한 가열 처리될 수도 있다. 일 비제한적 예에서, 기화 지점 (103) 의 파이프 다운스트림은 혼합 용기 (804) 에서 대략 100 ℃에서 대략 150 ℃로 연장하는 상승하는 온도 프로파일을 갖는다.
언급된 바와 같이, 일부 실시예들에서 기화 지점 (103) 은 가열된 액체 주입 모듈 ("액체 주입기"로 약칭) 일 수도 있다. 이러한 액체 주입기는 혼합 용기의 업스트림에서 캐리어 가스 스트림에 액체 반응 물질의 펄스들을 주입할 수도 있다. 일 시나리오에서, 액체 주입기는 고압에서 저압으로 액체를 플래싱 (flashing) 함으로써 반응 물질을 기화할 수도 있다. 또 다른 시나리오에서, 액체 주입기는 가열된 전달 파이프 내에서 나중에 증기화되는 분산된 마이크로 액적들 (microdroplets) 로 액체를 원자화할 수도 있다. 보다 작은 액적들이 보다 큰 액적들보다 빠르게 기화될 수도 있고, 액체 주입과 완전한 기화 사이의 지연을 감소시킨다는 것이 이해될 것이다. 보다 빠른 기화는 기화 지점 (103) 으로부터 다운스트림의 파이프 길이를 감소시킬 수도 있다. 일 시나리오에서, 액체 주입기는 혼합 용기 (804) 에 바로 장착될 수도 있다. 또 다른 시나리오에서, 액체 주입기는 샤워헤드 (106) 에 바로 장착될 수도 있다.
일부 실시예들에서, 기화 지점 (103) 의 업스트림에 LFC (liquid flow controlle) 가 기화 및 프로세싱 챔버 (102) 로의 전달을 위해 액체의 대량 플로우를 제어하기 위해 제공될 수도 있다. 예를 들어, LFC는 LFC의 다운스트림에 위치된 MFM (thermal mass flow meter) 를 포함할 수도 있다. 이어서 LFC의 플런저 밸브가 MFM과 전기적으로 통신하는 PID (proportional-integral-derivative) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조정될 수도 있다. 그러나, 이는 피드백 제어를 사용하여 액체 플로우를 안정화시키기 위해 1 초 이상 걸릴 수도 있다. 이는 액체 반응물질을 도징하기 위한 시간을 연장할 수도 있다. 따라서, 일부 실시예들에서, LFC는 피드백 제어 모드와 직접 제어 모드 사이에서 동적으로 스위칭될 수도 있다. 일부 실시예들에서, LFC는 LFC 및 PID 제어기의 센스 튜브를 디스에이블함으로써 피드백 제어 모드로부터 직접 제어 모드로 동적으로 스위칭될 수도 있다.
가스 전달 시스템 (101) 은 또한 프로세싱 챔버 (102) 로 커튼 가스를 흘리고 전달하도록 구성될 수도 있다. 일부 이러한 구성들은 파이프, 밸브들, 및 커튼 가스 소스를 포함할 수도 있다. 복수의 반도체 기판들 상에 재료의 막들을 증착하기 적합한 시스템은, 예컨대 본 명세서에 기술된 것들 중에서, 예컨대 샹들리에 샤워헤드들 각각의 헤드 부분들 뒤쪽으로부터, 페데스탈로부터, 샤워헤드로부터, 그리고/또는 챔버 바디 (예를 들어, 챔버의 상단 벽) 로부터 다양한 프로세스 스테이션들에 체적 격리를 제공하는 역할에 적합한 진입 지점들로부터 프로세싱 챔버 내로 커튼 가스를 흘리도록 구성될 수도 있다.
샤워헤드 (106) 는 프로세스 스테이션에서 기판 (112) 을 향하여 프로세스 가스들 및/또는 반응 물질들 (예를 들어, 막 전구체들) 을 분배하고, 이의 플로우는 샤워헤드로부터 업스트림의 하나 이상의 밸브들 (예를 들어, 밸브들 (120, 120A, 105)) 에 의해 제어된다. 도 1에 도시된 실시예에서, 기판 (112) 은 샤워헤드 (106) 밑에 위치되고, 페데스탈 (108) 상에 얹힌 것으로 도시된다. 샤워헤드 (106) 는 임의의 적합한 형상을 가질 수도 있고, 기판 (112) 으로 프로세스 가스들을 분배하기 위해 임의의 적합한 수 및 배열의 포트들을 가질 수도 있다는 것이 이해될 것이다.
일부 실시예들에서, 마이크로볼륨 (107) 은 샤워헤드 (106) 밑에 위치된다. 프로세싱 챔버의 전체 볼륨에서보다 기판 가까이의 프로세스 스테이션의 마이크로볼륨 내에서 ALD 프로세스를 수행하는 것이 반응물질 노출 및 스윕핑 시간을 감소시킬 수도 있고, 프로세스 조건들 (예를 들어, 압력, 온도, 등) 을 변경하기 위한 시간들을 감소시킬 수도 있고, 프로세스 가스들에 대한 프로세스 스테이션 로보틱스의 노출을 제한하는 등을 할 수도 있다. 예시적인 마이크로볼륨 사이즈들은 이로 제한되는 것은 아니지만, 0.1 리터 내지 2 리터의 볼륨을 포함한다.
일부 실시예들에서, 상기 논의된 바와 같이, 페데스탈 (108) 은 상기 논의되고 도 5 내지 도 7에 도시된 바와 같이―이러한 논의는 본 명세서에 통합됨―, 기판 (112) 을 마이크로볼륨 (107) 에 노출하기 위해 그리고/또는 마이크로볼륨 (107) 의 볼륨을 가변시키기 위해 페데스탈 (108) 내 리프팅 메커니즘들, 예컨대 리프트 핀들의 이동 및/또는 페데스탈 (108) 의 이동에 의해 기판 (112) 을 상승시키거나 하강시키도록 구성될 수도 있다. 예를 들어, 페데스탈은 스텝퍼 모터들 및 다른 이동 메커니즘들 및 기판으로 하여금 페데스탈의 지판 지지 표면 위로 상승되게 하는 이동 구성들을 포함할 수도 있다. 예를 들어, 이 이동은 또한 기판과 페데스탈의 기판 지지부 사이의 분리 거리를 증가시키거나 감소시킬 수도 있다. 부가적으로, 예를 들어, 기판 이송 페이즈에서, 페데스탈 (108) 은 기판 (112) 으로 하여금 페데스탈 (108) 상으로 로딩되게 하도록 하강될 수도 있다. 기판 상의 증착 프로세스 페이즈 동안, 페데스탈 (108) 은 마이크로볼륨 (107) 내에 기판 (112) 을 포지셔닝하도록 상승될 수도 있다. 일부 실시예들에서, 마이크로볼륨 (107) 은 증착 프로세스 동안 고 플로우 임피던스 영역을 생성하기 위해 페데스탈 (108) 의 일부뿐만 아니라 기판 (112) 을 완전히 둘러쌀 수도 있다.
선택가능하게, 페데스탈 (108) 은 마이크로볼륨 (107) 내에서 프로세스 압력, 반응물질 농도, 등을 조절하기 위해 일부의 증착 프로세스 동안 하강되고 그리고/또는 상승될 수도 있다. 프로세스 챔버 바디 (102) 가 프로세스 동안 기준 압력으로 유지되는 일 시나리오에서, 페데스탈 (108) 을 하강시키는 것은 마이크로볼륨 (107) 으로 하여금 배기되게 할 수도 있다. 프로세스 챔버 볼륨에 대한 마이크로볼륨의 예시적인 비들은 이로 제한되는 것은 아니지만, 1:500 내지 1:10의 체적 비를 포함한다. 일부 실시예들에서, 페데스탈 높이는 적합한 시스템 제어기에 의해 프로그램적으로 조정될 수도 있다는 것이 이해될 것이다.
또 다른 시나리오에서, 페데스탈 (108) 의 높이를 조정하는 것은 플라즈마 밀도로 하여금 예를 들어, ALD 또는 CVD 프로세스에 포함된 플라즈마 활성화 및/또는 처리 사이클들 동안 가변하게 할 수도 있다. 증착 프로세스 페이즈의 종료 시, 페데스탈 (108) 은 또 다른 기판 이송 페이즈 동안 페데스탈 (108) 로부터 기판 (112) 의 제거를 허용하도록 하강될 수도 있다.
본 명세서에 기술된 예시적인 마이크로볼륨 변동들이 높이-조정가능한 페데스탈을 참조하지만, 일부 실시예들에서, 샤워헤드 (106) 의 위치는 마이크로볼륨 (107) 의 체적을 변화시키기 위해 페데스탈 (108) 에 대해 조정될 수도 있다는 것이 이해될 것이다. 또한, 페데스탈 (108) 및/또는 샤워헤드 (106) 의 수직 위치는 본 개시의 범위 내에 있는 임의의 적합한 메커니즘에 의해 가변될 수도 있다는 것이 이해될 것이다. 일부 실시예들에서, 페데스탈 (108) 은 기판 (112) 의 배향을 회전시키기 위한 회전 축을 포함할 수도 있다. 일부 실시예들에서, 하나 이상의 이들 예시적인 조정들은, 전술한 동작들의 전부 또는 서브세트를 수행하기 위한 머신-판독가능 인스트럭션들을 갖는, 하나 이상의 적합한 시스템 제어기들에 의해 프로그램적으로 수행될 수도 있다는 것이 이해될 것이다.
도 1에 도시된 실시예로 돌아가면, 샤워헤드 (106) 및 페데스탈 (108) 은 플라즈마에 전력을 공급하기 위해 RF 전력 공급부 (114) 및 매칭 네트워크 (116) 와 전기적으로 통신한다. 일부 실시예들에서, 플라즈마 에너지는 (예를 들어, 적절한 머신-판독가능 인스트럭션들을 갖는 시스템 제어기를 통해) 프로세스 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수, 및 플라즈마 전력 펄스 타이밍 중 하나 이상을 제어함으로써 제어될 수도 있다. 예를 들어, RF 전력 공급부 (114) 및 매칭 네트워크 (116) 는 목표된 조성의 라디컬 종들을 갖는 플라즈마를 형성하도록, 임의의 적합한 전력으로 동작될 수도 있다. 적합한 전력들의 예들은 상기에 포함되었다. 유사하게, RF 전력 공급부 (114) 는 임의의 적합한 주파수의 RF 전력을 제공할 수도 있다. 일부 실시예들에서, RF 전력 공급부 (114) 는 서로 독립적으로 고 주파수 및 저 주파수 RF 전력 소스들을 제어하도록 구성될 수도 있다. 예시적인 저 주파수 RF 주파수들은 이로 제한되는 것은 아니지만, 50 ㎑ 내지 500 ㎑의 주파수를 포함할 수도 있다. 예시적인 고 주파수 RF 주파수들은 이로 제한되는 것은 아니지만, 1.8 ㎒ 내지 2.45 ㎓의 주파수들을 포함할 수도 있다. 표면 반응들을 위한 플라즈마 에너지를 제공하도록 임의의 적합한 파라미터들이 이산적으로 또는 연속적으로 조절될 수도 있다는 것이 이해될 것이다. 비제한적인 일 예에서, 플라즈마 전력은 연속적으로 전력이 공급되는 플라즈마들에 대해 기판 표면과의 이온 충돌을 감소시키기 위해 간헐적으로 펄싱될 수도 있다.
일부 실시예들에서, 플라즈마는 하나 이상의 플라즈마 모니터들에 의해 인 시츄 모니터링될 수도 있다. 일 시나리오에서, 플라즈마 전력은 하나 이상의 전압, 전류 센서들 (예를 들어, VI 프로브들) 에 의해 모니터링될 수도 있다. 또 다른 시나리오에서, 플라즈마 밀도 및/또는 프로세스 가스 농도는 하나 이상의 OES (optical emission spectroscopy) 센서들에 의해 측정될 수도 있다. 일부 실시예들에서, 하나 이상의 플라즈마 파라미터들은 이러한 인 시츄 플라즈마 모니터들로부터의 측정치들에 기초하여 프로그램적으로 조정될 수도 있다. 예를 들어, OES 센서는 플라즈마 전력의 프로그램적인 제어를 제공하기 위해 피드백 루프에서 사용될 수도 있다. 일부 실시예들에서, 플라즈마 및 다른 프로세스 특성들을 모니터링하기 위해 다른 모니터들이 사용될 수도 있다는 것이 이해될 것이다. 이러한 모니터들은 이로 제한되는 것은 아니지만, 적외선 (IR) 모니터들, 음향 모니터들, 및 압력 트랜스듀서들을 포함할 수도 있다.
일부 실시예들에서, 플라즈마는 IOC (input/output control) 시퀀싱 인스트럭션들을 통해 제어될 수도 있다. 일 예에서, 플라즈마 활성화 페이즈를 위한 플라즈마 조건들을 설정하기 위한 인스트럭션들은 프로세스 레시피의 대응하는 플라즈마 활성화 레시피 페이즈에 포함될 수도 있다. 일부 경우들에서, 프로세스 레시피 페이즈들은 프로세스 페이즈를 위한 모든 인스트럭션들이 이 프로세스 페이즈와 동시에 실행되도록 순차적으로 배열될 수도 있다. 일부 실시예들에서, 하나 이상의 플라즈마 파라미터들을 설정하기 위한 인스트럭션들은 플라즈마 프로세스 페이즈에 선행하는 레시피 페이즈에 포함될 수도 있다. 예를 들어, 제 1 레시피 페이즈는 불활성 (예를 들어, 헬륨) 및/또는 반응 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 전력 설정 점으로 플라즈마 생성기를 설정하기 위한 인스트럭션들, 및 제 1 레시피 페이즈를 위한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 2, 후속하는 레시피 페이즈는 플라즈마 생성기를 인에이블하기 위한 인스트럭션들 및 제 2 레시피 페이즈를 위한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 3 레시피 페이즈는 플라즈마 생성기를 디스에이블하기 위한 인스트럭션들 및 제 3 레시피 페이즈를 위한 시간 지연 인스트럭션들을 포함할 수도 있다. 이들 레시피 페이즈들은 더 세분화될 수도 있고 그리고/또는 본 개시의 범위 내의 임의의 적합한 방식으로 반복될 수도 있다는 것이 이해될 것이다.
일부 증착 프로세스들에서, 플라즈마 스트라이킹은 지속기간 중에서 몇 초 이상 지속된다. 본 명세서에 기술된 특정한 구현예들에서, 프로세싱 사이클 동안 훨씬 짧은 플라즈마 스트라이킹이 적용될 수도 있다. 이들은 대략 50 ㎳ 내지 1 초일 수도 있고, 0.25 초가 구체적인 예이다. 이러한 짧은 RF 플라즈마 스트라이킹은 플라즈마의 고속 안정화를 필요로 한다. 이를 달성하기 위해, 플라즈마 생성기는 주파수가 플로팅하도록 허용되는 동안, 임피던스 매칭이 특정한 전압으로 프리셋되도록 구성될 수도 있다. 관습적으로, 고주파수 플라즈마들이 약 13.56 ㎒의 RF 주파수에서 생성된다. 본 명세서에 개시된 다양한 실시예들에서, 주파수는 이들 표준 값과 상이한 값으로 플로팅되게 된다. 임피던스 매칭을 미리 결정된 전압으로 고정하면서 주파수가 플로팅되게 허용함으로써, 플라즈마는 훨씬 고속으로 안정화될 수 있고, ALD 사이클들과 연관된 매우 짧은 플라즈마 스트라이킹들을 사용할 때 이 결과가 중요할 수도 있다.
일부 실시예들에서, 페데스탈 (108) 은 페데스탈 내 가열 엘리먼트 (110) 에 의해 온도 제어될 수도 있다. 가열 엘리먼트 (110) 는 알루미늄 페데스탈 (108) 내로 임베딩된 내열성 코일일 수도 있고 또는 예를 들어, 알루미늄 나이트라이드 페데스탈에 임베딩된 가열 배선일 수도 있다. 가열 엘리먼트는 약 50 ℃ 내지 약 635 ℃와 같은 프로세스들을 위해 페데스탈 및 페데스탈 (108) 의 기판 지지 표면의 적절한 가열을 제공하기 위해 페데스탈 내에 임의의 적합한 방식으로 배열될 수도 있다. 도 12는 도 5의 페데스탈의 단면도를 도시한다. 페데스탈은 도 5에 도시된 z-축에 수직인 방향으로 구획된다 (sectioned). 알 수 있는 바와 같이, 페데스탈 (508) 은 페데스탈 (508) 뿐만 아니라 페데스탈 (508) 의 기판 지지 표면을 가열하기 위해 내부에 가열 코일 또는 배선이 배치될 수도 있는 통로를 포함한다. 가열 엘리먼트는 페데스탈을 가열하고 페데스탈과 기판 사이의 열 전달을 유발하도록 구성될 수도 있다. 도시되지 않지만, 페데스탈은 또한 페데스탈 및 기판을 냉각 또는 페데스탈 및 기판의 온도를 감소시키도록 구성되는 냉각 메커니즘들을 포함할 수도 있다.
도 1을 다시 참조하면, 일부 실시예들에서, 프로세싱 장치 (100) 를 위한 압력 제어가 버터플라이 밸브 (118) 와 같은 하나 이상의 밸브로 동작된 진공 소스들에 의해 제공될 수도 있다. 도 1의 실시예에 도시된 바와 같이, 버터플라이 밸브 (118) 는 다운스트림 진공 펌프 (미도시) 에 의해 제공된 진공을 쓰로틀한다. 그러나, 일부 실시예들에서, 프로세싱 장치 (100) 의 압력 제어는 또한 프로세싱 챔버 (102) 에 도입된 하나 이상의 가스들의 플로우 레이트를 변화시킴으로써 조정될 수도 있다. 일부 실시예들에서, 하나 이상의 밸브로 동작된 진공 소스들―예컨대 버터플라이 밸브 (118)―은 적절한 ALD 작동 동작들 동안 프로세스 스테이션들을 둘러싸는 볼륨들로부터 막 전구체를 제거하기 위해 사용될 수도 있다.
상기 기술된 바와 같이, 하나 이상의 프로세스 스테이션들은 멀티스테이션 기판 프로세싱 툴에 포함될 수도 있다. 도 2는 공통 저압력 프로세싱 챔버 (214) 내에 복수의 프로세스 스테이션들 (201, 202, 203, 204) 을 포함하는 멀티스테이션 프로세싱 툴 (200) 을 포함하는 시스템의 예를 개략적으로 예시한다. 각각의 스테이션을 저압력 분위기로 유지함으로써, 막 증착 프로세스들 사이에서 진공 브레이크로 인한 디펙트들이 방지될 수도 있다.
도 2에 도시된 바와 같이, 시스템 (200) 은 기판 로딩 포트 (220), 및 대기 포트 (220) 를 통해 포드 (228) 로부터 프로세싱 챔버 (214) 내로, 그리고 마지막으로 프로세스 스테이션으로 로딩된 카세트로부터 기판들을 이동시키도록 구성된 기판 핸들러 로봇 (226) 을 갖는다. 구체적으로, 이 경우 기판 핸들러 로봇 (226) 은 프로세스 스테이션들 (201 및 202) 에서 기판들을 로딩하고, 기판 이송 디바이스, 이 경우, 기판 캐로절 (290) 은 다양한 프로세스 스테이션들 (201, 202, 203, 또는 204) 사이에서 기판들을 이송한다. 도 2에 도시된 실시예에서, 기판 로딩 디바이스는 기판 조작을 위해 2 개의 암들을 갖는 기판 핸들러 로봇 (226) 으로서 도시되고, 또한 도시된 바와 같이, 기판 로딩 디바이스는 두 스테이션들 (201 및 202) 에서 (아마도 동시에 또는 아마도 순차적으로) 기판들을 로딩할 수 있다. 이어서, 스테이션들 (201 및 202) 에서 로딩한 후, 기판 이송 디바이스, 도 2에 도시된 캐로절 (290) 은 스테이션들 (201 및 202) 로부터 스테이션들 (203 및 204) 로 2 개의 기판들을 이송시키기 위해 (기판들의 평면에 실질적으로 수직 (페이지로부터 나오는) 이고, 기판들 사이에서 실질적으로 등거리인 중심축을 중심으로) 180도 회전할 수 있다. 이 때, 기판 핸들러 로봇 (226) 은 스테이션들 (201 및 202) 에서 2 개의 새로운 기판들을 로딩할 수 있고, 로딩 프로세스를 완료한다. 언로딩하기 위해, 이들 단계들은, 4 개의 기판들의 다수의 세트들이 프로세싱된다면, 기판 핸들러 로봇 (226) 에 의한 2 개의 기판들의 각각의 언로딩이 이송 캐로절 (290) 을 180도 회전시키기 전에 2 개의 새로운 기판들을 로딩하는 것을 동반하는 것을 제외하고, 반대일 수 있다. 유사하게, 기판들을 단지 하나의 스테이션, 즉, 201에 위치시키도록 구성된 1-암 핸들러 로봇이, 모든 4 개의 스테이션들에서 기판들을 로딩하기 위해 캐로절 (290) 의 90도의 4 번의 회전에 의해 동반된 4 단계 로딩 프로세스에서 사용될 것이다.
도 2에 도시된 프로세싱 챔버 (214) 는 4 개의 프로세스 스테이션들 (201, 202, 203, 및 204) 을 제공한다. 각각의 스테이션은 가열된 페데스탈 및 가스 라인 유입부들을 갖는다. 일부 실시예들에서, 프로세스 스테이션 각각은 상이한 또는 다수의 목적들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세스 스테이션은 ALD 프로세스 모드 및 CVD 프로세스 모드 사이에서 전환가능할 수도 있다. 부가적으로 또는 대안적으로, 일부 실시예들에서, 프로세싱 챔버 (214) 는 ALD/CVD 프로세스 스테이션들의 하나 이상의 매칭된 쌍들을 포함할 수도 있다. 도시된 프로세싱 챔버 (214) 가 4 개의 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세싱 챔버는 1, 또는 2, 또는 3, 또는 4, 또는 5, 또는 6, 또는 7, 또는 8, 또는 9, 또는 10, 또는 11, 또는 12, 또는 13, 또는 14, 또는 15, 또는 16, 이상의 프로세스 스테이션들 (또는 실시예들의 세트는, 반응 챔버 당 2 내지 6 개의 프로세스 스테이션들, 또는 반응 챔버 당 4 내지 8 개의 프로세스 스테이션들, 또는 반응 챔버 당 8 내지 16 개의 프로세스 스테이션들, 등과 같이, 전술한 값들의 임의의 쌍에 의해 규정된 범위 내에서 반응 챔버 당 다수의 프로세스 스테이션들을 갖는 것으로 기술될 수도 있다) 을 가질 수도 있다.
게다가, 상기 논의된 바와 같이, 멀티-스테이션 증착 장치는 2 이상의 프로세스 스테이션들이 상이한 온도들로 가열될 수도 있도록 2 이상의 스테이션들의 온도를 독립적으로 제어하도록 구성된다. 예를 들어, 스테이션 (201) 이 제 1 온도 T1을 가질 수도 있지만, 스테이션들 (202, 203, 및 204) 은 제 2 온도 T2를 갖는다. 스테이션들 (201, 202, 203, 및 204) 은 또한 각각 상이한 온도들 T1, T2, T3, 및 T4를 각각 가질 수도 있다. 상기 논의된 바와 같이, 스테이션 각각에 대한 온도들의 조합들은 상당히 가변할 수도 있고 멀티-스테이션 증착 장치 내 스테이션들의 수에 기초하여 제한될 수도 있다. 그리고 또한 상기 논의된 바와 같이, 스테이션 각각에서 기판의 온도들은 스테이션 각각의 기판 지지 표면과 기판 사이의 분리 거리를 조정함으로써 더 조정가능할 수도 있다.
상기에 나타낸 바와 같이, 도 2는 프로세싱 챔버 (214) 내의 프로세스 스테이션들 (201, 202, 203, 및 204) 사이에서 기판들을 이송하기 위한 기판 이송 디바이스 (290) 의 실시예를 도시한다. 임의의 적합한 기판 이송 디바이스가 채용될 수도 있다는 것이 이해될 것이다. 비제한적인 예들은 기판 캐로절들 및 기판 핸들러 로봇들을 포함한다.
시스템 제어기들
도 2는 또한 프로세스 툴 (200) 및 이의 프로세스 스테이션들의 프로세스 조건들 및 하드웨어 상태들을 제어하기 위해 채용된 시스템 제어기 (250) 를 포함하는 시스템의 실시예를 도시한다. 시스템 제어기 (250) 는 하나 이상의 메모리 디바이스들 (256), 하나 이상의 대용량 저장 디바이스들 (254), 및 하나 이상의 프로세서들 (252) 을 포함할 수도 있다. 프로세서 (252) 는 하나 이상의 CPU들, ASIC들, 범용 컴퓨터(들) 및/또는 특수 목적 컴퓨터(들), 하나 이상의 아날로그 및/또는 디지털 입력/출력 접속부(들), 하나 이상의 스텝퍼 모터 제어기 보드(들), 등을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (250) 는 개별 프로세스 스테이션들을 포함하는, 프로세스 툴 (200) 의 일부 또는 모든 동작들을 제어한다. 시스템 제어기 (250) 는 프로세서 (252) 상에서 머신-판독가능한 시스템 제어 인스트럭션들 (258) 을 실행할 수도 있다―시스템 제어 인스트럭션들 (258) 은 일부 실시예들에서, 대용량 저장 디바이스 (254) 로부터 메모리 디바이스 (256) 로 로딩된다―. 시스템 제어 인스트럭션들 (258) 은 타이밍, 가스상 및 액체 반응물질들의 혼합, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 가열 엘리먼트 온도, 기판 온도, 목표 전력 레벨들, RF 전력 레벨들, RF 노출 시간, 기판 페데스탈, 척 및/또는 서셉터 위치, 리프트 핀 위치들 및 프로세스 툴 (200) 에 의해 수행된 특정한 프로세스의 다른 파라미터들을 포함할 수도 있다. 이들 프로세스들은 이들로 제한되는 것은 아니지만, 기판들 상의 막의 증착과 관련된 프로세스들을 포함하는, 다양한 타입들의 프로세스들을 포함할 수도 있다. 시스템 제어 인스트럭션들 (258) 은 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들이 다양한 프로세스 툴 프로세스들을 수행하기 위해 필요한 프로세스 툴 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 시스템 제어 인스트럭션들 (258) 은 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다. 일부 실시예들에서, 시스템 제어 인스트럭션들 (258) 은 소프트웨어로 구현되고, 다른 실시예들에서, 인스트럭션들은 하드웨어로 구현될 수도 있다―예를 들어, ASIC (application specific integrated circuit) 내의 로직으로서 하드코딩되거나, 다른 실시예들에서, 소프트웨어 및 하드웨어의 조합으로 구현된다―.
일부 실시예들에서, 시스템 제어 소프트웨어 (258) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (input/output control) 시퀀싱 (sequencing) 인스트럭션들을 포함할 수도 있다. 예를 들어, 증착 프로세스 또는 프로세스들의 각각의 단계는 시스템 제어기 (250) 에 의해 실행할 하나 이상의 인스트럭션들을 포함할 수도 있다. 막 증착 프로세스 단계에 대한 프로세스 조건들을 설정하기 위한 인스트럭션들은, 예를 들어, 대응하는 증착 레시피 단계, 및 유사하게 캡핑 막 증착 단계에 포함될 수도 있다. 일부 실시예들에서, 일 프로세스 단계를 위한 모든 인스트럭션들이 그 프로세스 단계와 동시에 실행되도록 레시피 단계들이 순차적으로 배열될 수도 있다.
시스템 제어기 (250) 와 연관된 대용량 저장 디바이스 (254) 및/또는 메모리 디바이스 (256) 에 저장된 다른 컴퓨터-판독가능 인스트럭션들 및/또는 프로그램들이 일부 실시예들에서 채용될 수도 있다. 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 (positioning) 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 (218) 상에 기판을 로딩하고 기판과 프로세스 툴 (200) 의 다른 부분들 사이의 공간을 제어하도록 사용된 프로세스 툴 컴포넌트들에 대한 인스트럭션들을 포함할 수도 있다. 기판 포지셔닝 프로그램은 기판들 상에 막을 증착하기 위해 필요에 따라 반응 챔버의 내부 및 외부로 기판들을 적절하게 이동시키기 위한 인스트럭션들을 포함할 수도 있다.
프로세스 가스 제어 프로그램은 하나 이상의 프로세스 스테이션들을 둘러싸는 볼륨들 내의 압력을 안정화시키기 위해, 증착 전에 하나 이상의 프로세스 스테이션들을 둘러싸는 볼륨들 내로의 가스 조성 및 플로우 레이트들을 제어하기 위한 인스트럭션들 및 선택가능하게 하나 이상의 프로세스 스테이션들을 둘러싸는 볼륨들 내로 가스, 예를 들어, 커튼 가스를 흘리기 위한 인스트럭션들을 포함할 수도 있다. 일부 실시예들에서, 프로세스 가스 제어 프로그램은 기판들 상에 막 증착 동안 프로세싱 챔버 내의 하나 이상의 프로세스 스테이션들을 둘러싸는 볼륨(들) 내로 특정한 가스들을 도입하기 위한 인스트럭션들을 포함할 수도 있다. 프로세스 가스 제어 프로그램은 또한 동일한 지속기간 동안, 또는 증착될 막의 조성에 따라 상이한 레이트들 및/또는 상이한 지속기간 동안, 이들 가스들을 동일한 레이트들로 전달하기 위한 인스트럭션들을 또한 포함할 수도 있다. 프로세스 가스 제어 프로그램은 가열된 주입 모듈 내에 헬륨 또는 일부 다른 캐리어 가스의 존재 시에 액체 반응물질을 원자화/기화하기 위한 인스트럭션들을 또한 포함할 수도 있다.
압력 제어 프로그램은 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브, 프로세스 스테이션으로의 가스 플로우, 등을 조정함으로써 프로세스 스테이션 내의 압력을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 압력 제어 프로그램은 기판들 상에 다양한 막 타입들의 증착 동안 동일한 압력 또는 상이한 압력들을 유지하기 위한 인스트럭션들을 포함할 수도 있다.
히터 제어 프로그램은 페데스탈들 및 기판들을 가열하기 위해 사용된 가열 유닛으로의 전류를 제어하기 위한 인스트럭션들을 포함할 수도 있다. 대안적으로 또는 부가적으로, 히터 제어 프로그램은 기판으로의 (헬륨과 같은) 열 전달 가스 (heat transfer gas) 의 전달을 제어할 수도 있다. 히터 제어 프로그램은 기판들 상에 다양한 막 타입들의 증착 동안 반응 챔버 및/또는 프로세스 스테이션들을 둘러싸는 볼륨들 내에 동일한 온도 또는 상이한 온도들을 유지하기 위한 인스트럭션들을 포함할 수도 있다. 이 히터 제어 프로그램은 또한 페데스탈 각각에서 온도를 독립적으로 제어할 뿐만 아니라 기판과 페데스탈의 기판 지지 표면 사이의 분리 거리를 조정하기 위해 페데스탈 및/또는 리프트 핀들을 상승시키거나 하강시키기 위한 (예를 들어, 페데스탈이 고정된 채로 유지되는 동안 리프트 핀들을 상승시키거나 하강시키고, 또는 기판이 고정된 채로 유지되는 동안 페데스탈을 상승시키거나 감소시키는) 인스트럭션들을 포함할 수도 있다.
플라즈마 제어 프로그램은 본 명세서의 실시예들에 따라 하나 이상의 프로세스 스테이션들 내에서 RF 전력 레벨들, 주파수들, 및 노출 시간들을 설정하기 위한 인스트럭션들을 포함할 수도 있다. 일부 실시예들에서, 플라즈마 제어 프로그램은 기판들 상에 다양한 막 타입들의 증착 동안 동일하거나 상이한 RF 전력 레벨들 및/또는 주파수들 및/또는 노출 시간들을 사용하기 위한 인스트럭션들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (250) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치의 그래픽적인 소프트웨어 디스플레이 및/또는 프로세스 조건들의 그래픽적인 소프트웨어 디스플레이, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등의 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (250) 에 의해 조정된 파라미터들은 프로세스 조건들과 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, (RF 바이어스 전력 레벨들 및 노출 시간들과 같은) 플라즈마 조건들, 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는, 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (250) 의 아날로그 입력 접속부 및/또는 디지털 입력 접속부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (200) 의 아날로그 출력 접속부 및 디지털 출력 접속부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 유량 제어기들 (MFC들), (압력계들 (manometers) 과 같은) 압력 센서들, 열전대들 (thermocouple), 등을 포함한다. 적절하게 프로그램된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터를 사용할 수도 있다. 예를 들어, 제어기는 예를 들어, 증착 프로세스 동안 기판 및/또는 페데스탈의 온도를 인시츄로 모니터링하고, 이러한 모니터링 및 측정들에 응답하여 기판 및/또는 페데스탈의 온도를 조정하기 위한 인스트럭션들을 포함할 수도 있다. 예를 들어, 제어기는 하나 이상의 프로세스 스테이션들의 온도를 모니터링하고 검출할 수도 있고 기판의 온도를 상승시키거나 하강시키기 위해 검출된 온도에 기초하여 ALD 프로세스의 증착 사이클들 사이에 기판과 페데스탈의 기판 지지 표면 사이의 분리 거리를 조정할 수도 있다.
시스템 제어기 (250) 는 본 명세서에 기술된 증착 프로세스들을 구현하기 위한 머신-판독가능한 인스트럭션들을 제공할 수도 있다. 인스트럭션들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 본 명세서에 기술된 다양한 실시예들에 따른 막 스택들의 인 시츄 증착을 동작시키기 위한 파라미터들을 제어할 수도 있다.
상기에 기술된 다양한 장치들 및 방법 실시예들은 예를 들어 반도체 디바이스들, 디스플레이, LED, 광전 패널 등의 제조 또는 제작을 위한 리소그래피 패터닝 툴 또는 프로세스와 함께 사용될 수도 있다. 통상적으로, 이러한 툴들은 반드시 그러한 것은 아니지만 공통 제조 시설 내에서 함께 및/또는 동시에 수행될 수 있다.
막의 리소그래피 패터닝은 통상적으로 각각 다수의 가능한 툴을 사용하여서 실현되는 다음의 단계들 중 몇몇 또는 모두를 포함하며, 이 단계들은 (1) 스핀 온 또는 스프레이 온 툴을 사용하여 예를 들어, 그 위에 실리콘 나이트라이드막이 형성된 기판과 같은 기판 상에 포토레지스트를 도포하는 단계, (2) 고온 플레이트 또는 퍼니스 또는 다른 적합한 경화 툴을 사용하여 포토레지스트를 경화하는 단계, (3) 기판 스텝퍼와 같은 툴을 사용하여 포토레지스트를 가시광선 또는 UV 또는 x 선 광에 노출시키는 단계, (4) 습식 벤치 (wet bench) 또는 스프레이 현상기와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 이를 패터닝하도록 포토레지스트를 현상하는 단계, (5) 건식 또는 플라즈마-보조 에칭 툴을 사용하여 아래에 놓인 막 또는 기판에 레지스트 패턴을 전사하는 단계, 및 (6) RF 또는 마이크로웨이브 플라즈마 레지스트 스트립퍼 (stripper) 와 같은 툴을 사용하여 포토레지스트를 제거하는 단계를 포함할 수 있다. 일부 실시예들에서, (비정질 탄소층과 같은) 애싱가능한 하드 마스크층 및 (반사방지층과 같은) 다른 적합한 하드 마스크가 포토레지스트를 도포하기 전에 증착될 수도 있다.
기판 프로세싱 시스템들에서 상이한 온도들로 재료의 층들을 증착
본 명세서에 논의된 시스템들 및 장치들은 상기 기술된 바와 같이 상이한 온도들로 재료의 층들을 증착하기 위한 기법들을 구현하도록 사용될 수도 있다. 일부 이러한 실시예들에서, 멀티-스테이션 반도체 프로세싱 툴에서 막 증착을 수행하기 위한 시스템은, 본 명세서에 기술된 시스템들의 하나 이상의 양태들, 예컨대 페데스탈의 가열 엘리먼트 및 상이한 온도들의 2 이상의 스테이션들을 갖도록 구성되는 멀티-스테이션 반응기를 포함할 수도 있다.
시스템은 또한 본 명세서에 상기 기술된 바와 같이, 제 1 온도에서 기판 상에 재료의 제 1 부분 및 제 2 온도에서 기판 상에 재료의 제 2 부분을 증착하도록 시스템을 제어하기 위한 본 명세서에 기술된 시스템 제어기와 같은 제어기, 예를 들어, 도 2의 시스템 제어기 (250) 를 포함할 수도 있다. 제어기는 도 4, 도 8 및 도 9에 대해 상기 기술된 모든 이러한 양태들을 포함하여, 상기 논의된 기법들의 일부 또는 모든 양태들에 대한 제어 로직을 포함할 수도 있다.
예를 들어, 일 실시예에서, 멀티-스테이션 증착 장치는 스테이션 내에 위치된 기판을 가열하도록 구성된 가열 엘리먼트를 갖는 페데스탈을 갖는 적어도 2 개의 프로세싱 스테이션들을 갖는 프로세싱 챔버를 포함할 수도 있다. 예를 들어, 도 2에 도시된 멀티-스테이션 증착 장치를 포함할 수도 있다. 장치는 또한 기술된 바와 같이, 2 이상의 상이한 온도들에서 기판 상으로 재료를 증착하도록 멀티-스테이션 증착 장치를 제어하기 위한 제어기를 포함할 수도 있다. 제어기는 (a) 멀티-스테이션 증착 장치의 제 1 스테이션으로 기판을 제공하는 동작, (b) 기판과 제 1 페데스탈 사이의 열 전달을 통해 제 1 온도로 기판의 온도를 조정하는 동작; (c) 기판이 제 1 스테이션에서 제 1 온도로 있는 동안 기판 상에 재료의 제 1 부분을 증착하는 동작; (d) 제 2 스테이션으로 기판을 이송하는 동작; (e) 기판과 페데스탈 사이의 열 전달을 통해 제 2 온도로 기판의 온도를 조정하는 동작; 및 (f) 제 1 부분 및 제 2 부분이 상이한 값들의 재료의 특성을 나타내도록, 기판이 제 2 온도로 있는 동안 기판 상에 재료의 제 2 부분을 증착하는 동작을 포함하는 도 4의 기법들을 포함하는, 논의된 기법들의 블록들을 수행하기 위한 제어 로직을 포함할 수도 있다.
멀티-스테이션 증착 장치는 또한 페데스탈 각각이 기판 지지 표면을 포함하고, 기판으로 하여금 기판 지지 표면에 콘택트되게 하도록 구성되고, 그리고 기판으로 하여금 기판 지지 표면으로부터 제 1 분리 거리만큼 그리고 제 2 분리 거리만큼 이격되게 구성되도록 구성될 수도 있다. 제어기는 또한 기판을 제 1 분리 거리에 그리고 제 2 분리 거리에 포지셔닝하고; 그리고 멀티-스테이션 증착 장치의 제 1 스테이션에서 동작 (a) 내지 동작 (c), 동작 (e), 및 동작 (f) 를 수행하기 위한 제어 로직을 포함할 수도 있다. 부가적으로, 동작 (b) 는 기판으로 하여금 제 1 스테이션의 페데스탈의 기판 지지 표면으로부터 제 1 분리 거리만큼 이격되게 함으로써 제 1 온도로 기판의 온도를 조정하는 동작을 더 포함할 수도 있고, 동작 (c) 는 기판이 기판 지지 표면으로부터 제 1 분리 거리만큼 이격되는 동안 재료의 제 1 부분을 증착하는 동작을 더 포함할 수도 있고, 동작 (e) 는 기판으로 하여금 제 1 스테이션의 페데스탈의 기판 지지 표면으로부터 제 2 분리 거리만큼 이격되게 함으로써 제 2 온도로 기판의 온도를 조정하는 동작을 더 포함할 수도 있고, 그리고 동작 (f) 는 기판이 제 1 스테이션의 페데스탈의 기판 지지 표면으로부터 제 2 분리 거리만큼 이격되는 동안 기판 상에 재료를 증착하는 동작을 더 포함할 수도 있다.
일부 다른 실시예들에서, 멀티-스테이션 증착 장치는 본 명세서에서 상기에 언급된 바와 같이 구성될 수도 있지만, 동작 (b) 는 기판이 제 1 스테이션의 페데스탈의 기판 지지 표면으로부터 제 1 분리 거리만큼 이격되는 동안 제 1 스테이션의 페데스탈과 기판 사이의 열 전달을 통해 제 1 온도로 기판의 온도를 조정하는 것을 포함할 수도 있다. 제어기는, 또한 동작 (c) 후 그리고 동작 (d) 전에, 기판으로 하여금 제 1 스테이션의 페데스탈의 기판 지지 표면으로부터 제 2 거리만큼 이격되게 함으로써 기판의 온도를 제 3 온도로 조정하고, 그리고 동작 (c) 후 그리고 동작 (d) 전에, 기판이 제 1 스테이션, 제 2 분리 거리, 그리고 제 3 온도에 있는 동안 기판 상에 재료의 제 3 부분을 증착하기 위한 제어 로직을 포함할 수도 있다. 여기서, 제 3 부분은 재료의 제 1 부분 및 제 2 부분 중 하나 이상과 상이한 값들의 재료의 특성을 나타낼 수도 있다.

Claims (1)

  1. 멀티-스테이션 증착 장치에서 기판 상에 재료를 증착하기 위한 방법에 있어서,
    a) 멀티-스테이션 증착 장치의 제 1 스테이션으로 기판을 제공하는 단계;
    b) 상기 기판과 상기 제 1 스테이션의 페데스탈 사이의 열 전달을 통해 제 1 온도로 상기 기판의 온도를 조정하는 단계;
    c) 상기 기판이 상기 제 1 스테이션에서 상기 제 1 온도로 있는 동안 상기 기판 상에 상기 재료의 제 1 부분을 증착하는 단계;
    d) 상기 멀티-스테이션 증착 장치의 제 2 스테이션으로 상기 기판을 이송하는 단계;
    e) 상기 기판과 상기 제 2 스테이션의 페데스탈 사이의 열 전달을 통해 제 2 온도로 상기 기판의 온도를 조정하는 단계; 및
    f) 상기 기판이 상기 제 2 온도로 있는 동안 상기 기판 상에 상기 재료의 제 2 부분을 증착하는 단계로서, 상기 제 1 부분 및 상기 제 2 부분이 상이한 값들의 재료의 특성을 나타내는, 상기 재료의 제 2 부분을 증착하는 단계를 포함하는, 멀티-스테이션 증착 장치에서 기판 상에 재료를 증착하기 위한 방법.
KR1020230009210A 2016-08-09 2023-01-25 증착 내내 웨이퍼 온도를 가변함으로써 계면 반응들 억제 KR102662595B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/232,708 US10347547B2 (en) 2016-08-09 2016-08-09 Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US15/232,708 2016-08-09
KR1020170094061A KR102493002B1 (ko) 2016-08-09 2017-07-25 증착 내내 웨이퍼 온도를 가변함으로써 계면 반응들 억제

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020170094061A Division KR102493002B1 (ko) 2016-08-09 2017-07-25 증착 내내 웨이퍼 온도를 가변함으로써 계면 반응들 억제

Publications (2)

Publication Number Publication Date
KR20230018507A true KR20230018507A (ko) 2023-02-07
KR102662595B1 KR102662595B1 (ko) 2024-05-02

Family

ID=

Also Published As

Publication number Publication date
CN107699869B (zh) 2020-06-16
KR102493002B1 (ko) 2023-01-27
CN107699869A (zh) 2018-02-16
KR20180018325A (ko) 2018-02-21
US11075127B2 (en) 2021-07-27
JP2018026555A (ja) 2018-02-15
CN111663120A (zh) 2020-09-15
JP7170386B2 (ja) 2022-11-14
US20180047645A1 (en) 2018-02-15
US10347547B2 (en) 2019-07-09
US20200066607A1 (en) 2020-02-27

Similar Documents

Publication Publication Date Title
KR102493002B1 (ko) 증착 내내 웨이퍼 온도를 가변함으로써 계면 반응들 억제
KR102563427B1 (ko) 2차 퍼지 가능한 ald 시스템에서 샤워헤드 후면 기생 플라즈마 억제를 위한 방법들 및 장치들
US10577691B2 (en) Single ALD cycle thickness control in multi-station substrate deposition systems
KR102396162B1 (ko) 막 프로파일 조정을 위한 샤워헤드 커튼 가스 방법 및 시스템
US11479856B2 (en) Multi-cycle ALD process for film uniformity and thickness profile modulation
KR102446502B1 (ko) 암모니아 프리 및 염소 프리 컨포멀 실리콘 나이트라이드 막을 증착하는 방법
TWI776792B (zh) 硫族材料之封裝方法以及記憶體裝置
US20200299838A1 (en) Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
KR20160028360A (ko) 샤워헤드 능동 가열 및/또는 페데스탈 냉각에 의한 저온 ald 시스템들에서의 안정된 증착 레이트 제어를 위한 방법들 및 장치들
KR20150097410A (ko) 컨포멀한 알루미늄 질화물을 위한 고 성장 레이트 프로세스
KR20150103642A (ko) 금속 산화물 막 및 금속 질화물 막의 표면 거칠기를 감소시키기 위한 rf 사이클 퍼지
US20230005740A1 (en) Modulation of oxidation profile for substrate processing
CN113811637A (zh) 利用可独立调整的基座的多站半导体处理
KR102662595B1 (ko) 증착 내내 웨이퍼 온도를 가변함으로써 계면 반응들 억제
JP2023501371A (ja) 高周波電力増加によるプラズマ強化原子層堆積
CN115244664A (zh) 用于减少euv图案化缺陷的多层硬掩模
TW201542864A (zh) 具有氣體分佈及個別泵送的批次固化腔室

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right