CN111663120A - 通过在整个沉积过程中改变晶片温度来抑制界面反应 - Google Patents

通过在整个沉积过程中改变晶片温度来抑制界面反应 Download PDF

Info

Publication number
CN111663120A
CN111663120A CN202010423093.4A CN202010423093A CN111663120A CN 111663120 A CN111663120 A CN 111663120A CN 202010423093 A CN202010423093 A CN 202010423093A CN 111663120 A CN111663120 A CN 111663120A
Authority
CN
China
Prior art keywords
substrate
temperature
station
processing station
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202010423093.4A
Other languages
English (en)
Inventor
萨沙撒耶·瓦拉达拉简
亚伦·R·费利斯
安德鲁·约翰·迈凯洛
詹姆斯·塞缪尔·西姆斯
拉梅什·钱德拉塞卡拉
乔恩·亨利
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN111663120A publication Critical patent/CN111663120A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/305Sulfides, selenides, or tellurides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明涉及通过在整个沉积过程中改变晶片温度来抑制界面反应。公开了用于在多站沉积装置中沉积膜的方法和装置以及系统。所述方法可以包括:(a)向所述装置的第一站提供衬底,(b)将所述衬底的温度调节至第一温度,(c)当所述衬底在所述第一站中处于所述第一温度下时,将材料的第一部分沉积在所述衬底上,(d)将所述衬底传送到第二站,(e)将所述衬底的温度调节至第二温度,和(f)当所述衬底处于所述第二温度下时,将所述材料的第二部分沉积在所述衬底上,使得所述第一部分和所述第二部分表现出不同的材料属性值。所述装置和系统可以包括多站沉积装置以及具有用于执行(a)‑(f)中的一个或多个的控制逻辑的控制器。

Description

通过在整个沉积过程中改变晶片温度来抑制界面反应
本申请是申请号为201710673939.8、申请日为2017年8月9日、发明名称为“通过在整个沉积过程中改变晶片温度来抑制界面反应”的发明专利申请的分案申请。
技术领域
本发明涉及用于在多站沉积装置中沉积膜的方法和装置以及系统,更具体地涉及通过在整个沉积过程中改变晶片温度来抑制界面反应。
背景技术
一些半导体制造工艺将一个或多个材料层沉积在半导体衬底或晶片上。集成电路制造商和设备设计者采用各种工艺和装置布置来生产在材料沉积期间和之后均具有期望的属性的膜。诸如化学气相沉积室之类的材料沉积系统可以在不同的工艺条件下操作以控制沉积材料的整体性能。然而,限定生产具有满足许多要求的属性的膜的工艺条件仍然是一个挑战。
发明内容
在一个实施方式中,可以提供一种在多站沉积装置中将材料沉积到衬底上的方法。所述方法可以包括:(a)向所述多站沉积装置的第一站提供衬底;(b)通过所述衬底和所述第一站中的基座之间的热传递来将所述衬底的温度调节至第一温度;(c)在所述衬底在所述第一站中处于所述第一温度下时,将所述材料的第一部分沉积在所述衬底上;(d)将所述衬底传送到所述多站沉积装置中的第二站;(e)通过所述衬底和所述第二站中的基座之间的热传递来将所述衬底的温度调节至第二温度;以及(f)在所述衬底处于所述第二温度下时,将所述材料的第二部分沉积在所述衬底上;所述第一部分和所述第二部分可以表现出所述材料的属性的不同值。
在一些实施方式中,在所述衬底处于所述第一温度下时将所述材料沉积在所述衬底上可以使所述材料的所述第一部分沉积成具有属性的第一值的第一层,并且在所述衬底处于所述第二温度下时使所述材料沉积在所述衬底上可以将所述材料的所述第二部分沉积成具有所述属性的第二值的第二层。
在一些实施方式中,所述属性可以是第一湿式蚀刻速率、第一组成和第一密度。
在一些实施方式中,(b)可以包括使用所述第一站的基座中的加热元件将所述衬底的温度调节至所述第一温度,并且(e)可以包括使用所述第二站的基座中的加热元件将所述衬底的温度调节至所述第二温度。
在一些实施方式中,所述方法还可以包括:(g)在(f)之后,将所述衬底提供给所述多站沉积装置的第三站;(h)通过所述衬底和所述第三站中的基座之间的热传递将所述衬底的温度调节至第三温度;以及(i)在所述衬底处于所述第三温度下时,将所述材料的第三部分沉积在所述衬底上。
在一些这样的实施方式中,所述第三温度可以是第一温度、第二温度、或者不是所述第一温度或所述第二温度的温度。
在一些另外的这样的实施方式中,所述方法还可以包括:(j)在(i)之后,将所述衬底提供给所述多站沉积装置的第四站;(k)通过所述衬底和所述第四站中的基座之间的热传递将所述衬底的温度调节至第四温度;以及(l)在所述衬底处于所述第四温度下时,将所述材料的第四部分沉积在所述衬底上。
在一些另外的这样的实施方式中,所述第四温度可以是所述第一温度、所述第二温度、所述第三温度、或者不是所述第一温度、所述第二温度或所述第三温度的温度。
在一些实施方式中,(c)可以包括通过N1个沉积循环将所述材料的所述第一部分沉积在所述衬底上,并且沉积循环可以是成组的处理步骤,所述成组的处理步骤共同地沉积在站处沉积的材料层的总厚度的一部分,并且(f)可以包括通过N2个沉积循环将所述材料的所述第二部分沉积在所述衬底上,并且沉积循环可以是成组的处理步骤,所述成组的处理步骤共同地沉积在站处沉积的材料层的总厚度的一部分。
在一些实施方式中,(c)可以包括在所述衬底上沉积所述材料的所述第一部分持续一段时间t1,并且(f)可以包括在所述衬底上沉积所述材料的所述第二部分持续一段时间t2。
在一些实施方式中,所述方法还可以包括:在(c)中沉积所述材料的所述第一部分的同时,将所述衬底朝向或远离所述第一站的所述基座的衬底支撑表面移动,从而调节所述衬底和所述基座之间的间隔距离,并进一步调节所述衬底在所述第一站中的温度。
在一些实施方式中,(b)将所述衬底的温度调节至所述第一温度可以包括使所述衬底与所述第一站的所述基座的衬底支撑表面分离第一间隔距离。所述方法还可以包括:在(c)之后且在(d)之前,通过使所述衬底与所述第一站的所述基座的所述衬底支撑表面分离第二间隔距离来将所述衬底的温度调节至第三温度,在(c)之后且在(d)之前,在所述衬底在所述第一站中、在所述第二间隔距离处并且在所述第三温度下时,将所述材料的第三部分沉积在所述衬底上。所述第三部分相比于所述材料的所述第一部分和所述第二部分中的一个或多个可以表现出所述材料的所述属性的不同值。
在一些这样的实施方式中,(e)可以包括通过使所述衬底与所述第二站中的所述基座的衬底支撑表面分离第三间隔距离来将所述衬底的温度调节至所述第二温度。所述方法还可以包括:在(f)之后,通过使所述衬底与所述第二站中的所述基座的所述衬底支撑表面分离第四间隔距离来将所述衬底的温度调节至第四温度,在(f)之后,在所述衬底处于所述第四温度下并且在所述第二站中时,将所述材料的第四部分沉积在所述衬底上。所述第四部分相比于所述材料的所述第一部分、所述第二部分和所述第三部分中的一个或多个可以表现出所述材料的所述属性的不同值。
在一些其它这样的实施方式中,所述第一间隔距离可以基本上为零,使得所述衬底接触所述衬底支撑表面。
在一些其它这样的实施方式中,(e)可以包括通过在所述衬底保持静止时远离所述衬底竖直地移动所述基座来使所述衬底与所述基座的所述衬底支撑表面分离所述第二间隔距离。
在一些其它这样的实施方式中,(e)可以包括通过在所述基座保持静止时使用升降机构远离所述基座竖直地移动所述衬底来使所述衬底与所述基座的所述衬底支撑表面分离所述第二间隔距离。
在一些实施方式中,可以提供一种多站沉积装置。所述装置可以包括:处理室;所述处理室中的第一处理站,其包括具有第一加热元件的第一基座,所述第一加热元件被配置为加热位于所述第一处理站中的衬底;所述处理室中的第二处理站,其包括具有第二加热元件的第二基座,所述第二加热元件被配置为加热位于所述第二处理站中的衬底;衬底传送装置,其用于在所述第一处理站和所述第二处理站之间传送一个或多个衬底;以及控制器,其用于控制所述多站沉积装置以在不同温度下将材料沉积到衬底上。控制器可以包括用于以下操作的控制逻辑:(a)向所述多站沉积装置的所述第一站提供衬底;(b)通过所述衬底和所述第一基座之间的热传递来将所述衬底的温度调节至第一温度;(c)在所述衬底在所述第一站中处于第一温度下时,将所述材料的第一部分沉积在所述衬底上;(d)将所述衬底传送到所述第二站;(e)通过所述衬底和所述第二基座之间的热传递来将所述衬底的温度调节至第二温度;以及(f)在所述衬底处于第二温度下时将所述材料的第二部分沉积在所述衬底上。所述第一部分和所述第二部分可以表现出所述材料的属性的不同值。
在一些实施方式中,每个基座可以包括衬底支撑表面,可以被配置为使所述衬底与所述衬底支撑表面接触,并且可以被配置为使得所述衬底与所述衬底支撑表面分离第一间隔距离和第二间隔距离。控制器还可以包括用于以下操作的控制逻辑:将所述衬底定位在所述第一间隔距离处和所述第二间隔距离处;以及在所述多站沉积装置的所述第一站处执行(a)至(c)、(e)和(f)。另外,(b)可以包括通过使所述衬底与所述第一站的所述基座的所述衬底支撑表面分离第一间隔距离来将所述衬底的温度调节至所述第一温度,(c)可以包括在所述衬底与所述衬底支撑表面分离所述第一间隔距离时沉积所述材料的所述第一部分,(e)可以包括通过使所述衬底与所述第一站的所述基座的所述衬底支撑表面分离第二间隔距离来将所述衬底的温度调节至所述第二温度,并且(f)可以包括在所述衬底与所述第一站的所述基座的所述衬底支撑表面分离所述第二间隔距离时将所述材料沉积在所述衬底上。
在一些实施方式中,每个基座可以包括衬底支撑表面,可以被配置为使所述衬底与所述衬底支撑表面接触,并且可以被配置为使得所述衬底与所述衬底支撑表面分离第一间隔距离和第二间隔距离。另外,(b)可以包括在所述衬底与所述第一站的所述基座的所述衬底支撑表面分离第一间隔距离时,通过所述衬底与所述第一站的所述基座之间的热传递将所述衬底的温度调节至所述第一温度。所述控制器还可以包括用于以下操作的控制逻辑:在(c)之后且在(d)之前,通过使所述衬底与所述第一站的所述基座的所述衬底支撑表面分离第二间隔距离来将所述衬底的温度调节至第三温度;以及在(c)之后且在(d)之前,在所述衬底在所述第一站中、在所述第二间隔距离处并且在所述第三温度下时,将所述材料的第三部分沉积在所述衬底上。所述第三部分相比于所述材料的所述第一部分和所述第二部分中的一个或多个可以表现出所述材料的所述属性的不同值。
在一些实施方式中,所述第一加热元件可以是嵌入铝中的电阻加热线圈或嵌入氮化铝中的加热丝。
具体而言,本发明的一些方面可以阐述如下:
1.一种在多站沉积装置中将材料沉积到衬底上的方法,所述方法包括:
a)向所述多站沉积装置的第一站提供衬底;
b)通过所述衬底和所述第一站中的基座之间的热传递来将所述衬底的温度调节至第一温度;
c)在所述衬底在所述第一站中处于所述第一温度下时,将所述材料的第一部分沉积在所述衬底上;
d)将所述衬底传送到所述多站沉积装置中的第二站;
e)通过所述衬底和所述第二站中的基座之间的热传递来将所述衬底的温度调节至第二温度;以及
f)在所述衬底处于所述第二温度下时,将所述材料的第二部分沉积在所述衬底上,其中所述第一部分和所述第二部分表现出所述材料的属性的不同值。
2.根据条款1所述的方法,其中:
在所述衬底处于所述第一温度下时将所述材料沉积在所述衬底上使所述材料的所述第一部分沉积成具有属性的第一值的第一层,并且
在所述衬底处于所述第二温度下时将所述材料沉积在所述衬底上使所述材料的所述第二部分沉积成具有所述属性的第二值的第二层。
3.根据条款1所述的方法,其中所述属性选自第一湿式蚀刻速率、第一组成和第一密度。
4.根据条款1所述的方法,其中:
(b)包括使用所述第一站的基座中的加热元件将所述衬底的温度调节至所述第一温度,以及
(e)包括使用所述第二站的基座中的加热元件将所述衬底的温度调节至所述第二温度。
5.根据条款1所述的方法,其还包括:
g)在(f)之后,将所述衬底提供给所述多站沉积装置的第三站;
h)通过所述衬底和所述第三站中的基座之间的热传递将所述衬底的温度调节至第三温度;以及
i)在所述衬底处于所述第三温度下时,将所述材料的第三部分沉积在所述衬底上。
6.根据条款5所述的方法,其中所述第三温度选自所述第一温度、所述第二温度和不是所述第一温度或所述第二温度的温度。
7.根据条款6所述的方法,其还包括:
j)在(i)之后,将所述衬底提供给所述多站沉积装置的第四站;
k)通过所述衬底和所述第四站中的基座之间的热传递将所述衬底的温度调节至第四温度;以及
l)在所述衬底处于所述第四温度下时,将所述材料的第四部分沉积在所述衬底上。
8.根据条款7所述的方法,其中所述第四温度选自所述第一温度、所述第二温度、所述第三温度和不是所述第一温度、所述第二温度或所述第三温度的温度。
9.根据条款1所述的方法,其中:
(b)将所述衬底的温度调节至所述第一温度包括使所述衬底与所述第一站的所述基座的衬底支撑表面分离第一间隔距离,
所述方法还包括:在(c)之后且在(d)之前,通过使所述衬底与所述第一站的所述基座的所述衬底支撑表面分离第二间隔距离来将所述衬底的温度调节至第三温度,
所述方法还包括:在(c)之后且在(d)之前,在所述衬底在所述第一站中、在所述第二间隔距离处并且在所述第三温度下时,将所述材料的第三部分沉积在所述衬底上,以及
所述第三部分相比于所述材料的所述第一部分和所述第二部分中的一个或多个表现出所述材料的所述属性的不同值。
10.根据条款9所述的方法,其中:
(e)包括通过使所述衬底与所述第二站中的所述基座的衬底支撑表面分离第三间隔距离来将所述衬底的温度调节至所述第二温度;
所述方法还包括:在(f)之后,通过使所述衬底与所述第二站中的所述基座的所述衬底支撑表面分离第四间隔距离来将所述衬底的温度调节至第四温度;
所述方法还包括:在(f)之后,在所述衬底处于所述第四温度下并且在所述第二站中时,将所述材料的第四部分沉积在所述衬底上;以及
所述第四部分相比于所述材料的所述第一部分、所述第二部分和所述第三部分中的一个或多个表现出所述材料的所述属性的不同值。
11.根据条款9所述的方法,其中所述第一间隔距离基本上为零,使得所述衬底接触所述衬底支撑表面。
12.根据条款9所述的方法,其中(e)包括通过在所述衬底保持静止时远离所述衬底竖直地移动所述基座而使所述衬底与所述基座的所述衬底支撑表面分离所述第二间隔距离。
13.根据条款9所述的方法,其中(e)包括通过在所述基座保持静止时使用升降机构远离所述基座竖直地移动所述衬底而使所述衬底与所述基座的所述衬底支撑表面分离所述第二间隔距离。
14.根据条款1-13中任一项所述的方法,其中:
(c)包括通过N1个沉积循环将所述材料的所述第一部分沉积在所述衬底上,其中沉积循环是成组的处理步骤,所述成组的处理步骤共同地沉积在站处沉积的材料层的总厚度的一部分,并且
(f)包括通过N2个沉积循环将所述材料的所述第二部分沉积在所述衬底上,其中沉积循环是成组的处理步骤,所述成组的处理步骤共同地沉积在站处沉积的材料层的总厚度的一部分。
15.根据条款1-13中任一项所述的方法,其中:
(c)包括在所述衬底上沉积所述材料的所述第一部分持续一段时间t1,以及
(f)包括在所述衬底上沉积所述材料的所述第二部分持续一段时间t2。
16.根据条款1-8中任一项所述的方法,其还包括:在(c)中沉积所述材料的所述第一部分的同时,将所述衬底朝向或远离所述第一站的所述基座的衬底支撑表面移动,从而调节所述衬底和所述基座之间的间隔距离,并进一步调节所述衬底在所述第一站中的温度。
17.一种多站沉积装置,所述装置包括:
处理室;
所述处理室中的第一处理站,其包括具有第一加热元件的第一基座,所述第一加热元件被配置为加热位于所述第一处理站中的衬底;
所述处理室中的第二处理站,其包括具有第二加热元件的第二基座,所述第二加热元件被配置为加热位于所述第二处理站中的衬底;
衬底传送装置,其用于在所述第一处理站和所述第二处理站之间传送一个或多个衬底;以及
控制器,其用于控制所述多站沉积装置以在不同温度下将材料沉积到衬底上,所述控制器包括用于以下操作的控制逻辑:
a)向所述多站沉积装置的所述第一站提供衬底;
b)通过所述衬底和所述第一基座之间的热传递来将所述衬底的温度调节至第一温度;
c)在所述衬底在所述第一站中处于第一温度下时,将所述材料的第一部分沉积在所述衬底上;
d)将所述衬底传送到所述第二站;
e)通过所述衬底和所述第二基座之间的热传递来将所述衬底的温度调节至第二温度;以及
f)在所述衬底处于第二温度下时将所述材料的第二部分沉积在所述衬底上,其中所述第一部分和所述第二部分表现出所述材料的属性的不同值。
18.根据条款17所述的装置,其中:
每个基座包括衬底支撑表面,被配置为使所述衬底与所述衬底支撑表面接触,并且被配置为使得所述衬底与所述衬底支撑表面分离第一间隔距离和第二间隔距离,
所述控制器还包括用于以下操作的控制逻辑:
将所述衬底定位在所述第一间隔距离处和所述第二间隔距离处;以及
在所述多站沉积装置的所述第一站执行(a)至(c)、(e)和(f),
(b)包括通过使所述衬底与所述第一站的所述基座的所述衬底支撑表面分离第一间隔距离来将所述衬底的温度调节至所述第一温度,
(c)包括在所述衬底与所述衬底支撑表面分离所述第一间隔距离时沉积所述材料的所述第一部分,
(e)包括通过使所述衬底与所述第一站的所述基座的所述衬底支撑表面分离第二间隔距离来将所述衬底的温度调节至所述第二温度;以及
(f)包括在所述衬底与所述第一站的所述基座的所述衬底支撑表面分离所述第二间隔距离时将所述材料沉积在所述衬底上。
19.根据条款17所述的装置,其中:
每个基座包括衬底支撑表面,被配置为使所述衬底与所述衬底支撑表面接触,并且被配置为使得所述衬底与所述衬底支撑表面分离第一间隔距离和第二间隔距离,
(b)包括在所述衬底与所述第一站的所述基座的所述衬底支撑表面分离第一间隔距离时,通过所述衬底与所述第一站的所述基座之间的热传递将所述衬底的温度调节至所述第一温度,以及
所述控制器还包括用于以下操作的控制逻辑:
在(c)之后且在(d)之前,通过使所述衬底与所述第一站的所述基座的所述衬底支撑表面分离第二间隔距离来将所述衬底的温度调节至第三温度;以及
在(c)之后且在(d)之前,在所述衬底在所述第一站中、在所述第二间隔距离处并且在所述第三温度下时,将所述材料的第三部分沉积在所述衬底上,并且
所述第三部分相比于所述材料的所述第一部分和所述第二部分中的一个或多个表现出所述材料的所述属性的不同值。
20.根据条款17-19中任一项所述的装置,其中所述第一加热元件是嵌入铝中的电阻加热线圈或嵌入在氮化铝中的加热丝之一。
下面将参考相关附图更详细地描述本公开的这些和其它特征。
附图说明
图1描绘了具有处理室的衬底处理装置的横截面示意图,该处理室具有单个处理站。
图2描绘了用于在一个或多个多站半导体处理工具中执行膜沉积的系统的示意图,该系统包括四站衬底处理工具、用于从两个处理站装载和卸载衬底的衬底搬运机械手、以及用于操作工具的控制。
图3描绘了在每个整个膜中具有不同的膜属性值的四个膜的表示。
图4示出了用于在多站沉积装置中在不同温度下在衬底上沉积材料的示例性技术的流程图。
图5示出了示例性基座的等距视图。
图6示出了图5的示例性基座的侧视图。
图7示出了图5的示例性基座的侧视图,其中升降销处于缩回位置。
图8描绘了用于在不同温度下将材料沉积在衬底上的示例性技术的流程图。
图9描绘了用于在多站沉积装置中在不同温度下将材料沉积到衬底上的示例性技术的流程图。
图10示出了显示在不同温度下产生的膜的湿式蚀刻速率差异的图表。
图11描绘了在示例性PCRAM处理期间衬底的部分的局部横截面侧视图。
图12示出了图5的基座的横截面视图。
图13示出了在示例DRAM处理期间部分衬底的部分的局部横截面侧视图。
具体实施方式
在下面的描述中,阐述了许多具体细节,以便提供对所呈现的概念的透彻理解。所呈现的概念可以在没有这些具体细节中的一些或全部的情况下实践。在其他情况下,未详细描述公知的处理操作,以免不必要地模糊所述概念。虽然将结合具体实现来描述一些概念,但是应当理解,这些实现不旨在是限制性的。
这里描述和示出了许多概念和实现方式。虽然已经描述和说明了本文讨论的实现方式的某些特征、属性和优点,但是应当理解,根据描述和插图,本发明的许多其它实现方式以及不同的和/或相似的实现方式、特征、属性和优点将变得显而易见。因此,下面的实现方式仅仅是本公开的一些可能的示例。它们并不是穷尽性的或将公开内容限制为所公开的精确形式、技术、材料和/或配置。根据本公开,许多修改和变化是可能的。应当理解,在不脱离本公开的范围的情况下,可以利用其他实现方式并且可以进行操作改变。因此,本公开的范围不仅仅限于下面的描述,因为为了说明和描述的目的已经呈现了上述实现方式的描述。
重要的是,本公开既不限于任何单个方面或实现方式,也不限于这些方面和/或实现方式的任何单一组合和/或排列。此外,本公开的每个方面和/或其实现方式可以单独使用或与其他方面和/或其实现方式中的一个或多个组合使用。为了简洁起见,这些排列和组合中的许多不会在本文中单独讨论和/或图示。
本文公开了用于在沉积装置中在不同温度下进行膜沉积的方法、系统和装置。一些半导体工艺用于将一个或多个材料层沉积到诸如晶片之类的衬底上。当在本文中使用时,“晶片”通常可被解释为包括其它形式的“衬底”,例如大尺寸显示衬底。在本申请中,术语“半导体晶片”、“晶片”、“衬底”、“晶片衬底”和“部分制造的集成电路”可互换使用。本领域普通技术人员应当理解,术语“部分制造的集成电路”可以指在其上的集成电路制造的许多阶段中的任何阶段期间的硅晶片。在半导体器件工业中使用的晶片或衬底通常具有200mm、或300mm或450mm的直径。然而,本发明不限于此。工件可以是各种形状、尺寸和材料。除了半导体晶片之外,可以利用本发明的其它工件包括诸如印刷电路板等之类的各种制品。
膜沉积工艺的示例包括化学气相沉积(“CVD”)、等离子体增强CVD(“PECVD”)、原子层沉积(“ALD”)、低压CVD、超高CVD、物理气相沉积(“PVD”)和保形膜沉积(“CFD”)。例如,一些CVD工艺可以在单个沉积工艺中在衬底表面上沉积膜。一些其它沉积工艺涉及多个膜沉积循环,每个膜沉积循环产生“离散的”膜厚度。ALD是一种这样的膜沉积方法,但是可以将任何放置(put down)薄膜层并用于重复序列物质的技术视为涉及多个沉积循环。
在特定工艺条件下进行膜沉积,特定工艺条件有时称为“配方”,其被优化用于正在沉积的材料和正在利用的沉积工艺。一些示例性工艺条件包括定时和气体和液体反应物的混合物、室和/或站压力、室和/或站温度、衬底温度、目标功率电平、RF功率电平、RF暴露时间、衬底基座、卡盘和/或基座位置、每个站中的等离子体形成、以及气态和液体反应物的流动。
膜沉积装置概述
在半导体衬底上沉积膜的操作通常可以在如图1所示的衬底处理装置中执行。下面将更详细描述的图1的装置100具有单个处理室102,处理室102具有在内部容积中的单个衬底保持器108,衬底保持器108可以通过真空泵118保持在真空下。衬底保持器,即基座108具有可加热基座和衬底的加热元件110。还流体耦合到室以输送(例如)膜前体、载气和/或吹扫气体和/或工艺气体、次级反应物等的是气体输送系统101和喷头106。用于在处理室中产生等离子体的设备也在图1中示出,并且将在下面进一步详细描述。无论如何,如下面详细描述的,图1中示意性示出的装置提供了用于在半导体衬底上执行诸如ALD之类的膜沉积操作的基本设备。
尽管在某些情况下,如图1所示的衬底处理装置可能是足够的,但是当涉及耗时的膜沉积操作时,通过在多个半导体衬底上同时地并行执行多个沉积操作来增加衬底处理的吞吐量可能是有利的。为此,可以采用多站衬底处理装置,如图2中示意性示出的。图2的衬底处理装置200仍采用单个衬底处理室214,然而,在由处理室的壁限定的单个内部体积内是多个衬底处理站,每个衬底处理站可用于在由该处理站处的基座保持的衬底上进行处理操作。在该特定实施方式中,多站衬底处理装置200被示为具有4个处理站201、202、203和204。该装置还采用用于在处理站201和202处装载衬底的衬底装载装置(在这种情况下为衬底搬运机械手226)以及在不停处理站201、202、203和204之间传送衬底的衬底传送装置(在这种情况下为衬底转盘290)。其它类似的多站处理装置可以具有更多或更少的处理站,具体取决于实施方式以及例如并行衬底处理的期望水平、尺寸/空间约束、成本约束等。也如图2中所示,下面将更详细地描述的是控制器250,其有助于实现(例如在原子层沉积(ALD)操作中)执行有效的衬底沉积操作的目标。
注意,可以通过使用如图2所示的多站处理装置之类的多站处理装置来实现关于设备成本和操作费用两者的各种效率。例如,单个真空泵(图2中未示出,但在图1中示出为118)可用于为所有4个处理站创建单一的高真空环境,并且还可用于对于所有4个处理站抽出废工艺气体等。根据实施方式,每个处理站通常具有其自身的用于气体输送的专用喷头(参见例如图1中的106),但是共享相同的气体输送系统(例如,图1中的101)。类似地,等离子体发生器设备的某些元件可以在处理站(例如,电源)之间共享,但是根据实施方式,某些方面对处理站可以是特定的(例如,如果使用喷头来施加产生等离子体的电势-参见下面图1的讨论)。然而,再次应该理解,也可以通过每个处理室使用较多或较少数量的(例如2、3、5、6、7、8、9、10、11、12、13、14、15或16个)处理站或通过每个反应室使用较多的处理室来在较大或较小的程度上实现这种效率。
膜沉积概述
如上所述,这种沉积工艺的实例包括CVD、PECVD和ALD等。一些CVD工艺可以通过使形成膜前体和副产物的一种或多种气体反应物流入反应器中而将膜沉积在衬底表面上。前体被输送到衬底表面,在这里它们被衬底吸附,扩散到衬底中,并通过化学反应沉积在衬底上,该化学反应也产生从表面和从反应器中除去的副产物。
随着器件和特征尺寸在半导体工业中的不断缩小,并且随着3D器件结构在集成电路(IC)设计中变得越来越普遍,沉积薄保形膜(材料的膜具有相对于底层结构的形状的均匀的厚度,即使是非平面的)的能力继续获得重视。ALD是一种膜沉积技术,它非常适合沉积保形膜,因为ALD的单次循环仅沉积材料的单个薄层,厚度受到可以在成膜化学反应本身之前吸附到衬底表面上(即,形成吸附限制层)的一个或多个膜前体反应物的量的限制。然后可以使用多个“ALD循环”来建立所需厚度的膜,并且由于每个层都是薄的并且保形的,因此所得到的膜基本上符合下面的器件结构的形状。在某些实施方式中,每个ALD循环包括以下步骤:
1.将衬底表面暴露于第一前体。
2.吹扫衬底所在的反应室。
3.通常用等离子体和/或第二前体激活衬底表面的反应。
4.吹扫衬底所在的反应室。
每个ALD循环的持续时间通常可以小于25秒或小于10秒或小于5秒。ALD循环的(一个或多个)激活步骤可以具有短持续时间,例如1秒或更短的持续时间。对于一些低温、相对惰性的前体,剂量和转化时间可以大约为1分钟或更长。当衬底过于敏感而不能耐受在等离子体中产生的能量物质的撞击时,上述等离子体增强的ALD PEALD序列也可以用热激活或转化步骤进行。PEALD系统的一个优点是使得能够使用具有不同功率、频率和离子能量的等离子体来修改膜表面,特别是在高深宽比(AR)特征的侧面上。在一些情况下,可以将额外的表面修改步骤添加到上述可以长达1分钟或更长的ALD序列中。
膜属性
在一些沉积工艺中,工艺条件可影响沉积膜的各种属性,例如其物理和/或化学属性。例如,工艺条件(特别是温度)可影响组成(例如,化学计量学或其它组分(如氢气)的添加)、光学属性(如RI和/或吸收系数)和/或沉积膜的密度、以及膜的击穿电压和电性能(例如电阻率)。在许多典型的沉积工艺中,在整个膜沉积工艺中,工艺条件保持基本上恒定,以便提供例如膜均匀性、整个膜深度的均匀膜属性(例如湿式蚀刻速率或干式蚀刻速率)、重复性和/或高产量。然而,在作为本公开的主题的一些沉积工艺中,可以在沉积期间修改工艺条件,使得制备具有不同属性的膜,即膜是多层膜,例如双层膜、三层膜、四层膜、连续变化层膜等。
例如,图3描绘了在每个膜中具有膜属性的变化的值的四个膜的表示。应当理解,图3中的膜属性不是特定的膜属性,而是用于说明性目的的代表属性,并且值仅仅是说明性的;这样的膜属性可以表示任何上述属性,例如组成或密度。图3的x轴表示膜的全部厚度,使得0是膜和衬底的底表面(例如,膜的底部和沉积在衬底上的膜的第一部分)之间的界面,800是膜的顶部(例如,沉积的膜的最后部分)。在图3中,膜1是双层膜,使得膜属性在膜厚度上具有两个不同的值;沉积膜的第一部分(即,约一半,从0至约
Figure BDA0002497699780000161
)比沉积膜的第二部分(即,另一半,约为
Figure BDA0002497699780000162
Figure BDA0002497699780000163
)具有更高的值(例如16)的膜属性,沉积膜的第二部分具有较低(例如2)的值的膜属性。膜1的膜属性值的变化可以通过调节一个或多个工艺条件(例如等离子体功率或温度)而发生。如下所述,在沉积工艺期间可以以各种方式改变工艺条件。图3中的膜2可以被认为是三层,使得膜属性在整个膜中具有三个不同的值;第一部分(即0至约
Figure BDA0002497699780000164
)具有最高的膜属性值,最后部分(即约
Figure BDA0002497699780000165
Figure BDA0002497699780000166
)具有最低的膜属性值,以及中间部分(即约
Figure BDA0002497699780000167
Figure BDA0002497699780000168
)具有介于第一部分和最后部分之间的膜属性值。
应当注意,膜可以有广泛数量的具有变化值的层,并且具有给定膜属性值的每个膜的部分也可能不均匀地分布在膜厚度内(例如,1/3的膜在一个膜属性值、而2/3的膜在不同的膜属性值)。例如,图3中的膜3描绘了膜厚度的第一个1/8具有特定的膜属性值(例如8),膜厚度的接下来5/8具有较低的膜属性值(例如,6),并且膜厚度的最后1/4是比其他两个部分具有更高的膜属性值(例如,16)。膜3也可以被认为是三层膜,但是膜厚度的三个不均匀层具有不同的膜属性值。
整个膜中的膜属性值也可以连续变化,如图3中的膜4所例示的。膜4的膜属性从最高值开始并(例如,以线性方式)连续变化为在沉积膜的顶部或末端的约为
Figure BDA0002497699780000169
的最小值。这种连续变化不限于线性变化,而是可以是非线性的(例如,指数的)以及线性变化和非线性变化两者。
图3的示例示出了膜厚度可以被配置为具有各自具有不同的特定膜属性值的多个层或部分。层的部分的数量、每个部分的值以及部分之间的变化可以以对于特定处理可能是期望的任何数量的方式来配置。
包括将膜沉积在温度敏感的衬底上的一些半导体工艺可能受益于在膜的整个厚度具有变化的膜属性(例如不同的密度或湿式蚀刻速率(“WER”))的膜。例如,在生产动态随机存取存储器(DRAM)应用(DRAM是在集成电路内的单独电容器中存储一些数据的某种随机存取存储器的一种类型)时,使用沉积工艺来产生钨位线间隔物,其尤其涉及氮化硅膜在钨的顶部上的沉积。这种沉积工艺是温度敏感的,因为在诸如650℃的较高沉积温度下,氮化硅膜利用能与钨反应产生氮化钨的化学物质沉积;所得到的氮化钨比钨具有更高的电阻率,因此在许多DRAM应用中是不期望的。因此,期望在该衬底-膜界面(例如氮化硅-钨)处的沉积在界面处在两种材料之间几乎不发生反应;这可以通过改变工艺条件来实现,以便产生具有与膜沉积在其上的衬底的表面不反应或具有低反应速率的特定化学组成的膜。也可以通过改变工艺条件来实现,使得两者之间的反应速率保持在低速率或标称速率下。在该示例中,氮化硅和钨之间的低反应速率可以通过在低温下沉积膜来实现,该低温可以在约100℃和300℃之间,包括在约250℃下。
也可能期望的是,在DRAM处理中沉积的氮化硅膜具有使得膜当暴露于后续处理步骤(例如蚀刻或酸清洁)时能够稳定的膜属性。例如,具有较低WER的氮化硅膜可以更好地保护膜免受这种后续处理步骤的影响。如下所述,具有较低WER的膜可以通过较高的沉积温度产生。因此,可能期望在较低温度下将氮化硅膜沉积到钨上,以便在氮化硅和钨的界面处抑制氮化硅和钨之间的化学反应,并且也希望在较高温度下沉积氮化硅以便产生较低的WER。因此,这种氮化硅沉积可以在沉积工艺期间受益于不同的沉积温度,例如在工艺开始时的较低温度和在工艺结束时的较高的温度。
在另一个示例中,在相变随机存取存储器(PCRAM)中,在PCRAM中使用的硫族化物存储器元件(chalcogenide memory element)当在约250℃或更高温度下暴露于反应物时可能会遭受(例如,化学和/或形态的)劣化(degradation)。在一些这样的PCRAM处理中,期望沉积硫族化物封装层,但是发生沉积的温度可能不利地影响硫族化物。因此,期望在等于或低于250℃的温度下沉积封装层的初始部分,之后可以在较高的温度下沉积该层的剩余部分或其它层。
然而,许多当前的沉积工艺和装置,例如单站沉积装置,不具有以有效和/或可制造的方式在整个沉积工艺中调节温度的能力。例如,一些沉积装置可以通过增加或降低给定站处的温度来改变沉积工艺期间的温度,这可能是耗时的过程(例如,等待衬底加热和/或冷却几分钟或几个小时),这导致生产量不可接受的降低和/或可能导致材料剥落并污染衬底(例如,在冷却期间在壁和/或衬底上生长的膜可能会被剥落)。因此,通常选择单一温度以产生针对不同要求但是部分满足这些要求的具有受损的、次佳的膜属性的膜,或产生仅满足不同要求之一的膜。在上述的DRAM氮化硅膜沉积示例中,可以选择温度以沉积不是最佳地适合于衬底界面要求或后续处理要求的膜,而是选择部分地满足两个要求的温度。
本公开呈现了在沉积工艺期间调节沉积温度以改变沉积膜的一个或多个属性的有创造性的方法、装置和系统。
具有不同温度的沉积技术
图4描绘了用于在多站沉积装置中在不同温度下在衬底上沉积材料的示例性技术的流程图。如在框430中可以看到的,可以向多站沉积装置的第一处理站(即“站”)提供衬底。多站反应器的每个站可以具有基座,并且每个基座可以具有被配置为支撑衬底的衬底支撑表面。在一些实施方式中,可以将衬底提供到第一站并且提供到衬底支撑表面上,使得衬底接触衬底支撑表面,而在一些其他实施方式中,衬底可以被放置在基座的升降机构(例如,升降销)上,使得衬底与衬底支撑表面分离非零距离(例如,在衬底支撑表面上方的非零距离)。
在框432中,将衬底的温度调节至第一温度。该调节可以是衬底的加热或冷却,并且可以通过衬底和基座之间的热传递来执行。基座可以包括加热元件,该加热元件可以产生可以通过基座传递并且传递到衬底的热量,以加热和/或冷却衬底。如下所述,加热元件可以是本领域中的任何已知的加热元件,例如基于流过线圈的电流在单个或变化的温度下产生热量的电阻加热线圈。衬底的温度可以以各种方式(例如通过增加衬底和基座的衬底支撑表面之间的间隔距离、流过基座的冷却剂流体和/或流到衬底上的气体)冷却。
在框434中,一旦衬底被加热到第一温度,则在衬底处于第一温度时,材料的一部分被沉积到衬底上。在第一温度下的该沉积工艺可以至少部分地导致沉积的材料的一部分(即沉积膜的一部分)具有至少一个具有第一值的属性,并且在一些实施方式中可以具有各自具有第一值的多个属性。该属性可以是上述讨论的那些中的一个,例如组成、密度和/或其特征和/或值至少部分地由第一温度驱动的WER。例如,约250℃的第一温度可以产生有具有第一值
Figure BDA0002497699780000191
的属性(诸如WER)的材料层的一部分,属性(诸如WER),而约400℃的第一温度可以产生具有第一属性的材料的一部分,该第一属性是具有约
Figure BDA0002497699780000192
的值的WER。
如上所述,在一些处理应用中,期望减少沉积的材料的第一部分(例如,材料层的第一部分)与上面沉积有材料的第一部分的表面(例如,衬底或衬底上的其它经处理的材料)之间的反应。因此,在衬底处于第一温度下时将材料的第一部分沉积到衬底上可以减少材料和上面沉积有材料的表面之间的反应。在一些实施方式中,这可以被认为是具有处于第一值的属性(诸如组成)的材料,第一值使得与上面沉积有材料的表面几乎不产生反应。参考上述实施方式,具有(一个或多个)第一值的(一个或多个)属性因此可以防止或减少DRAM处理中氮化硅和钨之间的反应,以及防止或减少当在PCRAM处理中沉积硫族化物封装层时硫族化物存储器元件的劣化。
在框436中,在第一站中沉积之后,将衬底提供给多站沉积装置的第二站。衬底可以通过衬底搬运机械手移动到第二站,并且衬底可以被放置在第二站中的基座的衬底支撑表面上或者放置到第二站中的基座的升降机构(例如升降销)上,使得衬底与衬底支撑表面分离非零距离(例如,在衬底支撑表面上方的非零距离)。一旦衬底在第二站中,将衬底的温度调节至第二温度,如框438所示。与432中一样,该调节可以通过衬底和第二站中的基座之间的热传递来加热或冷却衬底。一旦处于第二温度下,在框440中,在衬底在第二温度下时,材料的第二部分沉积到衬底上。第二部分在第二温度下的沉积可导致第二部分具有材料的第一部分的相同属性中的至少一个,但是第二部分与第一部分相比表现出属性的不同值。例如,如果第一温度为约250℃,则在该温度下材料的第一部分的沉积可产生具有在约
Figure BDA0002497699780000193
Figure BDA0002497699780000194
的第一值下的第一属性(WER)的第一部分,并且如果第二温度为约400℃,则在该温度下的沉积可以产生具有大约
Figure BDA0002497699780000195
的WER的第二值的材料的第二部分。
仅针对两个站来描述图4的示例性技术,但是这种技术可以与任何数量的站一起使用。例如,在具有四个站的多站沉积装置中,在框440之后,衬底可以被提供到第三站中,(即,通过加热或冷却衬底)被调节至第三温度,并且具有当在第三站中处于第三温度下时沉积到衬底上的材料的第三部分,从而使第三部分具有该属性的第三值。至少部分地取决于第三温度的值,该属性的第三值可以与该属性的第一值和该属性的第二值不同,或者可以与该属性的第一值或该属性的第二值相同(例如,如果第三温度与第一温度相同,则该属性的第三值可以与该属性的第一值相同)。
接下来,衬底可以被提供到第四站,调节至第四温度,并且具有在衬底在第四站中处于第四温度时沉积在衬底上的材料的第四部分上,从而使第四部分具有该属性的第四值。类似于该属性的第三值,该属性的第四值可以不同于该属性的第一值、该属性的第二值或该属性的第三值,或者可以与该属性的第一值、该属性的第二值或该属性的第三值中的一个相同,至少部分地取决于第四温度的值(例如,如果第四温度与第二温度相同,则该属性的第四值可以与该属性的第二值相同)。
因此,在使用具有至少三个站的多站沉积装置的一些实施方式中,至少两个站可以具有相同的温度,而一个或多个其它站具有不同的温度。例如,在上述四个站示例中,第一温度、第二温度和第四温度都可以是不同的温度,但是第三温度可以与第一温度基本相同,从而使得第一和第三部分的属性的值基本上相同。在该示例中,可以拓展该技术,使得衬底被设置在第三站中,调节到第一温度,并且具有在第三站中在第一温度下沉积到衬底上的材料的第三部分,从而使该材料具有该属性的第一值。因此,这可能产生四层膜,其中膜的第一部分和第三部分的属性的值相同,而第二部分和第四部分的属性的值彼此不同并且与第一和第三部分的属性的值不同。该示例不仅限于第一和第三站,而是具有相似和/或不同温度的站的任何组合可以被配置为用于特定的沉积工艺。
衬底的温度可以以各种方式进行调节。在图4的示例实现方式中,通过在设置在不同温度的站之间移动衬底来调节衬底的温度。在一些实施方式中,可以通过改变衬底和基座之间的间隔距离来调节衬底的温度。例如,对于在设定温度下的基座,可以使放置在基座的衬底支撑表面上的衬底被加热到与基座的设定温度基本相同(例如,相差在约5至10%内)的温度。然而,衬底的温度随着远离基座的衬底支撑表面而降低,例如,间隔距离越大,衬底和基座的设定温度之间的温度差越大。这种分离可以在沉积工艺期间和整个沉积过程中实现衬底温度的微调和温度调节。例如,基座可以设定为约300℃的温度,并且衬底和基座的衬底支撑表面之间的间隔距离可以使衬底的温度从约300℃调节至约250℃或更低,具有在约200℃下调节温度的能力。
基座可以以各种方式导致衬底支撑表面和衬底之间的分离。例如,一些基座包括升降销,升降销构造成延伸穿过衬底支撑表面并超出衬底支撑表面,使得衬底在衬底支撑表面上方竖直地上升。升降销可以被配置成有时在衬底支撑表面下方凹陷(即,“凹陷位置”),使得衬底可以基本上直接支撑在衬底支撑表面上和由衬底支撑表面支撑。在一些实施方式中,当升降销和衬底竖直(垂直于衬底支撑表面)移动时,基座保持静止,并且在一些实施方式中,当升降销和衬底保持静止时,基座竖直移动。
升降销和/或基座移动的距离可以变化。例如,通过升降销和/或基座的移动可以使间隔距离在例如约1密耳和约1英寸之间的范围内。升降销和基座的竖直运动可以通过步进电机来实现,该电机可以使得能够在相对小和大的增量距离和总距离上移动。例如,一些升降销可以以小至约5密耳的增量移动并且具有约1英寸的总范围;一些基座也可以以小至5密耳的增量移动,总范围约为1英寸。这使得能够微调衬底的温度。
图5描绘了示例性基座的等距视图。可以看出,基座508包括用浅阴影识别的衬底支撑表面542和在虚线椭圆内标识的三个升降销544。升降销544在延伸位置被看到,使得它们延伸经过衬底支撑表面。图6示出了图5的示例性基座的侧视图。这里,看到升降销544在竖直或z轴方向上延伸穿过衬底支撑表面542。在图6中也看到了衬底546,并且衬底546位于三个升降销544上,使得衬底546相对于z轴升高,并且因此与衬底支撑表面542分开在图6的左侧的竖直箭头之间的间隔距离548。图6中的间隔距离548被描绘为非零距离,但是当衬底位于衬底支撑表面542上并由衬底支撑表面542支撑(这在升降销544位于衬底支撑表面542下方(例如,处于凹进位置)时可能发生)时,该间隔距离548可以被认为是零。图7还示出了图5的示例性基座的侧视图,其中升降销处于缩回位置。与图6不同,基座508的升降销已经在图7中缩回。因此,基座508的最顶面是衬底支撑表面542,使得放置在基座508上的衬底接触衬底支撑表面542。因此,在图7中放置在(例如,接触)基座508的衬底支撑表面542的衬底的间隔距离为零。
如上所述,衬底和衬底支撑表面之间的间隔距离可以通过以下方式导致:升降销和衬底在z轴上竖直向上移动而基座保持静止,升降销和衬底在z轴上保持静止而基座在z轴上竖直向下移动,或两者的组合。
因此,本公开的技术可以通过使衬底和基座的衬底支撑表面分离各种距离来调节衬底的温度。图8描绘了用于在不同温度下将材料沉积在衬底上的示例性技术的流程图。如这里将描述的,在一些实施方式中,图8中所示的示例技术可以是图4的拓展技术,该拓展技术在单个站中执行,其中一个或多个温度调节通过使衬底和衬底支撑表面之间的间隔距离改变而发生而非通过在站之间移动衬底发生。在框850中,类似于框430,衬底被放置在沉积装置的站中。当衬底被放置在站中时,可以将衬底放置在站中的基座的衬底支撑表面上,使得衬底接触衬底支撑表面,或者衬底可以被放置在延伸穿过基座的衬底支撑表面的升降机构上(例如,升降销),使得在衬底和衬底支撑表面之间存在非零间隔距离。
在框852中,通过使衬底和基座的衬底支撑表面分离第一间隔距离来将衬底的温度调节至第一温度。在一些实施方式中,间隔距离可以为零,使得如上所述,衬底与衬底支撑表面接触。在其他实施方式中,第一间隔距离可以是非零值。
在一些实施方式中,852的调节可以作为在框850中向站提供衬底的一部分而发生,使得一旦衬底被定位到站中,衬底处于第一间隔距离处。例如,对于第一间隔距离为零,这可以通过将衬底直接放置到衬底支撑表面上而发生。在一些其它实施方式中,衬底可以被提供到站,然后被调节或定位到第一间隔距离;例如,将衬底调节到第一间隔距离的步骤。例如,衬底可以直接放置在衬底支撑表面上,使得衬底和衬底支撑表面之间的距离为零,之后升降销可以竖直向上延伸(或者基座可以竖直向下移动),使得衬底和衬底支撑表面分开非零第一间隔距离。衬底放置在站中也可以是相反的顺序,使得衬底最初被放置在站中,直接在延伸的升降销上,然后升高或降低到第一间隔距离,该第一间隔距离可以是放置到站中时的初始距离、较高或较低的非零值、或零。
在框854中,一旦衬底被定位在距基座的衬底支撑表面第一间隔距离处,则在衬底在第一温度下和/或第一间隔距离处时,材料的第一部分的沉积可以在衬底上发生。与上述类似,在第一温度下的沉积将导致该层的第一部分具有在第一值的第一属性。如上所述,框850至854可以类似于图4的框430至434。
然而,在图8的技术中,衬底保留在第一站处,用于附加的框856和858;在图8中省略了图4的框436。在854中沉积之后,在框856中通过使衬底与基座的衬底支撑表面分离第二间隔距离,调节衬底的温度。如上所述,这种间隔可能是由于升降销升高或降低而基座保持静止、升高或降低基座而升降销和衬底保持静止、或两者的组合而导致的;第二间隔距离也可以为零或非零值。这也可以被描述为通过调节基座和/或升降销的位置来将衬底的位置调节到第二间隔距离;这样的调节会导致衬底的温度改变,因为衬底更靠近或更远离被加热的基座。856的这种调节与438的不同之处在于,856的调节在衬底保持在同一个站时发生。
类似于854,一旦衬底处于第二温度下并在第二间隔距离处,则在框858中材料的第二部分可以沉积到衬底上。这种沉积可以产生材料的具有该属性的第二值的第二部分,如上图4所述。
可以重复和/或修改图8中示例的技术,以便通过将衬底与衬底支撑表面分开多于两个间隔距离而使衬底保持在相同的站时被加热到两个以上的温度,以产生有具有属性的不同值的两个以上的部分的膜。另外地或替代地,可以重复图8中的技术,以便(即,通过使衬底与衬底支撑表面分离分别为第一和/或第二间隔距离)使衬底被多于一次地加热到第一温度和/或第二温度,以分别产生具有第一属性和/或第二属性的多于一层。
因此,例如,在框858之后,通过使衬底与衬底支撑表面分离第三距离,可以将衬底的温度调节至第三温度。在该调节之后,当衬底在第三温度下和/或在第三距离处时,可以沉积材料的第三部分,使得第三部分具有该属性的第三值。如上所述,根据第三部分的工艺条件(例如沉积温度),第三值可以与该属性的第一值或第二值不同或相同。
本文描述的技术也可以彼此组合。在一些实施方式中,用于在多站沉积装置中将材料沉积到衬底上的技术可以通过使用不同温度的两个或两个以上站以及衬底与站中的基座的衬底支撑表面之间的两个或两个以上间隔距离来调节衬底的温度。
图9描绘了用于在多站沉积装置中在不同温度下将材料沉积到衬底上的示例性技术。框960至968可以分别与框850至858相同,使得在衬底在第一站中的基座上处于两个不同的温度下时材料的两个部分沉积在衬底上;通过调节衬底和第一站中的基座的衬底支撑表面之间的间隔距离来将衬底加热到不同的温度。框962和964沉积材料的与在框966和968中沉积的具有该属性的第二值的第二部分不同的具有该属性的第一值的第一部分。
在框968之后,在框970中,衬底被提供到多站沉积装置的第二站中,类似于框436。框970至974可以类似于框436至440,但是这里在图9中在第二站出将衬底的温度调节至第三温度。在一些实施方式中,第二站中的基座的温度不同于第一站的基座的温度。因此,当将衬底放入第二站时,其被加热或冷却至与第一和第二温度不同的第三温度。然后在衬底在第二站中处于第三温度下时将材料的第三部分沉积在衬底上,这产生材料的具有该属性的第三值的第三部分,类似于上述。
尽管在图9中未示出,但是在框974的沉积之后,当在第二站时通过调节衬底和第二站中的基座的衬底支撑表面之间的间隔距离,可以将衬底的温度调节至第四温度。这可以类似于框960至968执行,但是在第二站中执行。例如,在将衬底放置在第二站之后和/或当将衬底放置在第二站中时,可以将衬底的温度调节到第三温度,在衬底与第二站中的基座的衬底支撑表面之间的第三间隔距离处(这可以类似于框960)。如上所述,第三间隔距离可以是零或非零值。然后在衬底在第三间隔距离处的同时执行沉积,以产生材料的具有该属性的第三值的第三部分。在该沉积之后,通过使衬底与第二站的基座的衬底支撑表面分离第四间隔距离,可以将衬底的温度调节至第四温度。然后当衬底在第四温度下并且在第四间隔距离处时进行沉积,以产生材料的具有该属性的第四值的第四部分,类似于上述。
在一些实施方式中,图9中描述的技术可能不以相同的顺序或不以相同的步骤发生。例如,框960至964可以最初发生,然后可以将衬底提供到第二站(例如,框970),其中框966、986、972和974在第二站发生。
另外,在一些实施方式中并且如本文所述,在沉积材料的第一部分的同时,衬底可以朝向或远离站的基座的衬底支撑表面移动,从而调节衬底与基座之间的间隔距离,并且进一步调节衬底在第一站中的温度。
用于本公开的技术和实现方式的沉积工艺可以是任何已知的沉积工艺,例如CVD和ALD(包括等离子体和热驱动工艺)。例如,在一些CVD沉积装置中,本文描述的技术中的每个沉积可以通过在产生材料的膜的给定温度(例如第二温度)下的CVD沉积工艺进行。
在一些ALD工艺中,本文描述的技术中的沉积可以是整个ALD沉积工艺和/或单个沉积工艺的特定循环。存在大量可以执行的温度和沉积循环的组合。例如,特定数量的沉积循环(包括仅一个循环)可以在多站沉积装置内的特定温度下进行。在具有四个站的多站ALD装置中,例如,该装置可以被配置为使得能够在两个、三个或四个不同温度下进行沉积,并且在整个ALD工艺中可以在四个站中的每一个处处理衬底持续任何数量的循环。例如,总ALD工艺的1/4的循环可以在每个站处发生,使得沉积材料的四层,该四层具有通过在每个不同的温度下沉积而导致的材料的属性的至少四个值。在另一个实例中,再次参考图4,在框434中材料在第一温度下的沉积可以发生N1个沉积循环,其可以是总ALD沉积工艺的任何数量的循环;类似地,框440的沉积可能发生N2个沉积循环,其也可以是任何数量的循环。沉积也不限于在每个站处或在每个温度下的相同数量的沉积,而是可以根据沉积材料的期望属性而变化。如本文所讨论的,沉积循环可以是成组的处理步骤,其共同地沉积在站处沉积的材料层的总厚度的一部分。
另外,如上所述,通过增大或减小衬底和衬底支撑表面之间的间隔距离,可以将衬底在每个站处的温度进一步调节到四个以上的温度,使得衬底可以保持在一个站,但是被加热到两个或两个以上温度,并在同一站处在两个或两个以上温度下进行沉积。
在一些实施方式中,在第一温度和第二温度下的沉积也可以在除了不同的温度之外的不同的工艺条件(例如,诸如,不同时间、等离子体暴露时间、等离子体功率、反应物暴露时间和等离子体频率)下进行。
本文所述的温度可以在针对特定沉积工艺的任何数量的期望温度之间变化。在一些实施方式中,例如,温度可为约50℃至约635℃、约150℃至约500℃、以及约250℃至约450℃。沉积发生的温度之间的调节可以是温度的升高和/或降低。例如,一般来说,温度可能从热到冷、冷到热、热到冷到热、冷到热到冷。本文所用的“热”和“冷”是旨在提供在相互比较时更热和更冷的温度的一般描述的相关术语。
实施例
如上所述,在不同温度下将材料沉积到衬底上产生在每个不同温度下产生的膜的不同部分具有不同属性的膜。图10示出了在不同温度下产生的膜的湿式蚀刻速率差异的图。图10中的图表的x轴表示基座温度,而y轴表示湿式蚀刻速率(WER),这是膜属性。在每个站处进行相同数量的沉积循环,并且如图10所示,膜的WER随着沉积温度的升高而降低。
作为本公开的一部分公开的技术和装置可以用于各种处理应用中。例如,这些技术中的任何一种可以用于在DRAM的钨位线上沉积间隔物,例如下文讨论的图4的技术。用于DRAM制造工艺的间隔物材料可以是用于这种应用的任何合适的材料,例如氮化硅、碳氮化硅和氮氧化硅。为了在DRAM的钨位线上沉积间隔物,在框430中,衬底可以被提供给多站沉积装置的第一站。在框432中,将衬底的温度调节至第一温度。这里,如上所述,第一温度可以是在沉积期间减少或防止间隔物材料与钨之间的反应的温度。这种较低的温度也可以抑制任何可能劣化粘附和/或电阻率的元素分离或除气以及形态变化。这样的温度可以是约450℃,并且在一些实施方式中可以是较低的,例如400℃、350℃或300℃。
在框434中,间隔物材料的第一部分沉积在衬底的钨位线上。该材料的层可以具有由第一温度下的沉积引起的材料的属性的第一值;该属性可以是WER和/或组成,例如化学计量学,并且第一值可以分别是防止或减少沉积的间隔物材料和钨之间的反应的高WER和/或组成以防止或减少氮化钨的形成。
之后,在框436中,将衬底传送到多站沉积装置中的第二站。一旦在第二站中,将衬底的温度调节至较高的温度,如框438所示。可以选择第二较高的温度,以便产生材料的具有该属性的第二值的第二部分,其可以是低WER或低动态蚀刻速率(“DER”),以便保护膜的外部部分不受后续处理(例如蚀刻或酸性清洁)的影响,如上所述。此外,膜的外部部分的阻隔性或抗氧化性可能需要调节以与随后的工艺化学物质相容。这种第二温度可以是任何可实现的温度,例如约450℃或约650℃。在框440中,例如,根据图10,在第二站处在该第二较高的温度下的沉积产生材料的具有低WER(例如约
Figure BDA0002497699780000271
或约
Figure BDA0002497699780000272
)的第二部分。
所得到的沉积的材料的膜将具有至少两个具有属性的不同值的部分。图13示出了在示例DRAM处理期间衬底的一部分的局部横截面侧视图。衬底1384的一部分在将诸如氮化硅之类的间隔物材料1386沉积到包括第一材料层1392(例如多晶硅)、钨层1394和第三材料层1396(例如氮化物硬掩模)的材料堆叠上之后可见。间隔物材料1386和钨1394之间的在编号为1398的虚线椭圆内标示的界面是期望减少或消除间隔物材料1386(例如氮化硅)和钨层1394之间的反应速率的区域,如上所述。
如图13所示,沉积的材料,即间隔物材料1386,包括第一部分1388和第二部分1391。第一部分1388表示在第一低沉积温度下材料的首先沉积到堆叠的层(即第一层1392、钨层1394和第三层1396)上的部分(例如,一层),第一低沉积温使第一部分1388具有属性的第一值,属性例如为WER,其是高值(如图10所示,低温对应于高WER值),或另一属性,例如具有防止或减少第一部分1388与钨层1394之间在界面1398处的反应的第一值的组成,例如化学计量学。沉积的材料1386的第二部分1391随后在第二较高温度下沉积,以使第二部分1388具有不同于第一值的该属性的第二值,如低WER。
本公开的技术也可以用于在PCRAM处理期间的封装层的沉积。在该示例中,图4也可以以类似的方式应用和执行。然而,对于PCRAM处理,沉积到衬底上的材料可以不同于上述用于DRAM处理的材料,例如聚合物、氮化硅、碳氮化硅或氮氧化硅,并且这种封装材料被沉积到包括硫族化物存储器元件的材料的堆叠上。可以选择第一温度,例如250℃或更低、低至50℃,使得当暴露于反应物时,硫族化物存储器元件不会经受(例如化学和/或形态学的)劣化。在第一温度下沉积的该材料层的属性的对应的第一值可以包括防止或减少沉积的封装材料和存储器元件之间的反应的组成,例如化学计量学。在封装材料的初始部分沉积到存储器元件上之后,可以将温度调节至如框438所示的第二较高温度,例如400℃,这导致产生封装材料的具有属性(如低WER或高密封性)的第二值的第二部分。
图11描绘了在示例性PCRAM处理期间衬底的部分的部分横截面侧视图。示例性衬底1173包括在诸如氧化物层1175之类的材料层上的两个存储器堆叠。一个堆叠包括钨层1143a、碳层1145a、硫族化物层1147a、第二碳层1155a、第二硫族化物层1157a、第三碳层1165a、氮化物层1149a。第二堆叠包括钨层1143b、碳层1145b、硫族化物层1147b、第二碳层1155b、第二硫族化物层1157b、第三碳层1165b和氮化物层1149b。两个堆叠都包括沉积在衬底上的氮化物间隔物1189和封装膜1179。封装膜1179可以是含硅封装膜,例如氮化硅膜、或碳化硅膜、或含硅碳膜(如SiCN或SiOC膜)。可以看出,封装膜1179包括第一部分1180和第二部分1182。第一部分1180表示在第一低温下沉积的材料的部分(例如,一层),其导致材料的第一部分1180具有该属性的第一值,并且第二层1182(例如,第二部分)具有如本文所述的该属性的第二值。例如,如上所述,材料的第一部分1180可以具有引起硫族化物层1147a、1157a、1147b和1157b有限劣化或不劣化的该属性的第一值。
衬底处理系统的详细描述
本文描述的方法和技术可以利用用于在半导体处理工具(例如,任何合适的多站沉积装置)中进行膜沉积的任何合适的系统来执行。用于在半导体处理工具中在两个或多个温度下进行膜沉积的系统包括用于完成处理操作的硬件和具有(或访问)用于根据本文公开的处理技术来控制处理操作的机器可读指令的系统控制器。
因此,在一些实施方式中,适于在多个半导体衬底上沉积材料的膜的系统可以包括第一组一个或多个处理站、第二组一个或多个处理站、一个或多个阀、以及一个或多个阀操作的真空源;第一组一个或多个处理站中的每一个具有包含在处理室中的衬底保持器(例如,基座),第二组一个或多个处理站中的每一个具有包含在处理室中的衬底保持器,一个或多个阀用于控制膜前体到处理站的流动,并且一个或多个阀操作的真空源用于从围绕包含在一个或多个处理室中的处理站的体积中去除膜前体。并且,这样的系统还可以包括具有(或访问)机器可读指令的控制器,机器可读指令用于操作衬底装载装置、衬底传送装置、一个或多个阀以及真空源以将材料的膜沉积到衬底上。
因此,在一些实施方式中,由控制器执行的所述指令可以包括用于在包含在处理室中的多个处理站处的多个衬底上形成膜的指令,其中通过CVD沉积工艺或ALD循环的序列在每个衬底上形成多个膜层。因此,在某些这样的实施方式中,由控制器执行的所述指令可以包括用于执行如上所述的ALD操作(1)至(4)的指令以及用于重复ALD操作(1)至(4)多次的指令,以在衬底处理装置的多个处理站处的多个衬底上形成膜的多个层。在一些其他实施方式中,由控制器执行的所述指令可以包括用于在装置的多个处理站处在多个衬底上执行CVD沉积处理的指令。
因此,图1示意性地示出了可以是系统的一部分的衬底处理工具100的实施方式。为了简单起见,工具100被描绘为具有用于维持低压环境的处理室主体102的独立处理站。然而,应当理解,多个处理站可以包括在公共处理工具环境中,例如在公共反应室内,如本文所述。例如,图2描绘了包括多站处理工具的系统的实施方式。此外,应当理解,在一些实施方式中,可以通过作为系统的一部分的一个或多个系统控制器以编程方式来调节处理工具100的一个或多个硬件参数,包括这里详细讨论的那些硬件参数。
处理室102与反应物输送系统101流体连通,以用于将工艺气体输送到分配喷头106。反应物输送系统101包括混合容器804,混合容器804用于混合和/或调节用于输送到喷头106的工艺气体。一个或多个混合容器入口阀120可以控制将工艺气体引入混合容器804。
在蒸发并随后传送到处理室102之前,一些反应物可以以液体形式存储。图1的实施方式包括用于蒸发要供应到混合容器804的液体反应物的蒸发点103。在一些实施方式中,蒸发点103可以是加热的液体注射模块。在一些实施方式中,蒸发点103可以是加热的蒸发器。当适当的控制不到位时(例如,当不使用氦来蒸发/雾化液体反应物时),由这种模块/汽化器产生的饱和反应物蒸汽可在下游输送管道中冷凝。不相容的气体暴露于冷凝的反应物会产生小颗粒。这些小颗粒可能阻塞管道、阻止阀操作、污染衬底等。解决这些问题的一些方法涉及扫除和/或排空输送管道以除去残留的反应物。但是,扫除输送管道可能会增加处理站循环时间、降低处理站的吞吐量。因此,在一些实施方式中,蒸发点103下游的输送管道可以被热处理。在一些实例中,也可以对混合容器804进行热处理。在一个非限制性示例中,蒸发点103下游的管道具有在混合容器804处从约100℃延伸至约150℃的升高的温度分布。
如上所述,在一些实施方式中,蒸发点103可以是加热的液体注射模块(简称为“液体注射器”)。这种液体注射器可以将液体反应物的脉冲注射到混合容器上游的载气流中。在一种情况下,液体注射器可以通过将液体从较高压力闪蒸至较低压力来使反应物汽化。在另一种情况下,液体注射器可以将液体雾化成分散的微滴,其随后在加热的输送管中汽化。应当理解,较小的液滴可以比较大的液滴更快地汽化,从而减少液体注射和完全汽化之间的延迟。较快的蒸发可以减小蒸发点803下游的管道的长度。在一种情况下,液体注射器可以直接安装到混合容器804。在另一种情况下,液体注射器可以直接安装到喷头106。
在一些实施方式中,可以提供蒸发点103上游的液体流量控制器(LFC),以用于控制用于汽化和输送到处理室102的液体的质量流量。例如,LFC可以包括位于LFC的下游的热质量流量计(MFM)。然后,可以响应于由与MFM电连通的比例-积分-微分(PID)控制器提供的反馈控制信号,调节LFC的柱塞阀。然而,使用反馈控制可能需要一秒或更长的时间来稳定液体流量。这可能延长了投配(dosing)液体反应物的时间。因此,在一些实施方式中,LFC可以在反馈控制模式和直接控制模式之间动态切换。在一些实施方式中,通过禁用LFC和PID控制器的感测管,LFC可以从反馈控制模式动态切换到直接控制模式。
气体输送系统101还可以被配置为使帘式气体流动并输送到处理室102。一些这样的构造可以包括管道、阀和帘式气体源。适用于在多个半导体衬底上沉积材料膜的系统可以被配置成使帘式气体从入口点流入到处理室中,该入口点适合于为各种处理站提供体积隔离(例如,与每个枝形吊灯式喷头的顶部的后面隔离、与基座隔离、与喷头隔离、和/或与室主体(例如,室的顶壁)隔离)的作用。
喷头106将工艺气体和/或反应物(例如,膜前体)朝处理站处的衬底112分配,其流动由喷头上游的一个或多个阀控制(例如,阀120、120A、105)。在图1所示的实施方式中,衬底112位于喷头106下面,并且被示出放置在基座108上。应当理解,喷头106可以具有任何合适的形状,并且可以具有用于将工艺气体分配到衬底112的任何合适的数量和布置的端口。
在一些实施方式中,微体积107位于喷头106下方。在衬底附近的处理站中的微体积中执行ALD工艺而非在处理室的整个体积中进行ALD工艺可以减少反应物暴露和扫描时间,可以减少用于改变工艺条件(例如压力、温度等)的时间,可以限制处理站机器对工艺气体等的暴露。示例微体积尺寸包括但不限于介于0.1升和2升之间的体积。
在一些实施方式中,如上所述,基座108可以被配置为通过基座108内的升降机构(例如升降销)的移动和/或基座108的移动来升高或降低衬底112,以便将衬底112暴露于微体积107和/或改变微体积107的体积,例如,如上文所述并在图5至图7中示出的,这些讨论被并入本文。例如,基座可以包括步进电机和其它移动机构以及使得衬底能够在基座的衬底支撑表面上方升高的移动构造。例如,该移动也可以增大或减小衬底和基座的衬底支撑表面之间的间隔距离。另外,例如,在衬底传送阶段中,基座108可以被降低以允许将衬底112装载到基座108上。在衬底处理阶段的沉积期间,可以升高基座108以将衬底112定位在微体积107内。在一些实施方式中,微体积107可以完全地包围衬底112以及基座108的一部分,以在沉积工艺期间产生高流阻抗的区域。
任选地,可以在沉积工艺的部分期间降低和/或升高基座108,以调节微体积107内的处理压力、反应物浓度等。在处理室主体102在处理期间保持在基压下的情况下,降低基座108可以使得微体积107能够被抽真空。微体积与处理室体积的示例性比例包括但不限于介于1:500至1:10之间的体积比。应当理解,在一些实施方式中,基座高度可以由适当的系统控制器以编程方式调节。
在另一种情况下,调节基座108的高度可允许等离子体密度在包括在例如ALD或CVD工艺中的等离子体激活和/或处理循环期间变化。在沉积工艺阶段结束时,在另一个衬底传送阶段,基座108可以被降低以允许衬底112从基座108移除。
虽然本文所述的示例性微体积变化是指高度可调基座,但是应当理解,在一些实施方式中,喷头806的位置可以相对于基座108调节以改变微体积107的体积。此外,应当理解,基座108和/或喷头106的竖直位置可以通过本公开范围内的任何合适的机构来改变。在一些实施方式中,基座108可以包括用于旋转衬底112的取向的旋转轴线。应当理解,在一些实施方式中,这些示例调节中的一个或多个可以由一个或多个合适的系统控制器以编程方式执行,该系统控制器具有用于执行上述操作的全部或子集的机器可读指令。
回到图1所示的实施方式,喷头106和基座108与RF电源114和匹配网络116电联通以为等离子体供电。在一些实施方式中,等离子体能量可以通过(例如,经由具有适当的机器可读指令的系统控制器)控制处理站压力、气体浓度、RF源功率、RF源频率以及等离子体功率脉冲定时中的一个或多个来控制。例如,RF电源114和匹配网络116可以以任何合适的功率操作,以形成具有所需组成的自由基物质的等离子体。上面列出了合适电源的例子。类似地,RF电源114可以提供任何合适频率的RF功率。在一些实施方式中,RF电源114可以被配置为彼此独立地控制高频和低频RF电源。示例性的低频RF频率可以包括但不限于在50kHz和500kHz之间的频率。示例性的高频RF频率可以包括但不限于在1.8MHz和2.45GHz之间的频率。应当理解,任何合适的参数可以被离散地或连续地调制以提供用于表面反应的等离子体能量。在一个非限制性示例中,等离子体功率可以间歇地脉冲以相对于连续供电的等离子体减少对衬底表面的离子轰击。
在一些实施方式中,等离子体可以由一个或多个等离子体监测器原位监测。在一种情况下,等离子体功率可以由一个或多个电压、电流传感器(例如,VI探针)监测。在另一种情况下,可以通过一个或多个光发射光谱(OES)传感器来测量等离子体密度和/或工艺气体浓度。在一些实施方式中,可以基于来自这种原位等离子体监测器的测量来编程地调节一个或多个等离子体参数。例如,可以在反馈回路中使用OES传感器来提供等离子体功率的编程控制。应当理解,在一些实施方式中,可以使用其它监测器来监测等离子体和其它工艺特性。这种监测器可以包括但不限于红外(IR)监测器、声音监测器和压力传感器。
在一些实施方式中,等离子体可以通过输入/输出控制(IOC)测序指令进行控制。在一个示例中,用于设置等离子体激活阶段的等离子体条件的指令可以包括在工艺配方的相应的等离子体激活配方阶段中。在某些情况下,可以顺序地布置工艺配方阶段,使得用于处理阶段的所有指令与该处理阶段同时执行。在一些实施方式中,用于设置一个或多个等离子体参数的指令可以包括在等离子体处理阶段之前的配方阶段中。例如,第一配方阶段可以包括:用于设置惰性气体(例如氦气)和/或反应气体的流速的指令、用于将等离子体发生器设置到功率设定点的指令、以及用于第一配方阶段的时间延迟指令。第二后续配方阶段可以包括用于启用等离子体发生器的指令和用于第二配方阶段的时间延迟指令。第三配方阶段可以包括用于禁用等离子体发生器的指令和用于第三配方阶段的时间延迟指令。应当理解,在本公开的范围内,这些配方阶段可以以任何合适的方式进一步细分和/或迭代。
在一些沉积工艺中,等离子体激励(plasma strike)持续数秒或更长的持续时间。在本文描述的某些实现方式中,可以在处理循环期间施加短很多的等离子体激励。这些可以是大约50毫秒到1秒,0.25秒是一个具体的例子。这种短的RF等离子体激励需要等离子体的快速稳定化。为了实现这一点,等离子体发生器可以被配置为使得阻抗匹配被预设为特定电压,同时允许频率漂移。通常,高频等离子体以约13.56MHz的RF频率产生。在本文公开的各种实施方式中,允许频率浮动到与该标准值不同的值。通过在将阻抗匹配固定为预定电压的同时允许频率浮动,等离子体可以更快地稳定,这在使用与ALD循环相关的非常短的等离子体激励时可能是重要的结果。
在一些实施方式中,基座108可以由基座内的加热元件110进行温度控制。例如,加热元件110可以是嵌入到铝基座108中的电阻加热线圈,或者它可以是嵌入在氮化铝基座中的加热丝。加热元件可以以任何合适的方式设置在基座内,以便为工艺提供基座和基座108的衬底支撑表面的合适的加热,例如介于约50℃至约635℃之间。图12示出了图5的基座的横截面图。基座已经沿垂直于图5所示的z轴的方向截面。如可以看到的,基座508包括通道,在该通道中加热线圈或加热丝可以被放置以加热基座508以及基座508的衬底支撑表面。加热元件可以被配置为加热基座并且导致基座和衬底之间的热传递。基座还可以包括被配置为冷却或降低基座和衬底的温度的冷却机构,但是未示出。
返回参考图1,在一些实施方式中,处理装置100的压力控制可以由一个或多个阀操作的真空源(例如蝶阀118)提供。如图1的实施方式所示,蝶阀118节流由下游真空泵(未示出)提供的真空。然而,在一些实施方式中,处理装置100的压力控制也可以通过改变引入到处理室102中的一种或多种气体的流速来调节。在一些实施方式中,一个或多个阀操作的真空源-例如蝶阀118-可用于在适当的ALD操作的操作期间从围绕处理站的体积中除去膜前体。
如上所述,一个或多个处理站可以被包括在多站衬底处理工具中。图2示意性地示出了包括多站处理工具200的系统的示例,多站处理工具200在普通的低压处理室214中包括多个处理站201、202、203、204。通过将每个站保持在低压环境中,可以避免膜沉积工艺之间的真空破坏(vacuum break)引起的缺陷。
如图2所示,系统200具有衬底装载端口220和衬底搬运机械手226,衬底搬运机械手226构造成将衬底从从匣228装载的盒通过大气端口220移动到处理室214中,以及最后移动到一个处理站。具体地,在这种情况下,衬底搬运机械手226在处理站201和202处装载衬底,并且衬底传送装置(在这种情况下为衬底转盘290)在各个处理站201、202、203和204之间传送衬底。在图2所示的实施方式中,衬底装载装置被描绘为具有用于衬底操纵的2个臂的衬底搬运机械手226,因此,如图所示,它可以在站201和202两者处(可能同时或可能顺序地)装载衬底。然后,在站201和202处装载之后,图2所示的衬底传送装置(转盘290)可以(围绕其中心轴线,中心轴线基本上垂直于衬底的平面(从页面出来)并且在衬底之间基本上等距离)进行180度的旋转以将两个衬底从站201和202传送到站203和204。在这一点上,搬运机械手226可以在站201和202处装载2个新的衬底,从而完成装载过程。要卸载,这些步骤可以颠倒,除了如果要处理多组4个衬底的情况下,由搬运机械手226每次卸载2个衬底将伴随着2个新的衬底的装载,然后将传送转盘290旋转180度。类似地,被配置为仅在1个站(例如201)处放置衬底的单臂搬运机械手将在伴随着旋转盘290的四次90度旋转的四步装载过程中使用,以在所有4个站处装载衬底。
图2所示的处理室214提供四个处理站201、202、203和204。每个站具有加热的基座和气体管线入口。应当理解,在一些实施方式中,每个处理站可以具有不同或多个目的。例如,在一些实施方式中,处理站可以在ALD处理模式和CVD处理模式之间切换。附加地或替代地,在一些实施方式中,处理室214可以包括一个或多个匹配的ALD/CVD处理站对。虽然所描绘的处理室214包括4个处理站,但是应当理解,根据本公开的处理室可以具有任何合适数量的站。例如,在一些实施方式中,处理室可以具有1、或2个、或3个、或4个、或5个、或6个、或7个、或8个、或9个、或10个、或11个、或12个、或13个、或14、或15、或16个或更多个处理站(或一组实施方式可以被描述为每个反应室具有在由任何一对前述值定义的范围内的多个处理站,例如每个反应室具有2至6个处理站、或每个反应室具有4至8个处理站、或每个反应室具有8至16个处理站等)。
此外,如上所述,多站沉积装置被配置为独立地控制处理站中的两个或更多个的温度,使得处理站中的两个或更多个可以被加热到不同的温度。例如,站201可以具有第一温度T1,而站202、203和204具有第二温度T2。站201、202、203和204也可以分别具有不同的温度T1、T2、T3和T4。如上所述,每个站的温度的组合可以显著变化,并且可以基于多站沉积装置中的站的数量来限制。并且如上所述,通过调节衬底和每个站的衬底支撑表面之间的间隔距离,可以进一步调节每个站处的衬底的温度。
如上所述,图2描绘了用于在处理室214内的处理站201、202、203和204之间传送衬底的衬底传送装置290的实施方式。应当理解,可以采用任何合适的衬底传送装置。非限制性实例包括衬底转盘和衬底搬运机械手。
系统控制器
图2还描绘了系统的实施方式,其包括用于控制处理工具200及其处理站的工艺条件和硬件状态的系统控制器250。系统控制器250可以包括一个或多个存储器设备256、一个或多个大容量存储器设备254和一个或多个处理器252。处理器252可以包括一个或多个CPU、ASIC、(一个或多个)通用计算机和/或(一个或多个)专用计算机、一个或多个模拟和/或数字输入/输出连接,一个或多个步进电动机控制器板等。
在一些实施方式中,系统控制器250控制处理工具200的操作中的一些或全部,包括处理工具200的各个处理站的操作。系统控制器250可以在处理器252上执行机器可读系统控制指令258-在一些实施方式中,系统控制指令258从大容量存储器设备254加载到存储器设备256中。系统控制指令258可以包括用于控制定时、混合气体和液体反应物、室和/或站压力、室和/或站温度、加热元件温度、衬底温度、目标功率电平、RF功率电平、RF暴露时间、衬底基座、卡盘和/或基座位置、升降销位置以及由处理工具200执行的特定工艺的其它参数。这些工艺可以包括各种类型的工艺,各种类型的工艺包括但不限于与在衬底上沉积膜相关的工艺。系统控制指令258可以以任何合适的方式配置。例如,可以写入各种处理工具组件子程序或控制对象,以控制执行各种处理工具处理所必需的处理工具组件的操作。系统控制指令258可以以任何合适的计算机可读编程语言进行编码。在一些实施方式中,系统控制指令258在软件中实现,在其他实施方式中,指令可以实现为硬件(例如,硬编码为ASIC(专用集成电路)中的逻辑),或者在其他实施方式中,可以实现为软件和硬件的组合。
在一些实施方式中,系统控制软件258可以包括用于控制上述各种参数的输入/输出控制(IOC)排序指令。例如,一个或多个沉积工艺的每个阶段可以包括用于由系统控制器250执行的一个或多个指令。例如,用于设置膜沉积工艺阶段的工艺条件的指令可以包括在相应的沉积配方阶段中,并且同样用于覆盖膜沉积(capping film deposition)阶段。在一些实施方式中,可以顺序地布置配方阶段,使得用于处理阶段的所有指令与该处理阶段同时执行。
在一些实施方式中可以采用存储在与系统控制器250相关联的大容量存储器设备254和/或存储器设备256上的其他计算机可读指令和/或程序。程序或程序段的示例包括衬底定位程序、工艺气体控制程序、压力控制程序、加热器控制程序和等离子体控制程序。
衬底定位程序可以包括用于处理工具部件的指令,处理工具部件用于将衬底装载到基座218上并且控制衬底和处理工具200的其它部件之间的间隔。定位程序可以包括如在衬底上沉积膜所必需的用于适当地移动衬底进出反应室的指令。
工艺气体控制程序可以包括用于控制气体组成和流速的指令、以及可选地用于在沉积之前使气体(例如帘式气体)流入围绕一个或多个处理站的体积以便稳定这些体积的压力的指令。在一些实施方式中,工艺气体控制程序可以包括用于在衬底上沉积膜期间将某些气体引入到围绕处理室内的一个或多个处理站的体积中的指令。工艺气体控制程序还可以包括以相同速率、相同的持续时间、或以不同的速率和/或不同持续时间(具体取决于所沉积的膜的组成)传送这些气体的指令。工艺气体控制程序还可以包括在加热注射模块中在氦气或某些其它载气存在的情况下雾化/汽化液体反应物的指令。
压力控制程序可以包括用于通过调节例如处理站的排气系统中的节流阀、进入处理站的气体流等来控制处理站中的压力的指令。压力控制程序可以包括用于在衬底上沉积各种膜类型时维持相同或不同压力的指令。
加热器控制程序可以包括用于控制流到用于加热基座和衬底的加热单元的电流的指令。替代地或另外地,加热器控制程序可以控制传热气体(例如氦)向衬底的传送。加热器控制程序可以包括用于在将各种膜类型沉积在衬底上期间在反应室和/或围绕处理站的体积中维持相同或不同的温度的指令。该加热器控制程序还可以包括用于独立地控制每个基座处的温度的指令以及用于升高或降低基座和/或升降销以调节衬底和基座的衬底支撑表面之间的间隔距离(例如,当基座保持静止时升高或降低升降销,或者当衬底保持静止时升高或降低基座)的指令。
根据本文的实施方式,等离子体控制程序可以包括用于设置RF功率电平、频率和在一个或多个处理站中的曝光时间的指令。在一些实施方式中,等离子体控制程序可以包括用于在衬底上沉积膜期间使用相同或不同的RF功率电平和/或频率和/或曝光时间的指令。
在一些实施方式中,可以存在与系统控制器250相关联的用户界面。用户界面可以包括显示屏、设备的图形软件显示和/或工艺条件、以及用户输入设备(诸如定点设备、键盘、触摸屏、麦克风等)。
在一些实施方式中,由系统控制器250调节的参数可以涉及工艺条件。非限制性实例包括工艺气体组成和流速、温度、压力、等离子体条件(例如RF偏置功率电平和曝光时间)等。这些参数可以以配方的形式提供给用户,配方可以使用用户界面输入。
用于监测工艺的信号可以由来自各种处理工具传感器的系统控制器250的模拟和/或数字输入连接来提供。可以在处理工具200的模拟和/或数字输出连接上输出用于控制工艺的信号。可以被监测的处理工具传感器的非限制性示例包括质量流量控制器(MFC)、压力传感器(例如压力计)、热电偶等。可以使用适当编程的反馈和控制算法与来自这些传感器的数据来维持工艺条件。例如,控制器可以包括用于例如在沉积工艺期间原位监测衬底和/或基座的温度的指令,以及用于响应于这种监测或测量来调节衬底和/或基座的温度的指令。例如,控制器可以监测和检测一个或多个处理站的温度,并且基于检测到的温度来调节在ALD工艺的沉积循环之间的衬底和基座的衬底支撑表面之间的间隔距离,以便升高或降低衬底的温度。
系统控制器250可以提供用于实现本文描述的沉积工艺的机器可读指令。指令可以控制各种工艺参数,例如DC功率电平、RF偏置功率电平、压力、温度等。指令可以根据本文所述的各种实施方式来控制参数以操作膜堆叠的原位沉积。
上述各种装置和方法可以结合光刻图案化工具和/或工艺使用,例如用于制备或制造半导体器件、显示器、LED、光伏面板等。通常,虽然不一定,但是会使用这样的工具,也可以在共同的制造设施中一起和/或同时进行这些工艺。
膜的光刻图案化通常包括以下操作中的一些或全部操作,每个操作都能够使用许多可能的工具进行:(1)使用旋涂或喷涂工具在衬底(例如在其上形成有氮化硅膜的衬底)上施加光致抗蚀剂;(2)使用热板或炉或其他合适的固化工具固化光致抗蚀剂;(3)用诸如衬底步进曝光机之类的工具将光致抗蚀剂暴露于可见光或UV或X射线光;(4)使用诸如湿式工作台或喷雾显影剂之类的工具显影抗蚀剂以选择性地除去抗蚀剂,从而将其图案化;(5)通过使用干蚀刻工具或等离子体辅助的蚀刻工具将抗蚀剂图案转移到下面的膜或衬底中;以及(6)使用诸如RF或微波等离子体抗蚀剂剥离剂之类的工具去除抗蚀剂。在一些实施方式中,可以在施加光致抗蚀剂之前沉积可灰化的(ashable)硬掩模层(例如非晶碳层)和另一合适的硬掩模(例如抗反射层)。
在衬底处理系统中在不同温度下沉积材料层
本文讨论的系统和装置可用于实现如上所述在不同温度下沉积材料层的技术。在一些这样的实施方式中,用于在多站半导体处理工具中执行膜沉积的系统可以包括本文描述的系统的一个或多个方面,例如基座中的加热元件和被配置为具有在不同的温度下的两个或两个以上站的多站反应器。
系统还可以包括控制器,如本文所述的系统控制器,例如图2的系统控制器250,系统控制器250用于控制系统在第一温度下在衬底上沉积材料的第一部分以及在第二温度下在衬底上沉积材料的第二部分,如上所述。控制器可以包括用于实现(包括上面关于图4、8和9描述的所有这些方面的)上述技术的一些或所有方面的控制逻辑。
例如,在一个实施方式中,多站沉积装置可以包括具有至少两个处理站的处理室,每个处理站具有基座,基座具有被配置为加热位于该处理站中的衬底的加热元件。例如,这可以包括图2所示的多站沉积装置。该装置还可以包括用于控制多站沉积装置以在两个或两个以上不同温度下将材料沉积到衬底上的控制器,如上所述。控制器可以包括用于执行上述技术的框的控制逻辑,上述技术包括图4的技术,图4的技术包括:(a)向多站沉积装置的第一站提供衬底,(b)通过所述衬底和所述第一基座之间的热传递来将衬底的温度调节到第一温度,(c)在所述衬底在所述第一站中处于第一温度下的同时,将所述材料的第一部分沉积在所述衬底上,(d)将所述衬底传送到第二站,(e)通过衬底和第二基座之间的热传递将衬底的温度调节至第二温度,以及(f)在衬底处于第二温度下时将材料的第二部分沉积在衬底上,使得第一部分和第二部分表现出材料的属性的不同值。
多站沉积装置还可以被配置为使得每个基座包括衬底支撑表面,被配置为使得衬底与衬底支撑表面接触,并且被配置为使得衬底与衬底分离支撑表面分开第一间隔距离和第二间隔距离。控制器还可以包括用于将衬底定位在第一间隔距离和第二间隔距离处的控制逻辑,以及用于在多站沉积装置的第一站处执行(a)到(c)、(e)和(f)的控制逻辑。另外,(b)还可以包括通过使衬底与第一站的基座的衬底支撑表面分离第一间隔距离来将衬底的温度调节至第一温度,(c)还可以包括当衬底与衬底支撑表面分离第一间隔距离时沉积材料的第一部分,(e)可以进一步包括通过使衬底与第一站的基座的衬底支撑表面分离第二间隔距离来将衬底的温度调节到第二温度,以及(f)还可以包括当衬底与第一站的基座的衬底支撑表面分离第二间隔距离时将材料沉积在衬底上。
在一些其他实施方式中,多站沉积装置可以如上文所述配置,但(b)可以包括当衬底与第一站的基座的衬底支撑表面分离第一间隔距离时通过衬底和第一站中的基座之间的热传递来将衬底的温度调节至第一温度。控制器还可以包括用于执行以下操作的控制逻辑:在(c)之后且在(d)之前,通过使衬底与第一站的基座的衬底支撑表面分离第二间隔距离来将衬底的温度调节至第三温度,以及在(c)之后且在(d)之前,在衬底处于第一站中、在第二间隔距离处且在第三温度下的同时,将材料的第三部分沉积在衬底上。这里,与材料的第一部分和第二部分中的一个或多个相比,第三部分可以表现出材料的属性的不同值。

Claims (36)

1.一种在多站沉积装置中将材料沉积到衬底上的方法,所述方法包括:
在所述多站沉积装置的第一站将所述衬底加热至第一温度;
在所述衬底在所述第一站中处于所述第一温度下时,将所述材料的第一部分沉积在所述衬底上;
将所述衬底从所述第一站传送到所述多站处理装置中的第二站并且将所述衬底的温度加热到第二温度;以及
在所述衬底处于所述第二温度下时,将所述材料的第二部分沉积在所述衬底上,其中所述第一部分和所述第二部分表现出所述材料的一个或多个属性的不同值。
2.根据权利要求1所述的方法,其中所述第二温度大于所述第一温度。
3.根据权利要求2所述的方法,还包括:
将所述衬底从所述第二站传送到所述多站沉积装置的第三站,并将所述衬底的温度调节到大于所述第二温度的所述第三温度;和
当所述衬底在第三站中处于第三温度时,将所述材料的第三部分沉积在所述衬底上,其中所述第二部分和所述第三部分表现出所述材料的一个或多个属性的不同值。
4.根据权利要求2所述的方法,还包括:
将所述衬底从所述第二站传送至所述多站沉积装置的第三站,并将所述衬底的温度调节至所述第二温度;和
当所述衬底在所述第三站中处于所述第二温度时,将所述材料的第三部分沉积在所述衬底上,其中所述第二部分和所述第三部分表现出所述材料的一个或多个属性的基本相同的值。
5.根据权利要求2所述的方法,还包括:
在将所述衬底传送到所述第二站之前,将所述衬底从所述第一站传送到所述多站沉积装置的第三站,并将所述衬底的温度调节到所述第一温度;和
当所述衬底在所述第三站中处于所述第一温度时,将所述材料的第三部分沉积在所述衬底上,其中所述第一部分和所述第三部分表现出所述材料的一个或多个属性的基本相同的值。
6.根据权利要求2所述的方法,其中所述第一温度为大约100℃,并且所述第二温度为大约200℃。
7.根据权利要求1所述的方法,其中所述第二温度小于所述第一温度。
8.根据权利要求7所述的方法,还包括:
将所述衬底从所述第二站传送到所述多站沉积装置的第三站,并将所述衬底的温度调节到小于所述第二温度的第三温度;和
当所述衬底在所述第三站处于所述第三温度时,将所述材料的第三部分沉积在所述衬底上,其中所述第二部分和所述第三部分表现出所述材料的一个或多个属性的不同值。
9.根据权利要求7所述的方法,还包括:
将所述衬底从所述第二站传送至所述多站沉积装置的第三站,并将所述衬底的温度调节至所述第二温度;和
当所述衬底在所述第三站中处于所述第二温度时,将所述材料的第三部分沉积在所述衬底上,其中所述第二部分和所述第三部分表现出所述材料的一个或多个属性的基本相同的值。
10.根据权利要求7所述的方法,还包括:
在将所述衬底传送到所述第二站之前,将所述衬底从所述第一站传送到所述多站沉积装置的第三站,并将所述衬底的温度调节到所述第一温度;和
当所述衬底在第三站中处于所述第一温度时,将所述材料的第三部分沉积在所述衬底上,其中所述第一部分和所述第三部分表现出所述材料的一个或多个属性的基本相同的值。
11.根据权利要求1所述的方法,其中所述材料是氮化硅,并且在其上沉积所述材料的所述衬底的表面包括钨。
12.根据权利要求1所述的方法,其中,所述材料是氮化硅,并且在其上沉积所述材料的所述衬底的表面包括硫族化物。
13.一种在多站沉积装置中将材料沉积到衬底上的方法,该方法包括:
通过将衬底放置成远离所述多站沉积装置的第一站处的第一基座的第一支撑表面第一间隔距离来将所述衬底加热至第一温度;
当所述衬底在所述第一站中处于所述第一温度时,将所述材料的第一部分沉积在所述衬底上;
在所述多站处理装置中将所述衬底从所述第一站传送到第二站;
通过将所述衬底放置成远离所述多站沉积装置的第二站处的第二基座的第二支撑表面的第二间隔距离来将所述衬底的温度调节至第二温度;和
当所述衬底处于所述第二温度时,将所述材料的第二部分沉积在所述衬底上。
14.根据权利要求13所述的方法,其中,所述第一部分和所述第二部分表现出所述材料的一个或多个属性的不同值。
15.根据权利要求13所述的方法,其中,所述第二间隔距离小于所述第一间隔距离。
16.如权利要求15所述的方法,还包括:
将所述衬底从所述第二站传送到所述多站沉积装置的第三站;
通过将所述衬底放置成远离所述多站沉积装置的所述第三站处的第三基座的第三支撑表面的第三间隔距离来将所述衬底的温度加热到大于所述第一温度和所述第二温度的第三温度,所述第三间隔距离小于所述第二间隔距离;和
当所述衬底在所述第三站中处于所述第三温度时,将所述材料的第三部分沉积在所述衬底上,其中所述第一部分、所述第二部分和所述第三部分表现出材料的一个或多个属性的不同值。
17.根据权利要求13所述的方法,其中,所述第二间隔距离大于所述第一间隔距离。
18.根据权利要求17所述的方法,还包括:
将衬底从所述第二站传送到所述多站沉积装置的第三站;
通过将所述衬底放置成远离所述多站沉积装置的所述第三站处的第三基座的第三支撑表面的第三间隔距离来将所述衬底的温度加热到小于所述第一温度和所述第二温度的第三温度,所述第三间隔距离大于所述第二间隔距离;和
当所述衬底在所述第三站中处于所述第三温度时,将所述材料的第三部分沉积在所述衬底上,其中所述第一部分、所述第二部分和所述第三部分表现出材料的一个或多个属性的不同值。
19.根据权利要求13所述的方法,其中,所述材料是氮化硅,并且在其上沉积所述材料的所述衬底的表面包括钨。
20.根据权利要求13所述的方法,其中,所述材料是氮化硅,并且其上沉积有所述材料的所述衬底的表面包括硫族化物。
21.一种多站沉积装置,该装置包括:
处理室;
在所述处理室中的第一处理站,所述第一处理站包括第一基座,该第一基座具有被配置为加热位于所述第一处理站中的衬底的第一加热元件;
在所述处理室中的第二处理站,所述第二处理站包括第二基座,该第二基座具有被配置为加热位于所述第二处理站中的衬底的第二加热元件;
衬底传送装置,用于在所述第一处理站和所述第二处理站之间传送一个或多个衬底;和
用于控制所述多站沉积装置以在不同温度下将材料沉积到衬底上的控制器,该控制器包括控制逻辑,该控制逻辑用于:
在所述第一处理站将所述衬底加热到第一温度,
在是第一处理站中的所述衬底处于所述第一温度时,将所述材料的第一部分沉积到所述衬底上,
将所述衬底从所述第一处理站传送到所述第二处理站,并将所述衬底的温度加热到第二温度,以及
当所述衬底处于所述第二温度时,将所述材料的第二部分沉积到所述衬底上,其中所述第一部分和所述第二部分表现出所述材料的一个或多个属性的不同值。
22.根据权利要求21所述的装置,其中,所述第二温度大于所述第一温度。
23.根据权利要求22所述的装置,还包括在所述处理室中的第三处理站,该第三处理站包括具有第三加热元件的第三基座,该第三加热元件被配置为加热位于第三处理站中的衬底,其中:
所述衬底传送装置还用于在所述第一处理站、所述第二处理站和所述第三处理站之间传送一个或多个衬底,
所述控制器还包括控制逻辑,该控制逻辑用于:
将所述衬底从所述第二处理站传送到所述第三处理站,并将所述衬底的温度调节到大于所述第二温度的第三温度,以及
当所述衬底在所述第三处理站中处于所述第三温度时,将所述材料的第三部分沉积在所述衬底上,其中所述第二部分和所述第三部分表现出所述材料的一个或多个属性的不同值。
24.根据权利要求22所述的装置,还包括在所述处理室中的第三处理站,所述第三处理站包括具有第三加热元件的第三基座,所述第三加热元件被配置为加热位于所述第三处理站中的衬底,其中:
所述衬底传送装置还用于在所述第一处理站、所述第二处理站和所述第三处理站之间传送一个或多个衬底,
所述控制器还包括控制逻辑,该控制逻辑用于:
将所述衬底从所述第二处理站传送到所述第三处理站,并将所述衬底的温度调节到所述第二温度,以及
当所述衬底在所述第三处理站处于所述第二温度时,将所述材料的第三部分沉积在所述衬底上,其中所述第二部分和所述第三部分表现出所述材料的一个或多个属性的基本相同的值。
25.根据权利要求22所述的装置,还包括位于所述处理室中的第三处理站,该第三处理站包括具有第三加热元件的第三基座,该第三加热元件被配置为加热位于所述第三处理站中的衬底,其中:
所述衬底传送装置还用于在所述第一处理站、所述第二处理站和所述第三处理站之间传送一个或多个衬底,
所述控制器还包括控制逻辑,所述控制逻辑用于:
在将所述衬底传送到所述第二处理站之前,将所述衬底从所述第一处理站传送到所述第三处理站,并将所述衬底的温度调节到所述第一温度,以及
当所述衬底在所述第三处理站处于所述第一温度时,将所述材料的第三部分沉积在所述衬底上,其中所述第一部分和所述第三部分表现出所述材料的一个或多个属性的基本相同的值。
26.根据权利要求22所述的装置,其中,所述第一温度为大约100℃,并且所述第二温度为大约200℃。
27.根据权利要求21所述的装置,其中,所述第二温度小于所述第一温度。
28.根据权利要求27所述的装置,还包括在所述处理室中的第三处理站,所述第三处理站包括具有第三加热元件的第三基座,所述第三加热元件被配置为加热位于所述第三处理站中的衬底,其中:
所述衬底传送装置还用于在所述第一处理站、所述第二处理站和所述第三处理站之间传送一个或多个衬底,
所述控制器还包括控制逻辑,该控制逻辑用于:
将所述衬底从所述第二处理站传送到所述第三处理站,并将所述衬底的温度调节到小于所述第二温度的第三温度,以及
当所述衬底在所述第三处理站处于所述第三温度时,将所述材料的第三部分沉积在所述衬底上,其中所述第二部分和所述第三部分表现出所述材料的一个或多个属性的不同值。
29.根据权利要求27所述的装置,还包括在所述处理室中的第三处理站,所述第三处理站包括具有第三加热元件的第三基座,所述第三加热元件被配置为加热位于所述第三处理站中的衬底,其中:
所述衬底传送装置还用于在所述第一处理站、所述第二处理站和所述第三处理站之间传送一个或多个衬底,
所述控制器还包括控制逻辑,该控制逻辑用于:
将所述衬底从所述第二处理站传送到所述第三处理站,并将所述衬底的温度调节到所述第二温度,以及
当所述衬底在所述第三处理站处于所述第二温度时,将所述材料的第三部分沉积在所述衬底上,其中所述第二部分和所述第三部分表现出所述材料的一个或多个属性的基本相同的值。
30.根据权利要求27所述的装置,还包括在所述处理室中的第三处理站,所述第三处理站包括具有第三加热元件的第三基座,所述第三加热元件被配置为加热位于所述第三处理站中的衬底,其中:
所述衬底传送装置还用于在所述第一处理站、所述第二处理站和所述第三处理站之间传送一个或多个衬底,
所述控制器还包括控制逻辑,所述控制逻辑用于:
在将所述衬底传送到所述第二处理站之前,将所述衬底从所述第一处理站传送到所述第三处理站,并将所述衬底的温度调节到所述第一温度,以及
当所述衬底在所述第三处理站处于所述第一温度时,将所述材料的第三部分沉积在所述衬底上,其中所述第一部分和所述第三部分表现出所述材料的一个或多个属性的基本相同的值。
31.一种多站沉积装置,该装置包括:
处理室;
在所述处理室中的第一处理站,该第一处理站包括第一基座,该第一基座具有被配置为加热位于所述第一处理站中的衬底的第一加热元件,其中所述第一基座包括第一衬底支撑表面,该第一基座被配置为使所述衬底接触所述第一衬底支撑表面,并且配置成使所述衬底与所述第一衬底支撑表面分开第一分隔距离和第二分隔距离;
在所述处理室中的第二处理站,该第二处理站包括第二基座,该第二基座具有被配置为加热位于所述第二处理站中的衬底的第二加热元件,其中所述第二基座包括第二衬底支撑表面,该第二基座被配置为使所述衬底接触所述第二衬底支撑表面,并且配置成使所述衬底与所述第二衬底支撑表面分开第三分隔距离和第四分隔距离;
衬底传送装置,用于在所述第一处理站和所述第二处理站之间传送一个或多个衬底;和
用于控制所述多站沉积装置以在不同温度下将材料沉积到衬底上的控制器,该控制器包括控制逻辑,所述控制逻辑用于:
通过将所述衬底放置成远离所述第一衬底支撑表面第一分割距离,将所述衬底加热到第一温度,
在所述第一处理站中的所述衬底处于所述第一温度时,将所述材料的第一部分沉积到所述衬底上,
将所述衬底从所述第一处理站传送到所述第二处理站,
通过将所述衬底放置成远离所述第二衬底支撑表面第三分割距离,将所述衬底的温度调节至第二温度;和
当所述衬底处于所述第二温度时,在所述衬底上沉积所述材料的第二部分。
32.根据权利要求31所述的装置,其中,所述第一部分和所述第二部分表现出所述材料的一个或多个属性的不同值。
33.根据权利要求31所述的装置,其中,所述第三分隔距离小于所述第一分隔距离。
34.如权利要求33所述的装置,还包括位于所述处理室中的第三处理站,该第三处理站包括具有第三加热元件的第三基座,该第三加热元件被配置为加热位于该第三处理站中的衬底,其中:
其中所述第三基座包括第三衬底支撑表面,该第三基座被配置为使所述衬底与第三衬底支撑表面接触,并且被配置为使所述衬底与所述第三衬底支撑表面分开第五分隔距离和第六分隔距离,
所述衬底传送装置还用于在所述第一处理站、所述第二处理站和所述第三处理站之间传送一个或多个衬底,并且
所述控制器还包括控制逻辑,该控制逻辑用于:
将所述衬底从所述第二处理站传送到所述第三处理站,
通过将所述衬底放置成远离所述第三衬底支撑表面的小于第三分隔距离的第五分隔距离,将所述衬底的温度加热到大于所述第一温度和所述第二温度的第三温度,以及
当所述衬底在所述第三处理站处于所述第三温度时,将所述材料的第三部分沉积在所述衬底上,其中所述第一部分、所述第二部分和所述第三部分表现出所述材料的一个或多个属性的不同值。
35.根据权利要求31所述的装置,其中,所述第三分隔距离大于所述第一分隔距离。
36.根据权利要求35所述的装置,其进一步包括在所述处理室中的第三处理站,所述第三处理站包括具有第三加热元件的第三基座,所述第三加热元件被配置为加热位于所述第三处理站中的衬底,其中:
其中,所述第三基座包括第三衬底支撑表面,所述第三基座被配置为使所述衬底与所述第三衬底支撑表面接触,并且被配置为使所述衬底与所述第三衬底支撑表面分开第五分隔距离和第六分隔距离,
所述衬底传送装置还用于在所述第一处理站、所述第二处理站和所述第三处理站之间传送一个或多个衬底,并且
所述控制器还包括控制逻辑,该控制逻辑用于:
将所述衬底从所述第二处理站传送到所述第三处理站,
通过将所述衬底放置成远离所述第三衬底支撑表面大于第三分隔距离的第五分隔距离,将所述衬底的温度加热到小于所述第一温度和所述第二温度的第三温度,以及
当所述衬底在所述第三处理站处于所述第三温度时,将所述材料的第三部分沉积在所述衬底上,其中所述第一部分、所述第二部分和所述第三部分表现出所述材料的一个或多个属性的不同值。
CN202010423093.4A 2016-08-09 2017-08-09 通过在整个沉积过程中改变晶片温度来抑制界面反应 Pending CN111663120A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/232,708 US10347547B2 (en) 2016-08-09 2016-08-09 Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US15/232,708 2016-08-09
CN201710673939.8A CN107699869B (zh) 2016-08-09 2017-08-09 通过在整个沉积过程中改变晶片温度来抑制界面反应

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201710673939.8A Division CN107699869B (zh) 2016-08-09 2017-08-09 通过在整个沉积过程中改变晶片温度来抑制界面反应

Publications (1)

Publication Number Publication Date
CN111663120A true CN111663120A (zh) 2020-09-15

Family

ID=61159319

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202010423093.4A Pending CN111663120A (zh) 2016-08-09 2017-08-09 通过在整个沉积过程中改变晶片温度来抑制界面反应
CN201710673939.8A Active CN107699869B (zh) 2016-08-09 2017-08-09 通过在整个沉积过程中改变晶片温度来抑制界面反应

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201710673939.8A Active CN107699869B (zh) 2016-08-09 2017-08-09 通过在整个沉积过程中改变晶片温度来抑制界面反应

Country Status (4)

Country Link
US (2) US10347547B2 (zh)
JP (1) JP7170386B2 (zh)
KR (3) KR102493002B1 (zh)
CN (2) CN111663120A (zh)

Families Citing this family (266)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US10643826B2 (en) * 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10613268B1 (en) * 2017-03-07 2020-04-07 Facebook Technologies, Llc High refractive index gratings for waveguide displays manufactured by self-aligned stacked process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20210150606A (ko) * 2019-05-01 2021-12-10 램 리써치 코포레이션 변조된 원자 층 증착
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11543296B2 (en) * 2019-05-31 2023-01-03 Applied Materials, Inc. Method and apparatus for calibration of substrate temperature using pyrometer
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN110690134B (zh) * 2019-09-12 2022-07-01 长江存储科技有限责任公司 多站式沉积工艺的串气检测方法、设备及可读存储介质
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
CN110672667B (zh) * 2019-10-17 2021-02-26 北京航空航天大学 一种用于测量等离子体沉积的动态压阻探针
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
JP7359000B2 (ja) * 2020-01-20 2023-10-11 東京エレクトロン株式会社 基板を処理する装置、及び基板を処理する方法
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
KR20210125155A (ko) * 2020-04-07 2021-10-18 삼성디스플레이 주식회사 표시 장치의 제조방법
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220012474A (ko) * 2020-07-22 2022-02-04 주식회사 원익아이피에스 박막 증착 방법 및 이를 이용한 반도체 소자의 제조방법
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11961716B2 (en) 2021-12-09 2024-04-16 Industrial Technology Research Institute Atomic layer deposition method

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200533424A (en) * 2004-01-26 2005-10-16 Applied Materials Inc Apparatus for electroless deposition of metals onto semiconductor substrates
US8137465B1 (en) * 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
CN103077917A (zh) * 2012-06-29 2013-05-01 光达光电设备科技(嘉兴)有限公司 衬底支撑座及应用所述衬底支撑座的半导体处理设备
KR20130067123A (ko) * 2011-12-13 2013-06-21 주식회사 케이씨텍 박막의 특성 향상을 위한 원자층 증착방법
CN105386012A (zh) * 2014-08-22 2016-03-09 朗姆研究公司 用于在低温ald系统中的稳定沉积率控制的方法和装置
CN107699869B (zh) * 2016-08-09 2020-06-16 朗姆研究公司 通过在整个沉积过程中改变晶片温度来抑制界面反应

Family Cites Families (224)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3020131A (en) 1959-10-22 1962-02-06 Knapic Electro Physics Inc Rotating pedestal
US3612825A (en) 1969-08-08 1971-10-12 Shatterproof Glass Corp Windowed high-temperature ovens
US3704219A (en) 1971-04-07 1972-11-28 Mcdowell Electronics Inc Impedance matching network for use with sputtering apparatus
US4457359A (en) 1982-05-25 1984-07-03 Varian Associates, Inc. Apparatus for gas-assisted, solid-to-solid thermal transfer with a semiconductor wafer
US4535835A (en) 1982-05-25 1985-08-20 Varian Associates, Inc. Optimum surface contour for conductive heat transfer with a thin flexible workpiece
US4563589A (en) 1984-01-09 1986-01-07 Scheffer Herbert D Ultraviolet curing lamp device
JPS61264649A (ja) 1985-05-20 1986-11-22 Ulvac Corp 基板冷却装置
US4615755A (en) 1985-08-07 1986-10-07 The Perkin-Elmer Corporation Wafer cooling and temperature control for a plasma etching system
JPS62229833A (ja) 1986-03-29 1987-10-08 Hitachi Ltd 光化学反応方法
JPS63307740A (ja) 1987-06-09 1988-12-15 Semiconductor Energy Lab Co Ltd 光化学反応処理装置
JPH01107519A (ja) 1987-10-20 1989-04-25 Nec Corp 気相成長装置
US4956582A (en) 1988-04-19 1990-09-11 The Boeing Company Low temperature plasma generator with minimal RF emissions
US4949783A (en) 1988-05-18 1990-08-21 Veeco Instruments, Inc. Substrate transport and cooling apparatus and method for same
JPH01296611A (ja) 1988-05-25 1989-11-30 Canon Inc 半導体薄膜堆積法
US5178682A (en) 1988-06-21 1993-01-12 Mitsubishi Denki Kabushiki Kaisha Method for forming a thin layer on a semiconductor substrate and apparatus therefor
JPH01319944A (ja) 1988-06-21 1989-12-26 Mitsubishi Electric Corp 半導体基板表面に薄膜を形成する方法およびその装置
JP3090339B2 (ja) 1990-03-19 2000-09-18 株式会社東芝 気相成長装置および方法
JPH03277774A (ja) 1990-03-27 1991-12-09 Semiconductor Energy Lab Co Ltd 光気相反応装置
KR940011708B1 (ko) 1990-04-09 1994-12-23 니찌덴 아네루바 가부시끼가이샤 기판온도제어기구
EP0706088A1 (en) 1990-05-09 1996-04-10 Canon Kabushiki Kaisha Photomask for use in etching patterns
US5195045A (en) 1991-02-27 1993-03-16 Astec America, Inc. Automatic impedance matching apparatus and method
JPH0812847B2 (ja) 1991-04-22 1996-02-07 株式会社半導体プロセス研究所 半導体製造装置及び半導体装置の製造方法
US5282121A (en) 1991-04-30 1994-01-25 Vari-Lite, Inc. High intensity lighting projectors
US5228208A (en) 1991-06-17 1993-07-20 Applied Materials, Inc. Method of and apparatus for controlling thermal gradient in a load lock chamber
JPH0531735A (ja) 1991-08-02 1993-02-09 Canon Inc 光学素子の成形装置
US5298939A (en) 1991-11-04 1994-03-29 Swanson Paul A Method and apparatus for transfer of a reticle pattern onto a substrate by scanning
JP2934084B2 (ja) 1991-11-25 1999-08-16 キヤノン株式会社 成形装置
JP3238200B2 (ja) 1992-07-17 2001-12-10 株式会社東芝 基体処理装置及び半導体素子製造方法
US5248922A (en) 1992-04-27 1993-09-28 Motion Control Technologies, Inc. Multi-DSP, multi-functional motion controller
US5339198A (en) 1992-10-16 1994-08-16 The Dow Chemical Company All-polymeric cold mirror
US7097712B1 (en) 1992-12-04 2006-08-29 Semiconductor Energy Laboratory Co., Ltd. Apparatus for processing a semiconductor
US5580388A (en) 1993-01-21 1996-12-03 Moore Epitaxial, Inc. Multi-layer susceptor for rapid thermal process reactors
JPH0790582A (ja) 1993-06-22 1995-04-04 Nissin Electric Co Ltd 基板保持装置
DE4418562A1 (de) 1993-06-29 1995-01-12 Leybold Durferrit Gmbh Verfahren und Vorrichtung zur Behandlung von im wesentlichen aus Kunststoff oder Gummi bestehendem Gut
US5407524A (en) 1993-08-13 1995-04-18 Lsi Logic Corporation End-point detection in plasma etching by monitoring radio frequency matching network
US5447431A (en) 1993-10-29 1995-09-05 Brooks Automation, Inc. Low-gas temperature stabilization system
JP3017631B2 (ja) 1993-11-24 2000-03-13 東京エレクトロン株式会社 低温処理装置の制御方法
US5588827A (en) 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US6015503A (en) 1994-06-14 2000-01-18 Fsi International, Inc. Method and apparatus for surface conditioning
US5562947A (en) 1994-11-09 1996-10-08 Sony Corporation Method and apparatus for isolating a susceptor heating element from a chemical vapor deposition environment
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JP3220619B2 (ja) 1995-05-24 2001-10-22 松下電器産業株式会社 ガス伝熱プラズマ処理装置
US5830277A (en) 1995-05-26 1998-11-03 Mattson Technology, Inc. Thermal processing system with supplemental resistive heater and shielded optical pyrometry
JP2814370B2 (ja) 1995-06-18 1998-10-22 東京エレクトロン株式会社 プラズマ処理装置
JPH0992615A (ja) 1995-09-27 1997-04-04 Sony Corp 半導体ウェハの冷却装置
US7025831B1 (en) 1995-12-21 2006-04-11 Fsi International, Inc. Apparatus for surface conditioning
WO1997031389A1 (fr) 1996-02-23 1997-08-28 Tokyo Electron Limited Dispositif de traitement thermique
US5656093A (en) 1996-03-08 1997-08-12 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
US5667592A (en) 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
US5811762A (en) 1996-09-25 1998-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Heater assembly with dual temperature control for use in PVD/CVD system
US5838121A (en) 1996-11-18 1998-11-17 Applied Materials, Inc. Dual blade robot
JPH10284360A (ja) 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US6214184B1 (en) 1997-05-14 2001-04-10 Taiwan Semiconductor Manufacturing Company, Ltd Insulated wafer pedestal
GB9711080D0 (en) 1997-05-29 1997-07-23 Imperial College Film or coating deposition on a substrate
US6113698A (en) 1997-07-10 2000-09-05 Applied Materials, Inc. Degassing method and apparatus
US6222161B1 (en) 1998-01-12 2001-04-24 Tokyo Electron Limited Heat treatment apparatus
US6072163A (en) 1998-03-05 2000-06-06 Fsi International Inc. Combination bake/chill apparatus incorporating low thermal mass, thermally conductive bakeplate
US6273022B1 (en) 1998-03-14 2001-08-14 Applied Materials, Inc. Distributed inductively-coupled plasma source
JP3758009B2 (ja) 1998-07-01 2006-03-22 日本エー・エス・エム株式会社 半導体処理用の基板保持装置
US6232248B1 (en) 1998-07-03 2001-05-15 Tokyo Electron Limited Single-substrate-heat-processing method for performing reformation and crystallization
US6219219B1 (en) 1998-09-30 2001-04-17 Applied Materials, Inc. Cathode assembly containing an electrostatic chuck for retaining a wafer in a semiconductor wafer processing system
US6239018B1 (en) 1999-02-01 2001-05-29 United Microelectronics Corp. Method for forming dielectric layers
US6087632A (en) 1999-01-11 2000-07-11 Tokyo Electron Limited Heat processing device with hot plate and associated reflector
JP4111625B2 (ja) 1999-03-31 2008-07-02 芝浦メカトロニクス株式会社 真空処理装置の基板冷却台
WO2000070666A1 (fr) 1999-05-14 2000-11-23 Tokyo Electron Limited Technique de traitement et dispositif correspondant
JP2001104776A (ja) 1999-10-06 2001-04-17 Tokyo Electron Ltd 処理装置及び処理方法
US6320736B1 (en) 1999-05-17 2001-11-20 Applied Materials, Inc. Chuck having pressurized zones of heat transfer gas
US6376387B2 (en) 1999-07-09 2002-04-23 Applied Materials, Inc. Method of sealing an epitaxial silicon layer on a substrate
US6307184B1 (en) 1999-07-12 2001-10-23 Fsi International, Inc. Thermal processing chamber for heating and cooling wafer-like objects
JP2001044178A (ja) 1999-07-30 2001-02-16 Matsushita Electronics Industry Corp 基板処理方法および基板処理装置
US6228438B1 (en) 1999-08-10 2001-05-08 Unakis Balzers Aktiengesellschaft Plasma reactor for the treatment of large size substrates
KR100338057B1 (ko) 1999-08-26 2002-05-24 황 철 주 유도 결합형 플라즈마 발생용 안테나 장치
US6410457B1 (en) 1999-09-01 2002-06-25 Applied Materials, Inc. Method for improving barrier layer adhesion to HDP-FSG thin films
US6561796B1 (en) 1999-09-07 2003-05-13 Novellus Systems, Inc. Method of semiconductor wafer heating to prevent bowing
KR100389913B1 (ko) 1999-12-23 2003-07-04 삼성전자주식회사 공정조건을 변화시키면서 화학기상 증착법으로 루테늄막을형성하는 방법 및 그에 의해 형성된 루테늄막
US6803237B2 (en) 2000-01-25 2004-10-12 Woods Hole Oceanographic Institution Sequential processing reaction vessel for chemical fractionation and analysis
US6534751B2 (en) 2000-02-28 2003-03-18 Kyocera Corporation Wafer heating apparatus and ceramic heater, and method for producing the same
US6444039B1 (en) 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US20030157267A1 (en) 2000-03-20 2003-08-21 Carlo Waldfried Fluorine-free plasma curing process for porous low-k materials
JP4540796B2 (ja) 2000-04-21 2010-09-08 東京エレクトロン株式会社 石英ウインドウ、リフレクタ及び熱処理装置
JP3516392B2 (ja) 2000-06-16 2004-04-05 イビデン株式会社 半導体製造・検査装置用ホットプレート
US6860965B1 (en) 2000-06-23 2005-03-01 Novellus Systems, Inc. High throughput architecture for semiconductor processing
JP4731694B2 (ja) 2000-07-21 2011-07-27 東京エレクトロン株式会社 半導体装置の製造方法および基板処理装置
CN1446127A (zh) 2000-08-04 2003-10-01 S·C·流体公司 带防护罩机构的倒置压力容器
DE10043234A1 (de) 2000-09-02 2002-03-14 Stihl Maschf Andreas Ventiltrieb mit einem Kipphebel
JP2004523880A (ja) 2000-09-15 2004-08-05 アプライド マテリアルズ インコーポレイテッド 処理装置用ダブル二重スロット式ロードロック
JP2002134484A (ja) 2000-10-19 2002-05-10 Asm Japan Kk 半導体基板保持装置
US6413321B1 (en) 2000-12-07 2002-07-02 Applied Materials, Inc. Method and apparatus for reducing particle contamination on wafer backside during CVD process
TWI313059B (zh) 2000-12-08 2009-08-01 Sony Corporatio
US6544340B2 (en) 2000-12-08 2003-04-08 Applied Materials, Inc. Heater with detachable ceramic top plate
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6559424B2 (en) 2001-01-02 2003-05-06 Mattson Technology, Inc. Windows used in thermal processing chambers
JP2002246375A (ja) 2001-02-21 2002-08-30 Matsushita Electric Ind Co Ltd プラズマ処理装置
US20020117109A1 (en) 2001-02-27 2002-08-29 Hazelton Andrew J. Multiple stage, stage assembly having independent reaction force transfer
WO2002071446A2 (en) 2001-03-02 2002-09-12 Tokyo Electron Limited Method and apparatus for active temperature control of susceptors
US6563686B2 (en) 2001-03-19 2003-05-13 Applied Materials, Inc. Pedestal assembly with enhanced thermal conductivity
US20020179006A1 (en) 2001-04-20 2002-12-05 Memc Electronic Materials, Inc. Method for the preparation of a semiconductor substrate with a non-uniform distribution of stabilized oxygen precipitates
JP3825277B2 (ja) 2001-05-25 2006-09-27 東京エレクトロン株式会社 加熱処理装置
US6529686B2 (en) 2001-06-06 2003-03-04 Fsi International, Inc. Heating member for combination heating and chilling apparatus, and methods
JP3713220B2 (ja) 2001-06-15 2005-11-09 日本特殊陶業株式会社 セラミックヒータ
JP4682456B2 (ja) 2001-06-18 2011-05-11 株式会社日立ハイテクノロジーズ 基板処理方法及び基板処理装置
KR20020096524A (ko) 2001-06-20 2002-12-31 삼성전자 주식회사 반도체 장치 제조용 공정챔버의 웨이퍼 안착 구조
JP2003059999A (ja) 2001-08-14 2003-02-28 Tokyo Electron Ltd 処理システム
CN1274874C (zh) 2001-08-14 2006-09-13 三星康宁株式会社 在玻璃衬底上淀积薄膜的设备和方法
JP4821074B2 (ja) 2001-08-31 2011-11-24 東京エレクトロン株式会社 処理システム
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6752948B2 (en) 2001-10-03 2004-06-22 3D Systems, Inc. Post processing three-dimensional objects formed by selective deposition modeling
US6563092B1 (en) 2001-11-28 2003-05-13 Novellus Systems, Inc. Measurement of substrate temperature in a process chamber using non-contact filtered infrared pyrometry
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
JP2003213430A (ja) 2002-01-18 2003-07-30 Mitsubishi Heavy Ind Ltd 製膜装置の基板加熱装置
US6646233B2 (en) 2002-03-05 2003-11-11 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus and wafer processing method
US6899765B2 (en) 2002-03-29 2005-05-31 Applied Materials Israel, Ltd. Chamber elements defining a movable internal chamber
US20030199603A1 (en) 2002-04-04 2003-10-23 3M Innovative Properties Company Cured compositions transparent to ultraviolet radiation
JP3588457B2 (ja) 2002-04-26 2004-11-10 京セラ株式会社 ウェハ加熱装置
JP3090339U (ja) 2002-05-29 2002-12-06 アルプス電気株式会社 測定装置
KR20030096732A (ko) 2002-06-17 2003-12-31 삼성전자주식회사 반도체소자 제조용 화학기상증착장치의 쿨링 스테이지
KR100515052B1 (ko) 2002-07-18 2005-09-14 삼성전자주식회사 반도체 기판상에 소정의 물질을 증착하는 반도체 제조 장비
JP4133062B2 (ja) 2002-07-19 2008-08-13 大日本スクリーン製造株式会社 熱処理装置
JP2005538566A (ja) 2002-09-10 2005-12-15 アクセリス テクノロジーズ, インコーポレイテッド 温度固定されたチャックを用いた温度可変プロセスにおける基板の加熱方法
US6768084B2 (en) 2002-09-30 2004-07-27 Axcelis Technologies, Inc. Advanced rapid thermal processing (RTP) using a linearly-moving heating assembly with an axisymmetric and radially-tunable thermal radiation profile
US7404990B2 (en) 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US6887523B2 (en) 2002-12-20 2005-05-03 Sharp Laboratories Of America, Inc. Method for metal oxide thin film deposition via MOCVD
JP4212888B2 (ja) 2002-12-26 2009-01-21 三菱化学エンジニアリング株式会社 プレート型触媒反応器
DE10261362B8 (de) 2002-12-30 2008-08-28 Osram Opto Semiconductors Gmbh Substrat-Halter
US7767056B2 (en) 2003-01-14 2010-08-03 Canon Anelva Corporation High-frequency plasma processing apparatus
JP4382750B2 (ja) 2003-01-24 2009-12-16 東京エレクトロン株式会社 被処理基板上にシリコン窒化膜を形成するcvd方法
US7265061B1 (en) 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
US6933004B2 (en) 2003-05-20 2005-08-23 Lucent Technologies Inc. Control of stress in metal films by controlling the temperature during film deposition
JP3929939B2 (ja) 2003-06-25 2007-06-13 株式会社東芝 処理装置、製造装置、処理方法及び電子装置の製造方法
US7154731B1 (en) 2003-07-18 2006-12-26 Novellus Systems, Inc. Reflective coating for electrostatic chucks
JP4540953B2 (ja) 2003-08-28 2010-09-08 キヤノンアネルバ株式会社 基板加熱装置及びマルチチャンバー基板処理装置
US7264676B2 (en) 2003-09-11 2007-09-04 United Microelectronics Corp. Plasma apparatus and method capable of adaptive impedance matching
JP2005116655A (ja) 2003-10-06 2005-04-28 Canon Inc ロードロックチャンバー、露光装置、デバイスの製造方法、真空排気方法
US7024105B2 (en) 2003-10-10 2006-04-04 Applied Materials Inc. Substrate heater assembly
US8536492B2 (en) 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
US7410355B2 (en) 2003-10-31 2008-08-12 Asm International N.V. Method for the heat treatment of substrates
JP4376070B2 (ja) 2004-01-14 2009-12-02 日本碍子株式会社 加熱装置
US7256111B2 (en) 2004-01-26 2007-08-14 Applied Materials, Inc. Pretreatment for electroless deposition
US7824498B2 (en) 2004-02-24 2010-11-02 Applied Materials, Inc. Coating for reducing contamination of substrates during processing
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US7169256B2 (en) 2004-05-28 2007-01-30 Lam Research Corporation Plasma processor with electrode responsive to multiple RF frequencies
US20050279384A1 (en) 2004-06-17 2005-12-22 Guidotti Emmanuel P Method and processing system for controlling a chamber cleaning process
TW200612512A (en) 2004-06-28 2006-04-16 Ngk Insulators Ltd Substrate heating sapparatus
US7332445B2 (en) 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
US20060105114A1 (en) * 2004-11-16 2006-05-18 White John M Multi-layer high quality gate dielectric for low-temperature poly-silicon TFTs
DE102004055449B4 (de) 2004-11-17 2008-10-23 Steag Hamatech Ag Verfahren und Vorrichtung zum thermischen Behandeln von Substraten
US20070196011A1 (en) 2004-11-22 2007-08-23 Cox Damon K Integrated vacuum metrology for cluster tool
TW200723352A (en) 2004-12-06 2007-06-16 Axcelis Tech Inc Medium pressure plasma system for removal of surface layers without substrate loss
US20060130757A1 (en) 2004-12-22 2006-06-22 Yicheng Li Apparatus for active dispersion of precursors
JP2006210372A (ja) 2005-01-25 2006-08-10 Sony Corp 半導体製造装置および半導体製造方法
KR100702010B1 (ko) 2005-03-07 2007-03-30 삼성전자주식회사 반사체, 이를 채택하는 기판 처리 장치 및 이를 사용하는기판 처리 방법
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US20100270004A1 (en) 2005-05-12 2010-10-28 Landess James D Tailored profile pedestal for thermo-elastically stable cooling or heating of substrates
US7194199B2 (en) 2005-06-03 2007-03-20 Wafermasters, Inc. Stacked annealing system
US20070006893A1 (en) 2005-07-08 2007-01-11 Bing Ji Free radical initiator in remote plasma chamber clean
US7941039B1 (en) 2005-07-18 2011-05-10 Novellus Systems, Inc. Pedestal heat transfer and temperature control
US20070029046A1 (en) 2005-08-04 2007-02-08 Applied Materials, Inc. Methods and systems for increasing substrate temperature in plasma reactors
CN100358097C (zh) 2005-08-05 2007-12-26 中微半导体设备(上海)有限公司 半导体工艺处理系统及其处理方法
US7956310B2 (en) 2005-09-30 2011-06-07 Tokyo Electron Limited Stage, substrate processing apparatus, plasma processing apparatus, control method for stage, control method for plasma processing apparatus, and storage media
JP2007158074A (ja) 2005-12-06 2007-06-21 Dainippon Screen Mfg Co Ltd 基板熱処理装置
JP2009518854A (ja) 2005-12-07 2009-05-07 アクセリス テクノロジーズ インコーポレーテッド 基板損失のない表面層除去のための中圧プラズマシステム
JP2007194582A (ja) 2005-12-20 2007-08-02 Tokyo Electron Ltd 高誘電体薄膜の改質方法及び半導体装置
US7845891B2 (en) 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
JP4497103B2 (ja) 2006-02-21 2010-07-07 住友電気工業株式会社 ウェハ保持体およびそれを搭載したヒータユニット、ウェハプローバ
JP4702799B2 (ja) 2006-03-17 2011-06-15 ルネサスエレクトロニクス株式会社 ボルト及び半導体製造装置
US7432195B2 (en) 2006-03-29 2008-10-07 Tokyo Electron Limited Method for integrating a conformal ruthenium layer into copper metallization of high aspect ratio features
KR20070115248A (ko) 2006-06-01 2007-12-05 삼성전자주식회사 웨이퍼 지지 장치
US7665951B2 (en) 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US20070283709A1 (en) 2006-06-09 2007-12-13 Veeco Instruments Inc. Apparatus and methods for managing the temperature of a substrate in a high vacuum processing system
JP5347214B2 (ja) 2006-06-12 2013-11-20 東京エレクトロン株式会社 載置台構造及び熱処理装置
JP2008112826A (ja) 2006-10-30 2008-05-15 Elpida Memory Inc 半導体装置の製造方法
US20080102644A1 (en) 2006-10-31 2008-05-01 Novellus Systems, Inc. Methods for removing photoresist from a semiconductor substrate
US7704894B1 (en) * 2006-11-20 2010-04-27 Novellus Systems, Inc. Method of eliminating small bin defects in high throughput TEOS films
US7960297B1 (en) 2006-12-07 2011-06-14 Novellus Systems, Inc. Load lock design for rapid wafer heating
US7870804B2 (en) 2006-12-08 2011-01-18 GM Global Technologies Operations LLC Multi-speed dual clutch transmission
US8222574B2 (en) 2007-01-15 2012-07-17 Applied Materials, Inc. Temperature measurement and control of wafer support in thermal processing chamber
KR100836183B1 (ko) 2007-01-16 2008-06-09 (주)나노테크 히터 조립체 및 그 설치구조
KR20080072275A (ko) 2007-02-01 2008-08-06 세메스 주식회사 반도체 제조용 애싱 장비 및 그 구동방법
JP2008192840A (ja) 2007-02-05 2008-08-21 Tokyo Electron Ltd 真空処理装置及び真空処理方法並びに記憶媒体
US9383138B2 (en) 2007-03-30 2016-07-05 Tokyo Electron Limited Methods and heat treatment apparatus for uniformly heating a substrate during a bake process
CN100590804C (zh) 2007-06-22 2010-02-17 中芯国际集成电路制造(上海)有限公司 原子层沉积方法以及形成的半导体器件
JP5135915B2 (ja) 2007-06-28 2013-02-06 東京エレクトロン株式会社 載置台構造及び熱処理装置
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US7831135B2 (en) 2007-09-04 2010-11-09 Sokudo Co., Ltd. Method and system for controlling bake plate temperature in a semiconductor processing chamber
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8137467B2 (en) 2007-10-16 2012-03-20 Novellus Systems, Inc. Temperature controlled showerhead
US8052419B1 (en) 2007-11-08 2011-11-08 Novellus Systems, Inc. Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation
US9002514B2 (en) 2007-11-30 2015-04-07 Novellus Systems, Inc. Wafer position correction with a dual, side-by-side wafer transfer robot
US8033769B2 (en) 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
US8047706B2 (en) 2007-12-07 2011-11-01 Asm America, Inc. Calibration of temperature control system for semiconductor processing chamber
US20090206056A1 (en) 2008-02-14 2009-08-20 Songlin Xu Method and Apparatus for Plasma Process Performance Matching in Multiple Wafer Chambers
JP2009218536A (ja) 2008-03-13 2009-09-24 Seiko Epson Corp 基板加熱装置および電気光学装置の製造装置
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US20090277472A1 (en) 2008-05-06 2009-11-12 Novellus Systems, Inc. Photoresist Stripping Method and Apparatus
US8288288B1 (en) 2008-06-16 2012-10-16 Novellus Systems, Inc. Transferring heat in loadlocks
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
US8033771B1 (en) 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
CN102341902A (zh) 2009-03-03 2012-02-01 东京毅力科创株式会社 载置台结构、成膜装置和原料回收方法
US20100247804A1 (en) 2009-03-24 2010-09-30 Applied Materials, Inc. Biasable cooling pedestal
KR20110137775A (ko) 2009-03-26 2011-12-23 파나소닉 주식회사 플라즈마 처리 장치 및 플라즈마 처리 방법
KR20110054840A (ko) 2009-11-18 2011-05-25 주식회사 아토 샤워헤드 어셈블리 및 이를 구비한 박막증착장치
US20120074126A1 (en) 2010-03-26 2012-03-29 Applied Materials, Inc. Wafer profile modification through hot/cold temperature zones on pedestal for semiconductor manufacturing equipment
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9546416B2 (en) * 2010-09-13 2017-01-17 Semiconductor Energy Laboratory Co., Ltd. Method of forming crystalline oxide semiconductor film
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
WO2013049589A1 (en) 2011-09-30 2013-04-04 Applied Materials, Inc. Electrostatic chuck with temperature control
US20130145989A1 (en) 2011-12-12 2013-06-13 Intermolecular, Inc. Substrate processing tool showerhead
CN104040710B (zh) 2012-01-06 2017-11-28 诺发系统公司 用于均匀传热的自适应传热方法和系统
US20140170857A1 (en) 2012-12-18 2014-06-19 Intermolecular, Inc. Customizing Etch Selectivity with Sequential Multi-Stage Etches with Complementary Etchants
US9589808B2 (en) * 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
JP6410622B2 (ja) * 2014-03-11 2018-10-24 東京エレクトロン株式会社 プラズマ処理装置及び成膜方法
US9797042B2 (en) 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
US20170029948A1 (en) * 2015-07-28 2017-02-02 Asm Ip Holding B.V. Methods and apparatuses for temperature-indexed thin film deposition
US11421321B2 (en) * 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US9508547B1 (en) 2015-08-17 2016-11-29 Lam Research Corporation Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors
US10541663B2 (en) * 2015-10-14 2020-01-21 Qorvo Us, Inc. Multi-stage deposition system for growth of inclined c-axis piezoelectric material structures
JP7017306B2 (ja) 2016-11-29 2022-02-08 株式会社日立ハイテク 真空処理装置

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200533424A (en) * 2004-01-26 2005-10-16 Applied Materials Inc Apparatus for electroless deposition of metals onto semiconductor substrates
US8137465B1 (en) * 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
KR20130067123A (ko) * 2011-12-13 2013-06-21 주식회사 케이씨텍 박막의 특성 향상을 위한 원자층 증착방법
CN103077917A (zh) * 2012-06-29 2013-05-01 光达光电设备科技(嘉兴)有限公司 衬底支撑座及应用所述衬底支撑座的半导体处理设备
CN105386012A (zh) * 2014-08-22 2016-03-09 朗姆研究公司 用于在低温ald系统中的稳定沉积率控制的方法和装置
CN107699869B (zh) * 2016-08-09 2020-06-16 朗姆研究公司 通过在整个沉积过程中改变晶片温度来抑制界面反应

Also Published As

Publication number Publication date
KR102493002B1 (ko) 2023-01-27
KR20230018507A (ko) 2023-02-07
KR102662595B1 (ko) 2024-05-02
JP7170386B2 (ja) 2022-11-14
KR20180018325A (ko) 2018-02-21
US20180047645A1 (en) 2018-02-15
JP2018026555A (ja) 2018-02-15
CN107699869B (zh) 2020-06-16
CN107699869A (zh) 2018-02-16
US20200066607A1 (en) 2020-02-27
US10347547B2 (en) 2019-07-09
KR20240059612A (ko) 2024-05-07
US11075127B2 (en) 2021-07-27

Similar Documents

Publication Publication Date Title
CN107699869B (zh) 通过在整个沉积过程中改变晶片温度来抑制界面反应
US10577691B2 (en) Single ALD cycle thickness control in multi-station substrate deposition systems
TWI776792B (zh) 硫族材料之封裝方法以及記憶體裝置
KR102446502B1 (ko) 암모니아 프리 및 염소 프리 컨포멀 실리콘 나이트라이드 막을 증착하는 방법
CN109913852B (zh) 抑制喷头背面寄生等离子体的方法和装置
TWI743135B (zh) 用於膜輪廓調變之噴淋頭簾幕氣體方法及系統
KR101701024B1 (ko) 컨포멀한 알루미늄 질화물을 위한 고 성장 레이트 프로세스
CN111133554B (zh) 用于晶片间膜厚度匹配的通过随室堆积量变化调制沉积循环数量的厚度补偿
KR20160028360A (ko) 샤워헤드 능동 가열 및/또는 페데스탈 냉각에 의한 저온 ald 시스템들에서의 안정된 증착 레이트 제어를 위한 방법들 및 장치들
CN111819659A (zh) 基于蚀刻残渣的抑制剂的选择性处理
WO2021011950A1 (en) Modulation of oxidation profile for substrate processing
JP2023501371A (ja) 高周波電力増加によるプラズマ強化原子層堆積
CN115244664A (zh) 用于减少euv图案化缺陷的多层硬掩模
CN118119732A (zh) 用于半导体处理的阀歧管

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination