JP7170386B2 - 堆積期間にわたる基板温度を変化させることによる界面反応の抑制 - Google Patents

堆積期間にわたる基板温度を変化させることによる界面反応の抑制 Download PDF

Info

Publication number
JP7170386B2
JP7170386B2 JP2017148915A JP2017148915A JP7170386B2 JP 7170386 B2 JP7170386 B2 JP 7170386B2 JP 2017148915 A JP2017148915 A JP 2017148915A JP 2017148915 A JP2017148915 A JP 2017148915A JP 7170386 B2 JP7170386 B2 JP 7170386B2
Authority
JP
Japan
Prior art keywords
substrate
temperature
station
pedestal
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017148915A
Other languages
English (en)
Other versions
JP2018026555A5 (ja
JP2018026555A (ja
Inventor
セシャサイー・バラダラジャン
アーロン・アール.・フェリス
アンドリュー・ジョン・マッケロウ
ジェームズ・サミュエル・シムズ
ラメッシュ・チャンドラセカーラン
ジョン・ヘンリー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2018026555A publication Critical patent/JP2018026555A/ja
Publication of JP2018026555A5 publication Critical patent/JP2018026555A5/ja
Application granted granted Critical
Publication of JP7170386B2 publication Critical patent/JP7170386B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/305Sulfides, selenides, or tellurides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece

Description

一部の半導体製造処理は、半導体基板またはウエハ上に1または複数の材料層を堆積させる。集積回路製造者および装置設計者は、様々な処理および装置構成を用いて、材料堆積の間および後の両方に所望の属性を備えた膜を製造する。材料堆積システム(化学蒸着チャンバなど)は、堆積材料の全属性を制御するために異なる処理条件で動作されうる。しかしながら、多くの要件を満たす属性を備えた膜を生み出す処理条件を規定することには、課題が残る。
一実施形態において、マルチステーション堆積装置内で基板上に材料を堆積させる方法が提供されうる。その方法は、(a)マルチステーション堆積装置の第1ステーションに基板を提供し、(b)基板と第1ステーション内のペデスタルとの間の熱伝導によって基板の温度を第1温度に調整し、(c)基板が第1ステーション内で第1温度である間に、基板上に材料の第1部分を堆積させ、(d)基板をマルチステーション堆積装置内の第2ステーションに搬送し、(e)基板と第2ステーション内のペデスタルとの間の熱伝導によって基板の温度を第2温度に調整し、(f)基板が第2温度である間に、基板上に材料の第2部分を堆積させること、を備えてよく、第1部分および第2部分は、材料の属性について異なる値を示しうる。
いくつかの実施形態において、基板が第1温度である間に、基板上に材料を堆積させることは、属性の第1値を有する第1層として材料の第1部分を堆積させてよく、基板が第2温度である間に、基板上に材料を堆積させることは、属性の第2値を有する第2層として材料の第2部分を堆積させてよい。
いくつかの実施形態において、属性は、第1ウェットエッチング速度、第1組成、または、第1密度であってよい。
いくつかの実施形態において、(b)は、第1ステーションのペデスタル内の加熱素子を用いて、基板の温度を第1温度に調整することを含んでよく、(e)は、第2ステーションのペデスタル内の加熱素子を用いて、基板の温度を第2温度に調整することを含んでよい。
いくつかの実施形態において、方法は、さらに、(g)(f)の後に、マルチステーション堆積装置の第3ステーションに基板を提供し、(h)基板と第3ステーション内のペデスタルとの間の熱伝導によって基板の温度を第3温度に調整し、(i)基板が第3温度である間に、基板上に材料の第3部分を堆積させること、を備えてもよい。
いくつかのかかる実施形態において、第3温度は、第1温度、第2温度、または、第1温度でも第2温度でもない温度であってよい。
いくつかのさらなるかかる実施形態において、方法は、さらに、(j)(i)の後に、マルチステーション堆積装置の第4ステーションに基板を提供し、(k)基板と第4ステーション内のペデスタルとの間の熱伝導によって基板の温度を第4温度に調整し、(l)基板が第4温度である間に、基板上に材料の第4部分を堆積させること、を備えてもよい。
いくつかのさらなるかかる実施形態において、第4温度は、第1温度、第2温度、第3温度、または、第1温度でも第2温度でも第3温度でもない温度であってよい。
いくつかの実施形態において、(c)は、N1回の堆積サイクルにわたって基板上に材料の第1部分を堆積させることを含んでよく、1堆積サイクルは、1ステーションで堆積される材料層の全厚の一部を集合的に堆積させる一連の処理工程であってよく、(f)は、N2回の堆積サイクルにわたって基板上に材料の第2部分を堆積させることを含んでよく、1堆積サイクルは、1ステーションで堆積される材料層の全厚の一部を集合的に堆積させる一連の処理工程であってよい。
いくつかの実施形態において、(c)は、期間t1中に基板上に材料の第1部分を堆積させることを含んでよく、(f)は、期間t2中に基板上に材料の第2部分を堆積させることを含んでよい。
いくつかの実施形態において、方法は、さらに、(c)で材料の第1部分を堆積させる際に、基板を第1ステーションのペデスタルの基板支持面に向かってまたは基板支持面から離れるように移動させることで、基板とペデスタルとの間の分離距離を調整して、さらに、第1ステーション内の基板の温度を調整することを備えてもよい。
いくつかの実施形態において、(b)基板の温度を第1温度に調整することは、第1ステーションのペデスタルの基板支持面から第1分離距離だけ基板を分離させることを含んでよい。方法は、さらに、(c)の後であって(d)の前に、第1ステーションのペデスタルの基板支持面から第2分離距離だけ基板を分離させることによって基板の温度を第3温度に調整し、(c)の後であって(d)の前に、基板が第1ステーション内で第2分離距離および第3温度である間に、基板上に材料の第3部分を堆積させること、を備えてもよい。第3部分は、材料の第1部分および第2部分の一方または両方と異なる値の材料の属性を示しうる。
いくつかのかかる実施形態において、(e)は、第2ステーション内のペデスタルの基板支持面から第3分離距離だけ基板を分離させることによって、基板の温度を第2温度に調整することを含んでもよい。方法は、さらに、(f)の後に、第2ステーションのペデスタルの基板支持面から第4分離距離だけ基板を分離させることによって基板の温度を第4温度に調整することと、(f)の後に、基板が第2ステーション内で第4温度である間に、基板上に材料の第4部分を堆積させること、を備えてもよい。第4部分は、材料の第1部分、第2部分、および、第3部分の1または複数と異なる値の材料の属性を示しうる。
いくつかの別のかかる実施形態において、第1分離距離は、基板が基板支持面に接触するように、実質的にゼロであってよい。
いくつかの別のかかる実施形態において、(e)は、基板が静止したままで、基板から離れるように垂直にペデスタルを移動させることによって、ペデスタルの基板支持面から第2分離距離だけ基板を分離させることを含んでよい。
いくつかの別のかかる実施形態において、(e)は、ペデスタルが静止したままで、持ち上げ機構を用いてペデスタルから離れるように垂直に基板を移動させることによって、ペデスタルの基板支持面から第2分離距離だけ基板を分離させることを含んでもよい。
いくつかの実施形態において、マルチステーション堆積装置が提供されうる。装置は、処理チャンバと、処理チャンバ内の第1処理ステーションであって、第1処理ステーション内に配置されている基板を加熱するよう構成されている第1加熱素子を有する第1ペデスタルを備える、第1処理ステーションと、処理チャンバ内の第2処理ステーションであって、第2処理ステーション内に配置されている基板を加熱するよう構成されている第2加熱素子を有する第2ペデスタルを備える、第2処理ステーションと、第1処理ステーションと第2処理ステーションとの間で1または複数の基板を移動させるための基板搬送装置と、異なる温度で基板上に材料を堆積させるようにマルチステーション堆積装置を制御するためのコントローラと、を備えてよい。コントローラは、(a)マルチステーション堆積装置の第1ステーションに基板を提供し、(b)基板と第1ペデスタルとの間の熱伝導によって基板の温度を第1温度に調整し、(c)基板が第1ステーション内で第1温度である間に、基板上に材料の第1部分を堆積し、(d)基板を第2ステーションに移動させ、(e)基板と第2ペデスタルとの間の熱伝導によって基板の温度を第2温度に調整し、(f)基板が第2温度である間に、基板上に材料の第2部分を堆積させるための制御ロジックを備えてよい。第1部分および第2部分は、材料の属性について異なる値を示しうる。
いくつかの実施形態において、各ペデスタルは、基板支持面を備えてよく、基板支持面に基板を接触させるよう構成されてよく、第1分離距離および第2分離距離だけ基板支持面から基板を分離させるよう構成されてよい。コントローラは、さらに、基板を第1分離距離および第2分離距離に配置するための制御ロジックと、マルチステーション堆積装置の第1ステーションで(a)~(c)、(e)、および、(f)を実行するための制御ロジックとを備えてもよい。さらに、(b)は、第1ステーションのペデスタルの基板支持面から第1分離距離だけ基板を分離させることによって基板の温度を第1温度に調整することを含んでよく、(c)は、基板が基板支持面から第1分離距離だけ分離されている間に、材料の第1部分を堆積させることを含んでよく、(e)は、第1ステーションのペデスタルの基板支持面から第2分離距離だけ基板を分離させることによって基板の温度を第2温度に調整することを含んでよく、(f)は、基板が第1ステーションのペデスタルの基板支持面から第2分離距離だけ分離されている間に、基板上に材料を堆積させることを含んでよい。
いくつかの実施形態において、各ペデスタルは、基板支持面を備えてよく、基板支持面に基板を接触させるよう構成されてよく、第1分離距離および第2分離距離だけ基板支持面から基板を分離させるよう構成されてよい。さらに、(b)は、基板が第1ステーションのペデスタルの基板支持面から第1分離距離だけ分離されている間に、基板と第1ステーション内のペデスタルとの間の熱伝導によって基板の温度を第1温度に調整することを含んでよい。コントローラは、さらに、(c)の後であって(d)の前に、第1ステーションのペデスタルの基板支持面から第2分離距離だけ基板を分離させることによって基板の温度を第3温度に調整し、(c)の後であって(d)の前に、基板が第1ステーション内で第2分離距離および第3温度にある間に、基板上に材料の第3部分を堆積させるための制御ロジックを備えてもよい。第3部分は、材料の第1部分および第2部分の一方または両方と異なる値の材料の属性を示しうる。
いくつかの実施形態において、第1加熱素子は、アルミニウムに埋め込まれた抵抗加熱素子または窒化アルミニウムに埋め込まれた加熱ワイヤであってよい。
以下では、本開示のこれらおよびその他の特徴について、関連図面を参照しつつ詳細に説明する。
単一の処理ステーションを備えた処理チャンバを有する基板処理装置を示す概略断面図。
1または複数のマルチステーション半導体処理ツール内で膜堆積を実行するためのシステムであって、4ステーション基板処理ツールと、2つの処理ステーションから基板をロードおよびアンロードするための基板ハンドラロボットと、ツールを動作させるための制御部とを備えた、システムの概略図。
各膜にわたって様々な値の膜属性を有する4つの膜を示す図。
マルチステーション堆積装置内で様々な温度で基板上に材料を堆積させるための技術例のフローチャート。
ペデスタルの一例を示す等角図。
図5のペデスタル例の側面図。
リフトピンが引き込み位置にある図5のペデスタル例を示す側面図。
様々な温度で基板上に材料を堆積させるための技術例のフローチャート。
マルチステーション堆積装置内で異なる温度で基板上に材料を堆積させるための技術例のフローチャート。
異なる温度で生成された膜のウェットエッチング速度の差を示すグラフ。
PCRAM処理例の間の基板の一部を示す部分側断面図。
図5のペデスタルを示す断面図。
DRAM処理例の間の基板の一部を示す部分側断面図。
以下の説明では、提示した概念の完全な理解を促すために、数多くの具体的な詳細事項が示されている。提示された概念は、これらの具体的な詳細事項の一部またはすべてがなくとも実施可能である。また、記載した概念が不必要に不明瞭となることを避けるため、周知の処理動作の詳細な説明は省略した。いくつかの概念が、具体的な実施例との関連で説明されているが、これらの実施例は限定を意図していないことを理解されたい。
本明細書には、多くの概念および実施例が説明および図示されている。本明細書に記載の実施例のいくつかの特徴、属性、および、利点が説明および図示されているが、その他多くのもの、ならびに、本発明の異なるおよび/または同様の実施例、特徴、属性、および、利点が、説明および図面から明らかになることを理解されたい。したがって、以下の実施例は、単に、本開示のいくつかの可能な例にすぎない。それらは、網羅的であることも、開示されている正確な形態、技術、材料、および/または、構成に本開示を限定することも意図されていない。多くの変形例および変更例が、本開示に照らして可能である。本開示の範囲から逸脱することなしに、他の実施例が用いられてもよいし、動作上の変更がなされてもよいことを理解されたい。したがって、上記の実施例の記載が図示および説明の目的で提示されていることから、本開示の範囲は、以下の記載だけに限定されることはない。
重要なことに、本開示は、どの一態様にも一実施例にも限定されず、かかる態様および/または実施例のどの組みあわせおよび/または置き換えにも限定されない。さらに、本開示の態様および/または実施例の各々は、単独で利用されてもよいし、本開示の他の態様および/または実施例の内の1または複数と組みあわせて利用されてもよい。簡単のために、これらの置き換えおよび組みあわせの多くは、本明細書では個別に議論および/または図示されない。
本明細書では、堆積装置内で異なる温度で膜堆積を実行するための方法、システム、および、装置が開示されている。一部の半導体処理は、ウエハなどの基板上に1または複数の材料層を堆積させるために用いられる。本明細書で用いられる場合、「ウエハ」は、通例、他の形態の「基板」(大判のディスプレイ基板など)を含むと解釈されうる。本願では、「半導体ウエハ」、「ウエハ」、「基板」、「ウエハ基板」、および、「製造途中の集積回路」という用語が、交換可能に用いられている。当業者であれば、「製造途中の集積回路」という用語は、集積回路加工の多くの段階の内のいずれかの途中のシリコンウエハを指しうることがわかる。半導体デバイス産業で用いられるウエハまたは基板は、通例、200mm、または、300m、または、450mmの直径を有する。ただし、本発明は、それに限定されない。ワークピースは、様々な形状、サイズ、および、材料を有してよい。半導体ウエハに加えて、本発明を利用しうるその他のワークピースは、プリント回路基板など、様々な物品を含む。
膜堆積処理の例は、化学蒸着(「CVD」)、プラズマCVD(「PECVD」)、原子層堆積(「ALD」)、低圧CVD、超高CVD、物理気相成長(「PVD」)、および、共形膜堆積(「CFD」)を含む。例えば、一部のCVD処理は、1回の堆積処理内で基板表面上に膜を堆積しうる。いくつかの他の処理は、複数回の膜堆積サイクルを含み、各々が「個別の」膜厚を生成する。ALDは、1つのかかる膜堆積方法であるが、順次繰り返して用いられる複数の薄膜層を形成する任意の技術が、複数回の堆積サイクルを含むと見なされうる。
膜堆積は、特定の処理条件(「レシピ」とも呼ばれる)下で実行され、処理条件は、堆積される材料および用いられる堆積処理に対して最適化される。処理条件のいくつかの例は、気体および液体反応物質のタイミングおよび混合、チャンバおよび/またはステーションの圧力、チャンバおよび/またはステーションの温度、基板温度、目標電力レベル、RF電力レベル、RF暴露時間、基板ペデスタル、チャック、および/または、サセプタの位置、各ステーションにおけるプラズマ形成、ならびに、気体および液体反応物質の流量、を含む。
膜堆積装置の概要
半導体基板上に膜を堆積させるための動作は、一般に、図1に示すような基板処理装置で実行されうる。以下で詳述する図1の装置100は、真空ポンプ118によって真空に維持されうる内部空間内に単一の基板ホルダ108を備えた単一の処理チャンバ102を有する。基板ホルダ(すなわち、ペデスタル108)は、ペデスタルおよび基板を加熱しうる加熱素子110を有する。また、(例えば)膜前駆体、搬送ガスおよび/またはパージガスおよび/または処理ガス、二次反応物質などの供給のために、ガス供給システム101およびシャワーヘッド106が、チャンバに流体接続されている。処理チャンバ内でプラズマを生成するための装置も、図1に示されており、これについては、後に詳述する。いずれにせよ、後に詳述するように、図1に概略的に示した装置は、半導体基板にALDなどの膜堆積動作を実行するための基本的な機器を提供する。
いくつかの状況では、図1のような基板処理装置で十分であるが、時間のかかる膜堆積動作が含まれる場合、複数の半導体基板に同時並行で複数回の堆積動作を実行することにより、基板処理スループットを高めることが有利でありうる。このために、図2に概略的に示すようなマルチステーション基板処理装置が用いられてよい。図2の基板処理装置200でも、単一の基板処理チャンバ214を用いるが、処理チャンバの壁によって規定された単一の内部空間内に、複数の基板処理ステーションがあり、各々が、その処理ステーションでペデスタルによって保持された基板に処理動作を実行するために用いられてよい。この特定の実施形態において、マルチステーション基板処理装置200は、図に示すように、4つの処理ステーション201、202、203、および、204を有する。装置は、さらに、処理ステーション201および202に基板をロードするための基板ロード装置(この例では基板ハンドラロボット226)と、様々な処理ステーション201、202、203、および、204の間で基板を搬送するための基板搬送装置(この例では基板カルーセル290)とを用いる。他の同様のマルチステーション処理装置が、実施形態と、例えば、並列基板処理の所望のレベル、サイズ/スペースの制約、コスト制約などとに応じて、より多くまたはより少ない処理ステーションを有してもよい。また、後に詳述する図2には、例えば原子層堆積(ALD)動作などで効率的な基板堆積動作を実行する目標も支援するコントローラ250が示されている。
装置コストおよび運転費用の両方に関して、図2に示したようなマルチステーション処理装置の利用により、様々な効率化が達成されうることに注意されたい。例えば、単一の真空ポンプ(図2では図示していないが、例えば、図1の118)が、4つの処理ステーションすべてのための単一の高真空環境を生成するために用いられてよく、このポンプは、4つの処理ステーションすべてに関して、消費した処理ガスなどを排気するために用いられてもよい。実施形態に応じて、各処理ステーションは、通例、ガス供給のために専用のシャワーヘッド(例えば、図1の106を参照)を有するが、同じガス供給システム(例えば、図1の101)を共有する。同様に、プラズマ発生装置の特定の要素が、処理ステーション間で共有されてもよい(例えば、電源)が、実施形態によっては、特定の態様が、処理ステーションに固有であってもよい(例えば、シャワーヘッドがプラズマ発生電位を印加するために用いられる場合、以下の図1についての記載を参照)。ただし、この場合も、2、3、5、6、7、8、9、10、11、12、13、14、15、または、16、もしくは、それより多い反応チャンバあたりの処理ステーションなど、より多くまたはより少ない数の処理ステーションを処理チャンバごとに用いて、程度の差はあるが、かかる効率化を達成できることを理解されたい。
膜堆積の概要
上述のように、かかる堆積処理の例は、特に、CVD、PECVD、および、ALDを含む。一部のCVD処理は、膜前駆体および副生成物を形成する1または複数のガス反応物質をリアクタへ流すことによって基板表面上に膜を蒸着しうる。前駆体は、基板表面上へ運ばれて、そこで、基板によって吸着され、基板内に拡散され、表面からリアクタから除去される副生成物も生成する化学反応によって基板上に蒸着される。
半導体産業においてデバイスおよびフィーチャのサイズが縮小し続け、また、三次元デバイス構造が集積回路(IC)設計で普及するにつれ、薄い共形膜(非平面でも、下層構造の形状に対して均一な厚さを有する材料の膜)を堆積できることが重要性を増し続ける。ALDは、1回のALDサイクルで単一の薄い材料膜のみを堆積させるという事実により、共形膜の堆積によく適合する膜形成技術であり、厚さは、膜形成化学反応自体の前に基板表面上に吸着しうる(すなわち、吸着制限層を形成する)1または複数の膜前駆体反応物質の量によって制限される。次いで、複数回の「ALDサイクル」が、所望の厚さの膜を形成するために用いられてよく、各層が薄く共形であるので、結果として得られる膜は、下層のデバイス構造の形状と実質的に共形になる。特定の実施形態において、各ALDサイクルは、以下の工程を含む。
1.第1前駆体への基板表面の暴露。
2.基板が配置された反応チャンバのパージ。
3.通例はプラズマおよび/または第2前駆体を用いる、基板表面の反応の活性化。
4.基板が配置された反応チャンバのパージ。
各ALDサイクルの持続期間は、通例、25秒未満または10秒未満または5秒未満でありうる。ALDサイクルの1または複数の活性化工程は、1秒以下の持続期間など、短い持続期間であってよい。ある程度低温で比較的反応性の低い前駆体については、投与および変換の時間は、1分以上のオーダーでありうる。上述したプラズマALD(PEALD)シーケンスは、基板が敏感すぎて、プラズマ内で生成されたエネルギ種の衝突に耐えられない場合に、熱活性化または変換工程と共に実行されてもよい。PEALDシステムの1つの利点は、様々な電力、周波数、および、イオンエネルギのプラズマを用いて、特に高ARフィーチャの側面において、膜表面を改質できることである。一部の例において、さらなる表面改質工程が、1分以上の長さでありうる上述のALDシーケンスに追加されてもよい。
膜の属性
一部の堆積処理では、処理条件が、堆積膜の様々な属性(例えば、その物理的および/または化学的属性)に影響しうる。例えば、処理条件(特に、温度)は、組成(例えば、化学量論または他の成分(水素など)の追加)、光学属性(例えば、RIおよび/または吸収係数)、および/または、堆積膜の密度、ならびに、膜の降伏電圧および電気的属性(例えば、抵抗率)に影響しうる。多くの典型的な堆積処理において、処理条件は、例えば、膜の均一性、膜の深さ全体にわたる均質な膜属性(例えば、ウェットエッチング速度またはドライエッチング速度)、反復性、および/または、高スループットを提供するために、膜堆積処理全体を通して実質的に一定に維持される。しかしながら、本開示の主題である一部の堆積処理では、処理条件は、様々な属性の膜が製造される(すなわち、膜が、多層膜(例えば、二層、三層、四層、連続的に変化する層など)になる)ように、堆積中に変更されうる。
例えば、図3は、各膜にわたって様々な値の膜属性を有する4つの膜を示す図である。図3の膜属性は、具体的な膜属性ではなく、むしろ例示のための代表的な属性であり、値も例示にすぎない。かかる膜属性は、組成または密度など、任意の上述の属性を表しうる。図3のx軸は、膜の厚さ全体を表しており、0は、膜と基板の底面との間の界面(例えば、膜の底部および基板上に堆積された膜の最初の部分)であり、800は、膜の上部(例えば、堆積された膜の最後の部分)である。図3において、膜1は、膜属性が膜の厚さにおいて2つの異なる値を有するような二層膜であり、堆積膜の第1部分(すなわち、約半分、0~約400Å)は、低い値(例えば、2)を有する堆積膜の第2部分(すなわち、残りの半分、約400Å~800Å)よりも高い値(例えば、16)の膜属性を有する。膜1の膜属性の値の変化は、プラズマ電力または温度など、1または複数の処理条件の調整によって生じうる。以下に述べるように、処理条件は、堆積処理中に様々に変更されうる。図3の膜2は、膜属性が膜にわたって3つの異なる値を有するような三層と見なされてよく、第1部分(すなわち、0~約300Å)は、最も高い膜属性の値を有し、最後の部分(すなわち、約550Å~800Å)は、最も低い膜属性の値を有し、中間部分(すなわち、約300Å~約550Å)は、第1部分および最後の部分の中間の膜属性値を有する。
膜は、様々な値の幅広い数の層を有してよく、所与の膜属性値を備えた各膜の部分は、膜厚内に不均一に分布してもよい(例えば、膜の1/3が或る膜属性値を持ち、膜の2/3が異なる膜属性値を持つ)ことに注意されたい。例えば、図3の膜3は、膜厚の最初の1/8が特定の膜属性値(例えば、8)を有し、膜厚の次の5/8がより低い膜属性値(例えば、6)を有し、膜厚の最後の1/4が他の2つの部分よりも高い膜属性値(例えば、16)を有することを示す。膜3は、三層膜と見なされてもよいが、異なる膜属性値を有する膜厚の3つの不均一な層を有する。
膜にわたる膜属性の値は、図3の膜4によって例示されるように、連続的に変化してもよい。膜4の膜属性は、最も高い値で始まり、堆積膜の上部すなわち最後で約3Åの最も低い値まで連続的に(例えば、線形に)変化する。かかる連続的な変化は、線形の変化に限定されず、非線形(例えば、指数関数的)であってもよいし、線形および非線形の変化の両方を有してもよい。
図3の例は、膜厚が、特定の膜属性の異なる値を各々有する複数の膜または部分を有するよう構成されうることを示す。層の部分の数、各部分の値、および、部分間の変動は、特定の処理にとって望ましくありうるあらゆる方法で構成されてよい。
温度に敏感な基板上への膜の堆積を含む一部の半導体処理は、膜が膜の厚さにわたって様々な膜属性(異なる密度またはウェットエッチング速度(「WER」)など)を有することから恩恵を受けうる。例えば、ダイナミックランダムアクセスメモリ(DRAM)生産の用途において(DRAMは、集積回路内の別個のコンデンサにデータビットを格納するランダムアクセスメモリの一種である)、堆積処理は、特にタングステンの上部への窒化シリコン膜の堆積を含むタングステンビットラインスペーサを生産するために用いられる。かかる堆積処理は、より高い堆積温度(650℃など)で、窒化シリコン膜が、タングステンと反応して窒化タングステンを生成しうる化学物質を用いて堆積されるので、温度に敏感であり、結果として得られる窒化タングステンは、タングステンよりも高い抵抗率を有するため、多くのDRAM用途では望ましくない。したがって、この基板-膜界面(例えば、窒化シリコン-タングステン)での堆積は、界面における2つの材料間での反応をほとんどもしくは全く生じないことが望ましい。これは、膜が上に堆積される基板の表面と反応しないか、または、反応速度が低い特定の化学組成を有する膜を生産するために、処理条件を変更することによって達成されうる。これは、2つ間の反応速度が低速度または公称速度に保持されるように、処理条件を変更することによって達成されてもよい。この例において、窒化シリコンとタングステンとの間の低い反応速度は、約250℃など、約100℃~300℃の間でありうる低温で膜を堆積させることによって達成されてよい。
また、DRAM処理における堆積窒化シリコン膜は、後続の処理工程(エッチングまたは酸洗浄など)を施された時に膜が安定することを可能にする膜属性を有することが望ましい場合がある。例えば、より低いWERを持つ窒化シリコン膜が、かかる後続の処理工程から膜をより良好に保護しうる。以下に述べるように、より低いWERを備えた膜は、より高い堆積温度によって生成されうる。したがって、窒化シリコンとタングステンとの間のそれらの界面での化学反応を抑制するためには、より低温でタングステン上に窒化シリコン膜を堆積させることが望ましく、また、より低いWERを生み出すためには、より高温で窒化シリコンを堆積させることが望ましい。したがって、この窒化シリコン堆積は、堆積処理中の異なる堆積温度(処理の開始には低い温度で、処理の最後には高い温度、など)から恩恵を受けうる。
別の例において、相変化ランダムアクセスメモリ(PCRAM)では、PCRAMで用いられるカルコゲニドメモリ素子が、約250℃以上で反応物質に暴露された時に、劣化(例えば、化学的および/または形態的な劣化)を受けうる。一部のかかるPCRAM処理においては、カルコゲニド封止層を堆積させることが望ましいが、堆積が起こる温度は、カルコゲニドに悪影響を及ぼしうる。したがって、250℃以下の温度で封止層の最初の部分を堆積させることが望ましく、その後、層の残りの部分または他の層が、より高温で堆積されてよい。
しかしながら、多くの現行の堆積処理および装置(単一ステーションの堆積装置など)は、効果的および/または製造可能な方法で堆積処理にわたって温度を調整することができない。例えば、一部の堆積装置は、所与のステーションの温度を増減することによって堆積処理中に温度を変更しうるが、これは、許容できないスループットの低下を引き起こす、および/または、材料を薄片化させて基板を汚染させうる(例えば、壁および/または基板上に成長した膜が冷却中に薄片になりうる)、時間のかかる(例えば、基板の加熱および/または冷却に数分または数時間待つ)処理でありうる。したがって、通例は、単一の温度を選択して、低下した準最適な膜属性を備えるが、かかる要件を部分的に満たす膜を生成するか、または、異なる要件の1つだけを満たす膜を生成する。DRAM窒化シリコン膜堆積の上記の例において、温度は、基板界面の要件または後続処理の要件のいずれかに最適ではない膜を堆積させるよう選択されてもよいが、むしろ、両方の要件を部分的に満たすよう選択される。
本開示は、堆積処理中に堆積温度を調整して、堆積膜の1または複数の属性を変更するための発明の方法、装置、および、システムを提示する。
様々な温度を用いた堆積技術
図4は、マルチステーション堆積装置内で様々な温度で基板上に材料を堆積させるための技術例のフローチャートを示す。ブロック430に見られるように、基板が、マルチステーション堆積装置の第1処理ステーション(すなわち「ステーション」)に提供されてよい。マルチステーションリアクタの各ステーションは、ペデスタルを有してよく、各ペデスタルは、基板を支持するよう構成された基板支持面を有してよい。いくつかの実施形態において、基板は、基板支持面に接触するように、第1ステーションに、そして、基板支持面上へ提供されてよいが、いくつかの他の実施形態において、基板は、非ゼロの距離だけ基板支持面から(例えば、上方に)離れるように、ペデスタルの持ち上げ機構(例えば、リフトピンなど)の上へ配置されてもよい。
ブロック432において、基板の温度が、第1温度に調整される。この調整は、基板の加熱または冷却であってよく、基板とペデスタルとの間の熱伝導によって実行されてよい。ペデスタルは、基板を加熱および/または冷却するためにペデスタルを通して基板に伝導されうる熱を生成しうる加熱素子を備えてよい。加熱素子は、以下に論じるように、コイルを通して流された電流に基づいて、単一または様々な温度の熱を生成する当業者に周知の任意の加熱素子(抵抗性加熱コイルなど)であってよい。基板の温度は、基板とペデスタルの基板支持面との間の分離距離を長くすること、ペデスタルを通して冷却流体を流すこと、および/または、基板上にガスを流すことなど、様々な方法で冷却されてよい。
ブロック434において、基板が第1温度まで加熱されると、基板が第1温度にある間に、材料の一部が基板上に堆積される。第1温度でのこの堆積処理は、少なくとも部分的に、堆積材料の一部(すなわち、堆積膜の一部)に、第1値を有する少なくとも1つの属性、いくつかの実施形態では、各々が第1値を有する複数の属性、を持たせる。属性は、例えば、特徴および/または値が少なくとも部分的に第1温度によって駆動される上述の属性の1つ(組成、密度、および/または、WERなど)であってよい。例えば、約250℃の第1温度は、約900Å/分などの第1値を持つWERなどの属性を有する材料の層の一部を生成し、一方で、約400℃の第1温度は、約100Å/分の値を持つWERである第1属性を有する材料の一部を生成しうる。
上述のように、いくつかの処理用途では、堆積材料の第1部分(例えば、材料の層の第1部分)と、材料の第1部分が上に堆積される表面(例えば、基板または基板上のその他の処理材料)との間の反応を低減することが望ましい。したがって、第1温度にある間に基板上に材料の第1部分を堆積させることが、材料と、材料が堆積されている表面との間の反応を低減させうる。いくつかの実施形態において、これは、材料が堆積される表面との反応をほとんどもしくは全く引き起こさない第1値の属性(組成など)を持つ材料と見なされうる。上記の例を参照すると、1または複数の第1値を備えた1または複数の属性は、したがって、DRAM処理における窒化シリコンおよびタングステンの間の反応を防止または低減しうると同時に、PCRAM処理におけるカルコゲニド封止層の堆積時にカルコゲニドメモリ素子の劣化を防止または低減しうる。
ブロック436において、第1ステーションにおける堆積後、基板は、マルチステーション堆積装置の第2ステーションに提供される。基板は、基板ハンドリングロボットによって第2ステーションに移動されてよく、そして、基板は、第2ステーション内のペデスタルの基板支持面上に配置されてもよいし、非ゼロの距離だけ基板支持面から(例えば、上方に)分離されるように、第2ステーションのペデスタルの持ち上げ機構(例えば、リフトピン)上に配置されてもよい。第2ステーションに配置されると、基板の温度は、ブロック438に示すように、第2温度に調整される。ブロック432と同様に、この調整は、第2ステーションにおける基板およびペデスタルの間の熱伝導を通した基板の加熱または冷却であってよい。第2温度に至ると、ブロック440において、材料の第2部分が、第2温度にある間に基板上に堆積される。第2温度での第2部分のこの堆積は、第2部分に、材料の第1部分の同じ属性の少なくとも1つを持たせうるが、第2部分は、第1部分とは異なる属性値を示す。例えば、第2温度が約250℃である場合、この温度での材料の第1部分の堆積は、約900Å/分の第1値の第1属性(WER)を有する第1部分を生成し、第2温度が約400℃である場合、この温度での堆積は、約100Å/分のWEの第2値を有する材料の第2部分を生成しうる。
図4の技術例は、2つだけのステーションに関して記載されているが、かかる技術は、任意の数のステーションで用いられてよい。例えば、4つのステーションを備えたマルチステーション堆積装置において、ブロック440の後、基板は、第3ステーションに提供され、(すなわち、基板の加熱または冷却によって)第3温度に調節され、第3ステーション内で第3温度にある間に基板上に材料の第3部分を堆積されてもよく、それにより、第3部分は、属性の第3値を持つことになる。少なくとも部分的に第3温度の値に応じて、属性の第3値は、属性の第1および第2値とは異なってもよいし、属性の第1値または第2値のいずれかと同じであってもよい(例えば、第3温度が第1温度と同じである場合に、属性の第3値が属性の第1値と同じであってよい)。
この後、基板は、第4ステーションに提供されて、第4温度に調節され、第4ステーション内で第4温度にある間に基板上に材料の第4部分を堆積されてよく、それにより、第4部分は属性の第4値を持つことになる。第3部分と同様に、属性の第4値は、少なくとも部分的に第4温度の値に応じて、属性の第1、第2、または、第3値とは異なっていてもよいし、属性の第1、第2、または、第3値の1つと同じであってもよい(例えば、第4温度が第2温度と同じである場合に、属性の第4値が属性の第2値と同じであってよい)。
したがって、少なくとも3つのステーションを備えたマルチステーション堆積装置を用いるいくつかの実施例において、少なくとも2ステーションは、1または複数の他のステーションが異なる温度を持つ時に、同じ温度を有してよい。例えば、上記の4ステーションの例において、第1温度、第2温度、および、第4温度は、すべて異なる温度であってよいが、第3温度は、第1温度と実質的に同一であってよく、それにより、第1および第3部分は、実質的に同じ属性値を有することになる。この例において、技術は、基板が、第3ステーションに提供されて、第1温度に調整され、第3ステーション内で第1温度で基板上に材料の第3部分を堆積されるように拡張されてもよく、それにより、材料は属性の第1値を有することになる。したがって、これは、膜の第1および第3部分が、同じ属性値を有し、第2および第4部分が、互いに異なると共に第1および第3部分と異なる属性値を有する四層膜を形成しうる。この例は、第1および第3ステーションだけに限定されず、むしろ、同様および/または異なる温度を持つ任意の組みあわせのステーションが、特定の堆積処理のために構成されてよい。
基板の温度は、様々な方法で調整されてよい。図4の実施例において、基板の温度は、異なる温度に設定されたステーション間で基板を移動させることによって調整される。いくつかの実施形態において、基板の温度は、基板とペデスタルとの間の分離距離を変えることによって調整されてもよい。例えば、設定温度にあるペデスタルについて、ペデスタルの基板支持面上に配置された基板は、ペデスタルの設定温度と実質的に同じ温度(例えば、約5~10%以内)に加熱されうる。しかしながら、基板の温度は、ペデスタルの基板支持面から遠くに離れるにつれて低下し、例えば、分離距離が長くなるほど、基板とペデスタルの設定温度との間の温度差が大きくなる。かかる分離は、堆積処理の間および全体を通して基板の温度の微調整および温度調整を可能にしうる。例えば、ペデスタルは、約300℃の温度に設定されてよく、基板とペデスタルの基板支持面との間の分離距離は、約300℃から約250℃以下(温度を約200度に調整できる)への基板の温度調整を可能にしうる。
ペデスタルは、様々な方法で、基板支持面と基板との間の分離を引き起こしてよい。例えば、一部のペデスタルは、基板が基板支持面の上方へ垂直に上げられるように基板支持面を通して上方に伸びるよう構成されたリフトピンを備える。リフトピンは、時に、基板が実質的に基板支持面上にそれによって直接的に支持されるように、基板支持面より下に引っ込むよう構成されてよい(すなわち、引き込み位置)。いくつかの実施形態では、ペデスタルが静止したままで、リフトピンおよび基板が(垂直に基板支持面に対して垂直に)移動し、いくつかの実施形態では、ペデスタルが垂直に移動して、リフトピンおよび基板が静止したままとなる。
リフトピンおよび/またはペデスタルが移動する距離は、様々であってよい。例えば、リフトピンおよび/またはペデスタルによる移動は、例えば、約1ミル(0.0254ミリメートル)~約1インチ(25.4ミリメートル)の間の範囲の分離距離を生じうる。リフトピンおよびペデスタルの垂直移動は、比較的小さいおよび大きい増分距離および総距離にわたる移動を可能にするステッパモータによって実現されてよい。例えば、一部のリフトピンは、約5ミル(0.127ミリメートル)ほどの小さい増分で移動可能であり、約1インチの全範囲を有してよく、一部のペデスタルも、約5ミルほどの小さい増分で移動可能であり、約1インチの全範囲を有してよい。これは、基板温度の微調整を可能にする。
図5は、ペデスタルの一例を示す等角図である。図からわかるように、ペデスタル508は、薄い網掛けで示した基板支持面542と、破線楕円で示した3つのリフトピン544と、を備える。リフトピン544は、基板支持面を超えて伸びる伸長位置に図示されている。図6は、図5のペデスタル例の側面図を示す。ここで、リフトピン544は、垂直方向(すなわち、z軸方向)に基板支持面542を超えて伸びるように図示されている。基板546も、図6に示されており、z軸に関して上方に持ち上げられることで、図6の左の垂直矢印の間の分離距離548だけ基板支持面542から分離されるように、3つのリフトピン544上に配置されている。図6の分離距離548は、非ゼロの距離として表されているが、この分離距離548は、リフトピン544が基板支持面542より下に(例えば、引き込み位置に)位置する時に起こりうるように、基板が基板支持面542上に配置され、それによって支持される時には、ゼロと見なされうる。また、図7は、リフトピンが引き込み位置にある図5のペデスタル例を示す側面図である。図6と異なり、図7では、ペデスタル508のリフトピンが引っ込められている。したがって、ペデスタル508の最上面は基板支持面542であり、ペデスタル508上に配置された基板が基板支持面542に接触している。その結果、図7のペデスタル508の基板支持面542上に配置された(例えば、接触する)基板の分離距離は、ゼロである。
上述のように、基板と基板支持面との間の分離距離は、ペデスタルが静止したままで、リフトピンおよび基板がz軸上を垂直上向きに移動することによって、リフトピンおよび基板がz軸上で静止したままで、ペデスタルがz軸上で垂直下向きに移動することによって、または、その2つの組み合わせによって、引き起こされうる。
したがって、本開示の技術は、基板とペデスタルの基板支持面とを様々な距離に分離させることによって、基板の温度を調整しうる。図8は、様々な温度で基板上に材料を堆積させるための技術例のフローチャートを示す。本明細書に記載するように、いくつかの実施形態において、図8に示す技術例は、図4の技術を拡張したものであってよく、単一ステーション内で実行され、基板をステーションの間で移動させずに基板と基板支持面との間の分離距離を変えることによって、温度調整の内の1または複数が起きる。ブロック850において、基板は、ブロック430と同様に、堆積装置のステーション内に配置される。基板は、ステーション内に配置される時、基板支持面に接触するようにステーション内のペデスタルの基板支持面上に配置されてもよいし、基板と基板支持面との間に非ゼロの分離距離が存在するように持ち上げ機構(例えば、ペデスタルの基板支持面を超えて伸びるリフトピン)上に配置されてもよい。
ブロック852において、基板の温度は、基板とペデスタルの基板支持面とを第1分離距離だけ分離させることによって、第1温度に調整される。いくつかの実施形態において、分離距離は、上述のように基板が基板支持面に接触するように、ゼロであってもよい。別の実施形態において、第1分離距離は、非ゼロの値であってもよい。
いくつかの実施形態において、852の調整は、基板がステーション内に配置されるとすぐに第1分離距離に位置するように、ブロック850で基板をステーションに提供する一環として実行されてもよい。例えば、ゼロの第1分離距離について、これは、基板を基板支持面上に直接配置することによって起こりうる。いくつかの別の実施形態において、基板は、ステーションに提供された後に、第1分離距離に調整または配置されてもよい。例えば、基板を第1分離距離に調整する工程。例えば、基板は、基板と基板支持面との間の距離がゼロになるように基板支持面上に直接配置されてよく、その後、基板および基板支持面が非ゼロの第1分離距離だけ分離されるように、リフトピンが垂直上向きに伸ばされてよい(もしくは、ペデスタルが垂直下向きに移動されてよい)。ステーション内への基板の配置は、基板がまず、ステーション内に提供される時に、伸長されたリフトピン上へ直接的に配置され、その後、ステーションへの配置時の初期距離、より高いまたは低い非ゼロの値、もしくは、ゼロでありうる第1分離距離まで上下されるように、逆順であってもよい。
ブロック854において、基板が、ペデスタルの基板支持面から第1分離距離に配置されると、第1温度および/または第1分離距離にある間に、材料の第1部分の堆積が基板上で起きてよい。上記と同様に、この第1温度での堆積は、層の第1部分に第1値の第1属性を持たせる。上述のように、ブロック850~854は、図4のブロック430~434と同様であってよい。
しかしながら、図8の技術では、基板は、さらなるブロック856および858の間、第1ステーションに留まり、図4のブロック436は、図8では省略される。854での堆積後、基板の温度は、ブロック856で、ペデスタルの基板支持面から第2分離距離だけ基板を分離させることによって調整される。上述のように、この分離は、ペデスタルが静止したままの状態でリフトピンを上下させること、リフトピンおよび基板が静止したままの状態でペデスタルを上下させること、もしくは、それら2つの組み合わせによって引き起こされてよく、第2分離距離も、ゼロまたは非ゼロの値であってよい。これは、ペデスタルおよび/またはリフトピンの位置を調整することによって基板の位置を第2分離距離に調整することとして記載されてもよく、基板が、加熱されたペデスタルに近づいたり離れたりするため、かかる調整は、基板の温度を変化させる。856のこの調整は、基板が同じステーションに留まったままで856の調整が起きる点で、438とは異なる。
854と同様に、基板が第2温度および第2分離距離になると、材料の第2部分が、ブロック858で基板上に堆積されてよい。かかる堆積は、図4において上述したのと同様に、属性の第2値を持つ材料の第2部分を生成しうる。
図8に例示した技術は、異なる属性値を有する3以上の部分を備えた膜を生成するために、基板を基板支持面から3以上の分離距離に分離することによって、同じステーションに留まったままで基板を3以上の温度に加熱させるように、反復および/または修正されてもよい。追加的または代替的に、図8の技術は、第1属性および/または第2属性をそれぞれ有する2以上の層を生成するために、基板を2回以上第1温度および/または第2温度に加熱させるように(すなわち、基板を基板支持面からそれぞれ第1および/または第2分離距離だけ分離させることにより)反復されてよい。
したがって、例えば、ブロック858の後、基板の温度は、基板を基板支持面から第3距離だけ分離させることによって、第3温度に調整されてよい。この調整の後、第3部分が属性の第3値を有するように、基板が第3温度および/または第3距離にある間に、材料の第3部分が堆積されてよい。上述のように、第3部分の処理条件(堆積温度など)に応じて、第3値は、属性の第1または第2値と異なっていても同じであってもよい。
本明細書に記載の技術は、互いに組み合わせられてもよい。いくつかの実施形態では、マルチステーション堆積装置内で基板上に材料を堆積させる技術が、異なる温度の2以上のステーションと、1ステーション内の基板およびペデスタルの基板支持面の間の2以上の分離距離とを用いて、基板の温度を調整してもよい。
図9は、マルチステーション堆積装置内で異なる温度で基板上に材料を堆積させるための技術例を示す。ブロック960~968は、それぞれ、ブロック850~858と同じであってよく、基板が第1ステーション内のペデスタル上で2つの異なる温度にある間に、材料の2つの部分が基板上に堆積され、基板は、基板と第1ステーション内のペデスタルの基板支持面との間の分離距離を調整することによって、異なる温度に加熱される。ブロック962および964は、ブロック966および968で堆積された属性の第2値を持つ第2部分と異なる属性の第1値を持つ材料の第1部分を堆積させる。
ブロック968の後、ブロック970において、基板は、ブロック436と同様に、マルチステーション堆積装置の第2ステーション内に提供される。ブロック970~974は、ブロック436~440と同様であってよいが、図9では、基板の温度は、第2ステーションで第3温度に調整される。いくつかの実施形態において、第2ステーション内のペデスタルの温度は、第1ステーションのペデスタルの温度とは異なる。したがって、基板は、第2ステーション内に配置されると、第1および第2温度とは異なる第3温度に加熱または冷却される。次いで、上述したのと同様に、材料の属性の第3値を持つ第3部分を生成する第2ステーション内の第3温度にある間に、材料の第3部分が基板上に堆積される。
図9には示されていないが、ブロック974の後に、基板の温度は、基板と第2ステーション内のペデスタルの基板支持面との間の分離距離の調整によって第2ステーションにある間に第4温度に調整されてもよい。これは、ブロック960~968と同様に実行されてよいが、第2ステーション内で実行される。例えば、基板が第2ステーション内に配置された後および/または時、基板の温度は、基板と第2ステーション内のペデスタルの基板支持面との間の第3分離距離で第3温度に調整されてよい(これは、ブロック960と同様であってよい)。上述のように、第3分離距離は、ゼロまたは非ゼロの値であってよい。次いで、属性の第3値を持つ材料の第3部分を生成するために、基板が第3分離距離にある間に、堆積が実行される。この堆積後、基板の温度は、第2ステーションのペデスタルの基板支持面から第4分離距離だけ基板を分離させることによって、第4温度に調整されてよい。次いで、上述と同様に、属性の第4値を持つ材料の第4部分を生成するために、基板がこの第4温度および第4分離距離にある間に、堆積が実行される。
いくつかの実施形態において、図9に記載の技術は、同じ順序または同じ工程で実行されなくてもよい。例えば、ブロック960~964が、最初に実行されてよく、その後、基板が第2ステーション内に提供されてよく(例えば、ブロック970が実行される)、そこで、ブロック966、968、972、および、974が第2ステーションで実行される。
さらに、いくつかの実施形態において、本明細書に記載されたように、材料の第1部分を堆積させる際に、基板をステーションのペデスタルの基板支持面に向かってまたはそこから離れて移動させることで、基板とペデスタルとの間の分離距離を調整して、さらに、第1ステーション内の基板の温度を調整してもよい。
本開示の技術および実施例のために用いられる堆積処理は、(プラズマ処理および熱駆動処理を含む)CVDおよびALDなど、任意の周知の堆積処理であってよい。例えば、一部のCVD堆積装置では、本明細書に記載された技術における堆積の各々は、材料の膜を生成する所与の温度(例えば、第2温度)でのCVD堆積処理によってなされてよい。
一部のALD処理では、本明細書に記載の技術における堆積は、ALD堆積処理全体、および/または、単一の堆積処理の特定のサイクルであってよい。実行できる温度および堆積サイクルの組み合わせは数多くある。例えば、1サイクルのみなど、特定の回数の堆積サイクルが、マルチステーション堆積装置内で特定の温度で実行されてよい。例えば、4つのステーションを備えたマルチステーションALD装置では、装置は、2、3、または、4つの異なる温度での堆積を可能にするよう構成されてよく、基板は、ALD処理にわたって任意の回数のサイクルで4つのステーションの各々で処理されてよい。例えば、異なる温度の各々で堆積されることによって生じる材料の属性の少なくとも4つの値を有する4層の材料が堆積されるように、全ALD処理のサイクルの1/4が、各ステーションで実行されてよい。別の例において、図4に戻ると、ブロック434における第1温度での材料の堆積は、全ALD処理の任意の回数のサイクルであってよいN1回の堆積サイクルで実行されてよく、同様に、ブロック440の堆積は、これも任意の回数のサイクルであってよいN2回の堆積サイクルで実行されてよい。また、堆積は、各ステーションまたは各温度で等しい回数の堆積に限定されず、堆積される材料の所望の属性に応じて様々であってよい。本明細書で議論するように、1堆積サイクルは、1ステーションで堆積される材料層の全厚の一部を集合的に堆積させる一連の処理工程であってよい。
さらに、各ステーションにおける基板の温度は、さらに、基板が、1つのステーションに留まったままで、2以上の温度に加熱され、同じステーションで2以上の温度で堆積を受けるように、上述のように、基板と基板支持面との間の分離距離を増減させることによって、5以上の温度に調整されてもよい。
いくつかの実施形態において、第1温度および第2温度での堆積は、異なる温度に加えて、異なる処理条件(例えば、異なる時間、プラズマ暴露時間、プラズマ電力、反応物質暴露時間、および、プラズマ周波数など)で実行されてもよい。
本明細書に記載の温度は、特定の堆積処理について、任意の数の所望の温度の間で変化してよい。いくつかの実施形態において、温度は、例えば、約50℃~約635℃、約150℃~約500℃、および、約250℃~約450℃の範囲であってよい。堆積が実行される温度の間の調整は、温度の上昇および/または低下であってよい。例えば、温度は、概して、高から低へ、低から高へ、高から低から高へ、低から高から低へ、変化しうる。本明細書で用いる「高」および「低」は、互いに対して高いおよび低い温度を一般的に記載するための相対的な用語である。

上述のように、異なる温度で基板上に材料を堆積させると、異なる温度の各々で生成される膜の異なる部分に異なる属性を有する膜が形成される。図10は、異なる温度で生成された膜のウェットエッチング速度の差を示すグラフである。図10のグラフのx軸は、ペデスタル温度を示し、y軸は、膜の属性であるウェットエッチング速度(WER)を示す。同じ回数の堆積サイクルが、各ステーションで実行され、図10からわかるように、堆積温度が高くなるほど、膜のWERが増大した。
本開示の一部として開示されている技術および装置は、様々な処理用途で用いられてよい。例えば、これらの技術のいずれかが、本明細書の以下で議論される図4の技術など、DRAMのタングステンビットライン上へのスペーサの堆積で利用されてよい。DRAM製造処理のためのスペーサ材料は、窒化シリコン、炭窒化シリコン、および、酸窒化シリコンなど、かかる用途に適切な任意の材料であってよい。DRAMのタングステンビットライン上へのスペーサの堆積のために、ブロック430で、基板は、マルチステーション堆積装置の第1ステーションに提供されてよい。ブロック432において、基板の温度が、第1温度に調整される。ここで、第1温度は、上述のように、堆積中にスペーサ材料とタングステンとの間の反応を低減または防止する温度であってよい。また、この比較的低い温度は、付着および/または抵抗率を低下させうる任意の元素偏析またはガス放出ならびに形態変化を抑えうる。かかる温度は、約450℃でありえ、いくつかの実施形態では、例えば、400℃、350℃、または、300℃など、より低くてもよい。
ブロック434において、スペーサ材料の第1部分が、基板のタングステンビットライン上に堆積される。この材料の層は、第1温度での堆積によって引き起こされる材料の属性の第1値を有してよく、この属性は、WERおよび/または組成(化学量論など)であってよく、第1値は、それぞれ、窒化タングステンの形成を防止または低減するために、堆積されるスペーサ材料とタングステンとの間の反応を防止または低減する高いWERおよび/または組成であってよい。
その後、ブロック436において、基板は、マルチステーション堆積装置内の第2ステーションに搬送される。第2ステーションに配置されると、基板の温度は、ブロック438に示すように、より高い温度に調整される。このより高い第2温度は、上述のように、低いWERまたは低い動的エッチング速度(「DER」)であってよい属性の第2値を持つ材料の第2部分を形成して、膜の外側部分を後続の処理(例えば、エッチングまたは酸洗浄)から保護するように選択されてよい。さらに、膜の外側部分のバリア属性すなわち酸化耐性は、後続の処理化学物質に対応するように調整される必要がありうる。かかる第2温度は、約450℃または約650℃など、任意の達成可能な温度であってよい。ブロック440において、第2ステーションでこのより高い第2温度で堆積を行うことで、例えば、図10に従って、低いWER(約300Å/分または約100Å/分など)を有する材料の第2部分が形成される。
結果として得られる堆積材料膜は、属性の異なる値を有する少なくとも2つの部分を有することになる。図13は、DRAM処理例の間の基板の一部を示す部分側断面図である。第1材料層1392(ポリシリコンなど)、タングステン層1394、および、第3材料層1396(窒化物ハードマスク)を含む材料スタック上にスペーサ材料1386(窒化シリコンなど)を堆積した後の基板の一部1384が示されている。スペーサ材料1386とタグステン1394との間の界面(破線楕円1398で示されている)は、上述のように、スペーサ材料1386(窒化シリコンなど)とタングステン層1394との間の反応速度を低減または無くすことが望まれうる領域である。
図13からわかるように、堆積された材料(すなわち、スペーサ材料1386)は、第1部分1388および第2部分1391を備える。第1部分1388は、高い値の属性(例えば、WER)の第1値(低温は、図10に示したように、高いWER値に対応する)、もしくは、界面1398における第1部分1388とタングステン層1394との間の反応を防止または低減する第1値を有する別の属性(例えば、化学量論などの組成)を、第1部分1388に持たせる低い第1堆積温度で、層のスタック(すなわち、第1層1392、タングステン層1394、および、第3層1396)上に最初に堆積された材料の部分(例えば、1つの層)を表す。堆積材料1386の第2部分1391は、第1値(低いWERなど)とは異なる属性の第2値を第2部分1388に持たせるために、より高い第2温度で後に堆積される。
本開示の技術は、PCRAM処理中の封止層の堆積に用いられてもよい。この例において、図4が適用可能であり、同様に実行されうる。しかしながら、PCRAM処理では、基板上に堆積される材料は、DRAM処理について上記したもの(高分子窒化シリコン、炭窒化シリコン、および、酸窒化シリコンなど)と異なる場合があり、かかる封止材料は、カルコゲニドメモリ素子を含む材料スタック上に堆積される。第1温度は、カルコゲニドメモリ素子が、反応物質に暴露された時に劣化(例えば、化学的および/または形態的)を受けないように選択されてよい(250℃以下、50℃ほどの低温)。第1温度で堆積されたこの材料層の属性の第1値は、堆積される封止材料とメモリ素子との間の反応を防止または低減する組成(化学量論など)を含みうる。メモリ素子上への封止材料の最初の部分の堆積後、温度は、ブロック438に示したように、属性の第2値(低いWERまたは高い密閉性など)を持つ封止材料の第2部分を生成させるより高い第2温度(400℃など)に調整されてよい。
図11は、PCRAM処理例の間の基板の一部を示す部分側断面図である。基板例1173は、材料層(酸化物層1175など)の上に2つのメモリスタックを備える。一方のスタックは、タングステン層1143a、炭素層1145a、カルコゲニド層1147a、第2炭素層1155a、第2カルコゲニド層1157a、第3炭素層1165a、および、窒化物層1149aを含む。第2スタックは、タングステン層1143b、炭素層1145b、カルコゲニド層1147b、第2炭素層1155b、第2カルコゲニド層1157b、第3炭素層1165b、および、窒化物層1149bを含む。両方のスタックが、窒化物スペーサ1189と、基板上に堆積された封止膜1179とを備える。封止膜1179は、シリコン含有封止膜(窒化シリコン膜または炭化シリコン膜など)もしくはシリコン炭素含有膜(SiCNまたはSiOC膜など)であってよい。図からわかるように、封止膜1179は、第1部分1180および第2部分1182を備える。第1部分1180は、属性の第1値を材料1180の第1部分に持たせる低い第1温度で堆積された材料の部分(例えば、1つの層)を表し、第2層1182(例えば、第2部分)は、本明細書に記載のように、属性の第2値を有する。例えば、材料の第1部分1180は、上述のように、カルコゲニド層1147a、1157a、1147b、および、1157bの劣化を制限または排除する属性の第1値を有してよい。
基板処理システムの詳細な説明
本明細書に記載の方法および技術は、半導体処理ツール(例えば、任意の適切なマルチステーション堆積装置)内で膜堆積を実行するための任意の適切なシステムで実行されてよい。半導体処理ツール内で2以上の温度で膜堆積を実行するためのシステムは、処理動作を達成するためのハードウェアと、本明細書に開示された処理技術に従って処理動作を制御するためのマシン読み取り可能な命令を有する(または、命令にアクセスできる)システムコントローラとを備える。
したがって、いくつかの実施形態において、複数の半導体基板上に材料の膜を堆積させるのに適切なシステムは、処理チャンバに含まれる基板ホルダ(例えば、ペデスタル)をそれぞれ有する1または複数の処理ステーションの第1のセットと、処理チャンバに含まれる基板ホルダをそれぞれ有する1または複数の処理ステーションの第2のセットと、処理ステーションへの膜前駆体の流れを制御するための1または複数のバルブと、1または複数の処理チャンバに含まれる処理ステーションの周りの空間から膜前駆体を除去するための1または複数のバルブ式真空源と、を備えてよい。また、かかるシステムは、基板上に材料の膜を堆積させる際に、基板ロード装置と、基板搬送装置と、1または複数のバルブと、真空源とを動作させるためのマシン読み取り可能な命令を有する(または、命令にアクセスできる)コントローラを備えてもよい。
したがって、いくつかの実施形態において、コントローラによって実行される上記の命令は、処理チャンバに含まれる複数の処理ステーションで複数の基板上に膜を形成するための命令を含んでよく、ここで、複数層の膜は、一連のCVD堆積処理またはALDサイクルによって各基板上に形成される。したがって、特定のかかる実施形態において、コントローラによって実行される上記の命令は、上述のようにALD動作(1)ないし(4)を実行するための命令と、基板処理装置の複数の処理ステーションで複数の基板上に複数層の膜を形成するために、ALD動作(1)ないし(4)を複数回繰り返すための命令と、を含んでよい。いくつかの実施形態において、コントローラによって実行される命令は、装置の複数の処理ステーションで複数の基板上にCVD堆積処理を実行するための命令を含んでよい。
したがって、図1は、システムの一部であってよい基板処理ツール100の一実施形態を概略的に示す。簡単のために、ツール100は、低圧環境を維持するために処理チャンバ本体102を有する独立型の処理ステーションとして図示されている。しかしながら、本明細書に記載するように、複数の処理ステーションが、共通の処理ツール環境に(例えば、共通の反応チャンバ内に)含まれてもよいことがわかる。例えば、図2は、マルチステーション処理ツールを含むシステムの一実施形態を示す。さらに、いくつかの実施形態において、処理ツール100の1または複数のハードウェアパラメータ(本明細書で詳述したパラメータなど)が、システムの一部である1または複数のシステムコントローラによってプログラム的に調整されてよいことがわかる。
処理チャンバ102は、分配シャワーヘッド106に処理ガスを供給するための反応物質供給システム101と流体連通している。反応物質供給システム101は、シャワーヘッド106への供給に向けて処理ガスを混合および/または調整するための混合容器804を備える。1または複数の混合容器入口バルブ120が、混合容器804への処理ガスの導入を制御しうる。
いくつかの反応物質が、気化およびその後の処理チャンバ102への供給の前に、液体の形態で収容されてよい。図1の実施形態は、混合容器804に供給される液体反応物質を気化させるための気化ポイント103を備える。いくつかの実施形態において、気化ポイント103は、加熱された液体注入モジュールであってよい。いくつかの実施形態において、気化ポイント103は、加熱された気化器であってよい。かかるモジュール/気化器から生み出された飽和反応物質蒸気は、適切な制御が行われない場合(例えば、液体反応物質を気化/霧化する際にヘリウムを用いなかった場合)、下流の供給配管内で凝結しうる。凝結した反応物質に相性の悪いガスを暴露させると、小粒子が発生しうる。これらの小粒子は、配管を詰まらせる、バルブ動作を妨げる、基板を汚染するなどの可能性がある。これらの課題に対処するためのいくつかのアプローチは、残留した反応物質を除去するために、供給配管を一掃および/または排気することを含む。しかしながら、供給配管を一掃することは、処理ステーションのサイクル時間を長くして、処理ステーションのスループットを低下させうる。したがって、いくつかの実施形態において、気化ポイント103の下流の供給配管が、熱処理されてもよい。いくつかの例において、混合容器804が熱処理されてもよい。非限定的な一例において、気化ポイント103の下流の配管は、約100℃から混合容器804で約150℃まで増加してゆく温度プロファイルを有する。
上述のように、いくつかの実施形態において、気化ポイント103は、加熱された液体注入モジュール(略して「液体インジェクタ」)であってよい。かかる液体インジェクタは、混合容器の上流の搬送ガス流に液体反応物質のパルスを注入しうる。1つのシナリオにおいて、液体インジェクタは、高圧から低圧へ液体を流す(flash)ことによって反応物質を気化させてよい。別のシナリオにおいて、液体インジェクタは、分散した微液滴に液体を霧化してよく、その後、微液滴は、加熱された供給菅内で気化される。小さい液滴は、大きい液滴よりも速く気化して、液体注入と完全な気化との間の遅延を低減しうることがわかる。より迅速に気化すれば、気化ポイント803から下流の配管の長さを短くすることができる。1つのシナリオにおいて、液体インジェクタは、混合容器804に直接取り付けられてよい。別のシナリオにおいて、液体インジェクタは、シャワーヘッド106に直接取り付けられてもよい。
いくつかの実施形態において、気化ポイント103の上流に、液体流コントローラ(LFC)が、気化および処理チャンバ102への供給に向けて液体の質量流量を制御するために提供されてよい。例えば、LFCは、LFCの下流に配置された熱マスフローメータ(MFM)を含みうる。次いで、LFCのプランジャバルブが、MFMと電気通信して比例積分微分(PID)コントローラによって提供されたフィードバック制御信号に応答して調節されてよい。しかしながら、フィードバック制御を用いて液体流を安定化するには、1秒以上かかりうる。これは、液体反応物質を供給する時間を延長しうる。したがって、いくつかの実施形態において、LFCは、フィードバック制御モードと直接制御モードとの間で動的に切り替えられてよい。いくつかの実施形態において、LFCは、LFCの検知菅およびPIDコントローラを無効にすることによって、フィードバック制御モードから直接制御モードに動的に切り替えられてよい。
ガス供給システム101は、カーテンガスを流して処理チャンバ102に供給するよう構成されてもよい。いくつかのかかる構成は、配管、バルブ、および、カーテンガス源を備えてよい。複数の半導体基板上に材料の膜を堆積させるために適したシステムは、シャンデリアシャワーヘッドの各々のヘッド部分の背後から、ペデスタルから、シャワーヘッドから、および/または、チャンバ本体(例えば、チャンバの上壁)から、など、様々な処理ステーションに容量隔離を提供する役割に適した流入点から処理チャンバ内にカーテンガスを流すよう構成されてよい。
シャワーヘッド106は、処理ガスおよび/または反応物質(例えば、膜前駆体)を処理ステーションの基板112に分配し、その流れは、シャワーヘッドの上流の1または複数のバルブ(例えば、バルブ120、120A、105)によって制御される。図1に示した実施形態において、基板112は、シャワーヘッド106の下方に配置され、ペデスタル108上に図示されている。シャワーヘッド106は、任意の適切な形状を有してよく、基板112へ処理ガスを分配するための任意の適切な数および配列のポートを有してよいことがわかる。
いくつかの実施形態において、微小空間107が、シャワーヘッド106の下方に配置されている。処理チャンバの空間全体ではなく基板付近の処理ステーション内の微小空間でALD処理を実行することで、反応物質暴露時間および一掃時間を短縮する、処理条件(例えば、圧力、温度など)を変更するための時間を短縮する、処理ステーションロボットの処理ガスへの暴露を制限するなど、を実現できる。微小空間のサイズの例は、0.1リットルから2リットルまでの間の体積を含むが、これに限定されない。
いくつかの実施形態において、上述のように、ペデスタル108は、図5~図7に示して議論したように(かかる議論はここに組み込まれる)、基板112を微小空間107に暴露させるため、および/または、微小空間の体積を変えるために、ペデスタル108内の持ち上げ機構(リフトピンなど)の移動および/またはペデスタル108の移動によって基板112を上下させるよう構成されてよい。例えば、ペデスタルは、ペデスタルの基板支持面より高く基板を持ち上げることを可能にするステッパモータおよび他の移動機構および移動構成を備えてよい。例えば、この移動は、基板とペデスタルの基板支持面との間の分離距離を増減させてもよい。さらに、例えば、基板搬送段階中に、ペデスタル108は、ペデスタル108上に基板112をロードできるように下げられてよい。基板上への堆積処理段階中に、ペデスタル108は、微小空間107内に基板112を配置するために上げられてよい。いくつかの実施形態において、微小空間107は、基板112とペデスタル108の一部とを完全に取り囲んで、堆積処理中にフローインピーダンスの高い領域を作りうる。
任意選択的に、ペデスタル108は、微小空間107内の処理圧力、反応物質濃度などを調節するために、堆積処理中の一部の間に下げられてよい、および/または、上げられてよい。処理チャンバ本体102が処理中にベース圧力のままである1つのシナリオにおいて、ペデスタル108を下げることにより、微小空間107の排気を可能にしてよい。処理チャンバ空間に対する微小空間の比の例は、1:500から1:10の間の体積比を含むが、それに限定されない。いくつかの実施形態において、ペデスタルの高さは、適切なシステムコントローラによってプログラム的に調節されてよいことがわかる。
別のシナリオにおいて、ペデスタル108の高さの調節は、例えばALDまたはCVD処理に含まれるプラズマ活性化および/または処理サイクル中に、プラズマ密度を変化させることを可能にしうる。堆積処理段階の最後に、ペデスタル108は、ペデスタル108から基板112を回収できるように、別の基板搬送段階中に下げられてよい。
本明細書に記載の微小空間の変更の例では、高さ調節可能なペデスタルに言及しているが、いくつかの実施形態において、微小空間107の体積を変化させるために、シャワーヘッド806の位置をペデスタル108に対して調節してもよいことがわかる。さらに、ペデスタル108および/またはシャワーヘッド106の垂直位置は、本開示の範囲内の任意の適切な機構によって変更されてよいことがわかる。いくつかの実施形態において、ペデスタル108は、基板112の向きを回転させるための回転軸を備えてよい。いくつかの実施形態において、これらの調節の例の内の1または複数は、先述の動作の全部または一部を実行するためのマシン読み取り可能な命令を有する1または複数の適切なシステムコントローラによってプログラム的に実行されてよいことがわかる。
図1に示した実施形態に戻ると、シャワーヘッド106およびペデスタル108は、プラズマに電力供給するために、RF電源114および整合回路網116と電気的に通信する。いくつかの実施形態において、プラズマエネルギは、処理ステーション圧力、ガス濃度、RF源電力、RF源周波数、および、プラズマ電力パルスタイミングの内の1または複数を制御することにより、(例えば、適切なマシン読み取り可能な命令を有するシステムコントローラを用いて)制御されてよい。例えば、RF電源114および整合回路網116は、所望の組成のラジカル種を有するプラズマを形成するために、任意の適切な電力で動作されてよい。適切な電力の例については上述した。同様に、RF電源114は、任意の適切な周波数のRF電力を供給してよい。いくつかの実施形態において、RF電源114は、高周波RF電源および低周波RF電源を互いに独立して制御するよう構成されてよい。低周波RF周波数の例は、50kHzから500kHzの間の周波数を含みうるが、これに限定されない。高周波RF周波数の例は、1.8MHzから2.45GHzの間の周波数を含みうるが、これに限定されない。任意の適切なパラメータが、表面反応にプラズマエネルギを提供するために離散的または連続的に調整されてよいことがわかる。非限定的な一例において、プラズマ電力は、連続的に電力供給されたプラズマと比べて基板表面とのイオン衝撃を削減するために、間欠的にパルス化されてよい。
いくつかの実施形態において、プラズマは、1または複数のプラズマモニタによってその場で監視されてよい。1つのシナリオでは、プラズマ電力が、1または複数の電圧、電流センサ(例えば、VIプローブ)によって監視されてよい。別のシナリオでは、プラズマ密度および/または処理ガス濃度が、1または複数の発光分光法(OES)センサによって測定されてもよい。いくつかの実施形態において、1または複数のプラズマパラメータが、かかるその場プラズマモニタからの測定値に基づいてプログラム的に調整されてよい。例えば、OESセンサが、プラズマ電力のプログラム制御を提供するためにフィードバックループで用いられてよい。いくつかの実施形態において、他のモニタが、プラズマおよびその他の処理属性を監視するために用いられてもよいことがわかる。かかるモニタは、赤外線(IR)モニタ、音声モニタ、および、圧力変換器を含みうるが、これらに限定されない。
いくつかの実施形態において、プラズマは、入力/出力制御(IOC)シーケンシング命令によって制御されてよい。一例において、プラズマ活性化段階のプラズマ条件を設定するための命令は、処理レシピの対応するプラズマ活性化レシピ段階に含まれてよい。一部の例では、処理レシピ段階は、連続的に配列されてよく、その結果、処理段階のためのすべての命令が、その処理段階と同時に実行される。いくつかの実施形態において、1または複数のプラズマパラメータを設定するための命令が、プラズマ処理段階の前のレシピ段階に含まれてよい。例えば、第1のレシピ段階が、不活性ガス(例えば、ヘリウム)および/または反応ガスの流量を設定するための命令と、プラズマ発生器を電力設定点に設定するための命令と、第1のレシピ段階のための時間遅延命令とを含んでよい。次の第2のレシピ段階が、プラズマ発生器を有効にするための命令と、第2のレシピ段階のための時間遅延命令とを含んでよい。第3のレシピ段階が、プラズマ発生器を無効にするための命令と、第3のレシピ段階のための時間遅延命令とを含んでよい。これらのレシピ段階は、本開示の範囲内で、任意の適切な方法でさらに分割および/または反復されてもよいことがわかる。
一部の堆積処理において、プラズマ衝突は、数秒以上のオーダーの持続期間にわたって続く。本明細書に記載の特定の実施例では、はるかに短いプラズマ衝突が、処理サイクル中に適用されてもよい。これらは、50ミリ秒から1秒のオーダーであってよい(具体的な例において、0.25秒)。かかる短いRFプラズマ衝突は、プラズマの迅速な安定化を必要とする。これを達成するために、プラズマ発生器は、周波数が変動することが許容された状態でインピーダンス整合が特定の電圧に予め設定されるように構成されてよい。従来、高周波プラズマは、約13.56MHzのRF周波数で生成される。本明細書に記載の様々な実施形態において、周波数は、この標準値とは異なる値に変動することを許容される。インピーダンス整合を所定の電圧に固定しつつ周波数の変動を許すことにより、プラズマは、はるかに迅速に安定化することが可能であり、この結果は、ALDサイクルに関連する非常に短いプラズマ衝突を利用する場合に重要でありうる。
いくつかの実施形態において、ペデスタル108は、ペデスタル内の加熱素子110によって温度制御されてよい。例えば、加熱素子110は、アルミニウムのペデスタル108に埋め込まれた抵抗加熱コイルであってもよいし、窒化アルミニウムのペデスタルに埋め込まれた加熱ワイヤであってもよい。加熱素子は、約50℃~約635℃の間などの温度での処理に適したペデスタルおよびペデスタル108の基板支持面の加熱を提供するために、ペデスタル内に任意の適切な方法で配列されてよい。図12は、図5のペデスタルを示す断面図である。このペデスタルは、図5に示したz軸に垂直な方向の断面である。図からわかるように、ペデスタル508は、ペデスタル508とペデスタル508の基板支持面とを加熱するために加熱コイルまたはワイヤを配置できる通路を備える。加熱素子は、ペデスタルを加熱して、ペデスタルと基板との間に熱伝達を引き起こすよう構成されてよい。図示されていないが、ペデスタルは、ペデスタルおよび基板の温度を冷却すなわち低下させるよう構成された冷却機構を備えてもよい。
図1に戻ると、いくつかの実施形態において、処理装置100のための圧力制御が、バタフライバルブ118など1または複数のバルブ式真空源によって提供されてよい。図1の実施形態に示すように、バタフライバルブ118は、下流の真空ポンプ(図示せず)によって提供された真空をスロットル調整する。しかしながら、いくつかの実施形態において、処理装置100の圧力制御は、処理チャンバ102に導入される1または複数のガスの流量を変化させることによって調節されてもよい。いくつかの実施形態において、1または複数のバルブ式真空源(バタフライバルブ118など)は、適切なALD動作中に処理ステーションの周りの空間から膜前駆体を除去するために用いられてよい。
上述のように、1または複数の処理ステーションが、マルチステーション基板処理ツールに含まれてよい。図2は、共通の低圧処理チャンバ214内に複数の処理ステーション201、202、203、204を含むマルチステーション処理ツール200を備えたシステムの一例を概略的に示す。低圧環境内に各ステーションを維持することにより、膜堆積処理の合間の真空破壊によって引き起こされる欠陥を回避できる。
図2に示すように、システム200は、基板ロードポート220と、ポッド228からロードされたカセットから、大気ポート220を通して、処理チャンバ214内、最終的には処理ステーション上に、基板を移動させるよう構成された基板ハンドラロボット226と、を有する。具体的には、この例において、基板ハンドラロボット226は、処理ステーション201および202に基板をロードし、基板搬送装置(この例では、基板カルーセル290)が、様々な処理ステーション201、202、203、および、204の間で基板を搬送する。図2に示した実施形態において、基板ロード装置は、基板操作のための2つのアームを有する基板ハンドラロボット226として図示されており、したがって、図に示すように、ステーション201および202の両方に(おそらくは同時に、または、おそらくは順次)基板をロードできる。次いで、ステーション201および202へのロード後、基板搬送装置(図2に示したカルーセル290)は、180°回転(基板の平面と実質的に直交し(ページから出て)、基板から実質的に等距離にある中心軸に関して回転)し、ステーション201および202からステーション203および204に2つの基板を搬送することができる。この時点で、ハンドラロボット226は、ステーション201および202に2つの新しい基板をロードして、ロード処理を完了できる。アンロードするには、4つの基板の複数組が処理される場合に、処理ロボット226による2つの基板の各アンロードに続いて、搬送カルーセル290を180°回転させる前に2つの新しい基板をロードすることを除けば、上記の工程を逆転させればよい。同様に、1ステーション(例えば、201)だけに基板を配置するよう構成された1アームのハンドラロボットを用いると、すべての4ステーションに基板をロードするために、カルーセル290の4回の90°回転を伴う4工程のロード処理が行われる。
図2に示した処理チャンバ214は、4つの処理ステーション201、202、203、および、204を提供する。各ステーションは、加熱されたペデスタルと、ガスライン流入口と、を有する。いくつかの実施形態において、各処理ステーションは、異なる目的すなわち複数の目的を有してもよいことがわかる。例えば、いくつかの実施形態において、1つの処理ステーションが、ALD処理モードおよびCVD処理モードの間で切り替え可能であってもよい。追加的または代替的に、いくつかの実施例において、処理チャンバ214は、1または複数のマッチドペアのALD/CVD処理ステーションを備えてもよい。図の処理チャンバ214は4つの処理ステーションを備えるが、本開示に従った処理チャンバは、任意の適切な数のステーションを有してよいことがわかる。例えば、いくつかの実施形態において、処理チャンバは、1、2、3、4、5、6、7、8、9、10、11、12、13、14、15、16、または、それより多い処理ステーションを備えてよい(もしくは、1組の実施形態が、上記の値の任意のペアによって規定される範囲内の数の処理ステーションを反応チャンバごとに有する(例えば、反応チャンバごとに2から6の処理ステーション、反応チャンバごとに4から8の処理ステーション、または、反応チャンバごとに8から16処理ステーションを有するなど)ように記載されてもよい)。
さらに、上述のように、マルチステーション堆積装置は、処理ステーションの内の2以上が異なる温度に加熱されうるように、処理ステーションの内の2以上の温度を独立的に制御するよう構成される。例えば、ステーション202、203、および、204が、第2温度T2を有する際に、ステーション201が、第1温度T1を有してよい。ステーション201、202、203、および、204は、それぞれ、異なる温度T1、T2、T3、および、T4を有してもよい。上述のように、各ステーションの温度の組み合わせは、大幅に変化してもよく、マルチステーション堆積装置内のステーションの数に基づいて制限されてもよい。また、上述のように、各ステーションにおける基板の温度は、基板と各ステーションの基板支持面との間の分離距離を調整することによってさらに調整可能であってもよい。
上述のように、図2は、処理チャンバ214内の処理ステーション201、202、203、および、204の間で基板を搬送するための基板搬送装置290の一実施形態を示す。任意の適切な基板搬送装置が用いられてよいことがわかる。例としては、基板カルーセルおよび基板ハンドラロボットが挙げられるが、これらに限定されない。
システムコントローラ
図2は、さらに、処理ツール200およびその処理ステーションの処理条件およびハードウェア状態を制御するために用いられるシステムコントローラ250を備えたシステムの一実施形態を示す。システムコントローラ250は、1または複数のメモリデバイス256と、1または複数のマスストレージデバイス254と、1または複数のプロセッサ252と、を備えてよい。プロセッサ252は、1または複数のCPU、ASIC、汎用コンピュータ、および/または、専用コンピュータ、1または複数のアナログおよび/またはデジタル入力/出力接続、1または複数のステッパモータコントローラボードなどを備えてよい。
いくつかの実施形態において、システムコントローラ250は、個々の処理ステーションの動作を含む処理ツール200の動作の一部または全部を制御する。システムコントローラ250は、プロセッサ252上でマシン読み取り可能なシステム制御命令258を実行してよく、いくつかの実施形態において、システム制御命令258は、マスストレージデバイス254からメモリデバイス256にロードされる。システム制御命令258は、タイミング、気体および液体反応物質の混合、チャンバおよび/またはステーションの圧力、チャンバおよび/またはステーションの温度、加熱素子の温度、基板温度、目標電力レベル、RF電力レベル、RF暴露時間、基板ペデスタル、チャック、および/または、サセプタの位置。リフトピンの位置、ならびに、処理ツール200によって実行される特定の処理の他のパラメータ、を制御するための命令を備えてよい。これらの処理は、基板への膜の堆積に関連する処理など、様々なタイプの処理を含みうるが、それらに限定されない。システム制御命令258は、任意の適切な方法で構成されてよい。例えば、様々な処理ツールの処理を実行するために必要な処理ツール構成要素の動作を制御するために、様々な処理ツール構成要素サブルーチンまたは制御オブジェクトが書かれてよい。システム制御命令258は、任意の適切なコンピュータ読み取り可能プログラム言語でコードされてよい。いくつかの実施形態において、システム制御命令258は、ソフトウェアで実装され、別の実施形態において、命令は、ハードウェアで実装されてもよく(例えば、ASIC(特定用途向け集積回路)内にロジックとしてハードコードされる)、また、別の実施形態において、ソフトウェアおよびハードウェアの組み合わせとして実装されてもよい。
いくつかの実施形態において、システム制御ソフトウェア258は、上述の様々なパラメータを制御するための入力/出力制御(IOC)シーケンス命令を備えてよい。例えば、1または複数の堆積処理の各段階が、システムコントローラ250による実行のための1または複数の命令を備えてよい。例えば、膜堆積処理段階のための処理条件を設定するための命令が、対応する堆積レシピ段階に含まれてよく、キャッピング膜堆積段階についても同様である。いくつかの実施例において、レシピ段階は、連続的に配列されてよく、その結果、処理段階のためのすべての命令が、その処理段階と同時に実行される。
システムコントローラ250に関連付けられたマスストレージデバイス254および/またはメモリデバイス256に格納された他のコンピュータ読み取り可能な命令および/またはプログラムが、いくつかの実施形態において用いられてもよい。プログラムまたはプログラムセクションの例は、基板位置決めプログラム、処理ガス制御プログラム、圧力制御プログラム、ヒータ制御プログラム、および、プラズマ制御プログラムを含む。
基板位置決めプログラムは、基板をペデスタル218上にロードすると共に基板と処理ツール200の他の部品との間の間隔を制御するために用いられる処理ツール構成要素のための命令を備えてよい。位置決めプログラムは、基板上に膜を堆積させるために、必要に応じて、反応チャンバに基板を適切に出し入れするための命令を備えてよい。
処理ガス制御プログラムは、ガス組成および流量を制御するため、ならびに、任意選択的に、堆積の前に1または複数の処理ステーションの周りの空間内にガスを流して、これらの空間内の圧力を安定させるための命令を備えてよい(例えば、カーテンガス)。いくつかの実施形態において、処理ガス制御プログラムは、基板への膜堆積中に処理チャンバ内の1または複数の処理ステーションの周りの空間に特定のガスを導入するための命令を備えてもよい。処理ガス制御プログラムは、さらに、堆積される膜の組成に応じて、同じ持続時間で同じ流量で、もしくは、異なる流量および/または異なる持続時間で、これらのガスを供給するための命令を備えてもよい。処理ガス制御プログラムは、さらに、加熱された注入モジュール内で、ヘリウムまたはその他の搬送ガスの存在下で、液体反応物質を霧化/気化させるための命令を備えてもよい。
圧力制御プログラムは、例えば、処理ステーションの排気システムのスロットルバルブ、処理ステーションへのガス流量などを調節することにより、処理ステーション内の圧力を制御するための命令を備えてよい。圧力制御プログラムは、基板への様々な膜タイプの堆積中に、同じまたは異なる圧力を維持するための命令を備えてよい。
ヒータ制御プログラムは、ペデスタルおよび基板を加熱するために用いられる加熱ユニットへの電流を制御するための命令を備えてよい。代替的または追加的に、ヒータ制御プログラムは、基板への熱伝達ガス(ヘリウムなど)の供給を制御してもよい。ヒータ制御プログラムは、基板への様々な膜タイプの堆積中に、反応チャンバ内および/または処理ステーションの周りの空間内で、同じまたは異なる温度を維持するための命令を備えてよい。このヒータ制御プログラムは、各ペデスタルの温度を独立的に制御するための命令、ならびに、ペデスタルおよび/またはリフトピンを上下させて(例えば、ペデスタルが静止したままで、リフトピンを上下させて、または、基板が静止したままで、ペデスタルを上下させて)、基板とペデスタルの基板支持面との間の分離距離を調整するための命令を備えてもよい。
プラズマ制御プログラムは、本明細書の実施形態に従って、1または複数の処理ステーションにおけるRF電力レベル、周波数、および、暴露時間を設定するための命令を備えてよい。いくつかの実施形態において、プラズマ制御プログラムは、基板への膜堆積中に、同じまたは異なるRF電力レベルおよび/または周波数および/または暴露時間を利用するための命令を備えてよい。
いくつかの実施形態において、システムコントローラ250に関連したユーザインターフェースがあってよい。ユーザインターフェースは、表示スクリーン(装置および/または処理条件のグラフィカルソフトウェアディスプレイ)と、ポインティングデバイス、キーボード、タッチスクリーン、マイクなどのユーザ入力デバイスと、を含みうる。
いくつかの実施形態において、システムコントローラ250によって調整されるパラメータは、処理条件に関してよい。非限定的な例として、処理ガスの組成および流量、温度、圧力、プラズマ条件(RFバイアス電力レベルおよび暴露時間など)などが挙げられる。これらのパラメータは、レシピの形態でユーザに提供されてよく、ユーザインターフェースを用いて入力されうる。
処理を監視するための信号が、様々な処理ツールセンサから、システムコントローラ250のアナログおよび/またはデジタル入力接続によって提供されてよい。処理を制御するための信号は、処理ツール200のアナログおよびデジタル出力接続で出力されてよい。監視されうる処理ツールセンサの非限定的な例は、マスフローコントローラ(MFC)、圧力センサ(圧力計など)、熱電対などを含む。適切にプログラムされたフィードバックアルゴリズムおよび制御アルゴリズムが、処理条件を維持するためにこれらのセンサからのデータと共に用いられてよい。例えば、コントローラは、その場で(例えば、堆積処理中に)基板および/またはペデスタルの温度を監視するための命令と、かかる監視または測定に応答して基板および/またはペデスタルの温度を調整するための命令と、を備えてよい。例えば、コントローラは、1または複数の処理ステーションの温度を監視および検出し、基板の温度を上下させるために、検出された温度に基づいてALD処理の堆積サイクルの合間に基板とペデスタルの基板支持面との間の分離距離を調整してよい。
システムコントローラ250は、本明細書に記載の堆積処理を実施するためのマシン読み取り可能な命令を提供しうる。命令は、DC電力レベル、RFバイアス電力レベル、圧力、温度など、様々なプロセスパラメータを制御しうる。命令は、本明細書に記載の様々な実施形態に従って膜スタックのその場堆積を動作させるためにパラメータを制御しうる。
上述の様々な装置および方法は、例えば、半導体デバイス、ディスプレイ、LED、光起電力パネルなどの加工または製造のために、リソグラフィパターニングツールおよび/または処理と共に用いられてもよい。通例、必ずしもそうとは限らないが、共通の製造施設で一緒および/または同時に、かかるツールが利用され、また、処理が実行される。
膜のリソグラフィパターニングは、通例、以下の動作の一部または全部を含み、各動作は、複数の可能なツールで実現される。(1)スピンオンまたはスプレーオンツールを用いて、基板(窒化シリコン膜を上に形成された基板など)上にフォトレジストを塗布する工程、(2)ホットプレートまたは炉またはその他の適切な硬化ツールを用いて、フォトレジストを硬化させる工程、(3)基板ステッパなどのツールで可視光またはUVまたはx線にフォトレジストを暴露させる工程、(4)ウェットベンチまたはスプレー現像装置などのツールを用いて、選択的にレジストを除去することによってパターニングするためにレジストを現像する工程、(5)ドライエッチングツールまたはプラズマ支援エッチングツールを用いて、下層の膜または基板にレジストパターンを転写する工程、ならびに、(6)RFプラズマまたはマイクロ波プラズマレジストストリッパなどのツールを用いて、レジストを除去する工程。いくつかの実施形態において、アッシング可能なハードマスク層(アモルファス炭素層など)および別の適切なハードマスク(反射防止層など)が、フォトレジストの塗布前に堆積されてよい。
基板処理システム内での異なる温度での材料層の堆積
本明細書に記載のシステムおよび装置は、上述のように異なる温度で材料層を堆積させるための技術を実施するために用いされてよい。いくつかのかかる実施形態において、マルチステーション半導体処理ツール内で膜堆積を実行するためのシステムが、ペデスタル内の加熱素子、および、異なる温度の2以上のステーションを有するよう構成されたマルチステーションリアクタなど、本明細書に記載のシステムの1または複数の態様を備えてよい。
システムは、さらに、本明細書で上述したように、システムが、第1温度で基板上に材料の第1部分を堆積し、第2温度で基板上に材料の第2部分を堆積させるように制御するために、本明細書に記載のシステムコントローラ(例えば、図2のシステムコントローラ250など)のようなコントローラを備えてもよい。コントローラは、本明細書に記載の技術の一部または全部の態様(図4、図8、および、図9に関して上述したすべてのかかる態様など)を実施するための制御ロジックを備えてよい。
例えば、一実施形態において、マルチステーション堆積装置は、処理ステーション内に配置された基板を加熱するよう構成された加熱素子を備えたペデスタルを各々有する少なくとも2つの処理ステーションを備えた処理チャンバを備えてよい。例えば、これは、図2に示したマルチステーション堆積装置を含みうる。装置は、さらに、マルチステーション堆積装置が、上述のように、2以上の異なる温度で基板上に材料を堆積させるように制御するためのコントローラを備えてもよい。コントローラは、(a)マルチステーション堆積装置の第1ステーションに基板を提供することと、(b)基板と第1ペデスタルとの間の熱伝導によって基板の温度を第1温度に調整することと、(c)基板が第1ステーション内で第1温度にある間に、基板上に材料の第1部分を堆積させることと、(d)基板を第2ステーションに移動させることと、(e)基板と第2ペデスタルとの間の熱伝導によって基板の温度を第2温度に調整することと、(f)第1部分および第2部分が、材料の属性について異なる値を示すように、基板が第2温度にある間に、基板上に材料の第2部分を堆積させることと、を備える図4の制御ロジックなど、上述の技術のブロックを実行するための制御ロジックを備えてよい。
マルチステーション堆積装置は、さらに、各ペデスタルが、基板支持面を備え、基板支持面に基板を接触させるよう構成され、第1分離距離および第2分離距離だけ基板支持面から基板を分離させるよう構成されるように、構成されてもよい。コントローラは、さらに、基板を第1分離距離および第2分離距離に配置し、マルチステーション堆積装置の第1ステーションで(a)~(c)、(e)、および、(f)を実行するための制御ロジックを備えてもよい。さらに、(b)は、さらに、第1ステーションのペデスタルの基板支持面から第1分離距離だけ基板を分離させることによって基板の温度を第1温度に調整することを含んでよく、(c)は、さらに、基板が基板支持面から第1分離距離だけ分離されている間に、材料の第1部分を堆積させることを含んでよく、(e)は、さらに、第1ステーションのペデスタルの基板支持面から第2分離距離だけ基板を分離させることによって基板の温度を第2温度に調整することを含んでよく、(f)は、さらに、基板が第1ステーションのペデスタルの基板支持面から第2分離距離だけ分離されている間に、基板上に材料を堆積させることを含んでよい。
いくつかの別の実施形態において、マルチステーション堆積装置は、本明細書で上述したように構成されてよいが、(b)は、基板が第1ステーションのペデスタルの基板支持面から第1分離距離だけ分離されている間に、基板と第1ステーション内のペデスタルとの間の熱伝導によって基板の温度を第1温度に調整することを含んでもよい。コントローラは、さらに、以下を実行するための制御ロジックを備えてもよい。(c)の後で(d)の前に、第1ステーションのペデスタルの基板支持面から第2分離距離だけ基板を分離させることによって基板の温度を第3温度に調整し、(c)の後で(d)の前に、基板が第1ステーション内で第2分離距離および第3温度にある間に、基板上に材料の第3部分を堆積させること。ここで、第3部分は、材料の第1部分および第2部分の一方または両方と異なる値の材料属性を示しうる。

Claims (55)

  1. マルチステーション堆積装置内で基板上に材料を堆積させる方法であって、
    a)前記マルチステーション堆積装置の第1ステーションに基板を提供し、
    b)前記基板と前記第1ステーション内のペデスタルとの間の熱伝導によって前記基板の温度を第1温度に調整し、
    c)前記基板が前記第1ステーション内で前記第1温度である間に、前記基板上に前記材料の第1部分を堆積させ、
    d)前記マルチステーション堆積装置内の第2ステーションに前記基板を搬送し、
    e)前記基板と前記第2ステーション内のペデスタルとの間の熱伝導によって前記基板の温度を第2温度に調整し、
    f)前記基板が前記第2温度である間に、前記基板上に前記材料の第2部分を堆積させること、
    を備え、
    前記第1部分および前記第2部分は、前記材料の属性について異なる値を示し、
    前記属性は、第1ウェットエッチング速度、第1密度、第1光学属性、第1降伏電圧または第1抵抗率である、方法。
  2. 請求項1に記載の方法であって、
    前記基板が前記第1温度である間に、前記基板上に前記材料を堆積させることは、属性の第1値を有する第1層として前記材料の前記第1部分を堆積させ、
    前記基板が前記第2温度である間に、前記基板上に前記材料を堆積させることは、前記属性の第2値を有する第2層として前記材料の前記第2部分を堆積させる、方法。
  3. 請求項1に記載の方法であって、
    (b)は、前記第1ステーションのペデスタル内の加熱素子を用いて、前記基板の温度を前記第1温度に調整することを含み、
    (e)は、前記第2ステーションのペデスタル内の加熱素子を用いて、前記基板の温度を前記第2温度に調整することを含む、方法。
  4. 請求項1に記載の方法であって、さらに、
    g)(f)の後に、前記マルチステーション堆積装置の第3ステーションに前記基板を提供し、
    h)前記基板と前記第3ステーション内のペデスタルとの間の熱伝導によって前記基板の温度を第3温度に調整し、
    i)前記基板が前記第3温度である間に、前記基板上に前記材料の第3部分を堆積させること、
    を備える、方法。
  5. 請求項4に記載の方法であって、前記第3温度は、前記第1温度、前記第2温度、および、前記第1温度でも前記第2温度でもない温度からなる群より選択された温度である、方法。
  6. 請求項5に記載の方法であって、さらに、
    j)(i)の後に、前記マルチステーション堆積装置の第4ステーションに前記基板を提供し、
    k)前記基板と前記第4ステーション内のペデスタルとの間の熱伝導によって前記基板の温度を第4温度に調整し、
    l)前記基板が前記第4温度である間に、前記基板上に前記材料の第4部分を堆積させること、
    を備える、方法。
  7. 請求項6に記載の方法であって、前記第4温度は、前記第1温度、前記第2温度、前記第3温度、および、前記第1温度でも前記第2温度でも前記第3温度でもない温度からなる群より選択された温度である、方法。
  8. 請求項1に記載の方法であって、
    (b)前記基板の温度を前記第1温度に調整することは、前記第1ステーションの前記ペデスタルの基板支持面から第1分離距離だけ前記基板を分離させることを含み、
    前記方法は、さらに、(c)の後であって(d)の前に、前記第1ステーションの前記ペデスタルの前記基板支持面から第2分離距離だけ前記基板を分離させることによって、前記基板の温度を第3温度に調整することを備え、
    前記方法は、さらに、(c)の後であって(d)の前に、前記基板が、前記第1ステーション内で前記第2分離距離で前記第3温度である間に、前記基板上に前記材料の第3部分を堆積させることを備え、
    前記第3部分は、前記材料の前記第1部分および前記第2部分の一方または両方と異なる値の前記材料の前記属性を示す、方法。
  9. 請求項8に記載の方法であって、
    (e)は、前記第2ステーション内の前記ペデスタルの基板支持面から第3分離距離だけ前記基板を分離させることによって、前記基板の温度を前記第2温度に調整することを含み、
    前記方法は、さらに、(f)の後に、前記第2ステーション内の前記ペデスタルの前記基板支持面から第4分離距離だけ前記基板を分離させることによって、前記基板の温度を第4温度に調整することを備え、
    前記方法は、さらに、(f)の後に、前記基板が、前記第2ステーション内で前記第4温度にある間に、前記基板上に前記材料の第4部分を堆積させることを備え、
    前記第4部分は、前記材料の前記第1部分、前記第2部分、および、前記第3部分の1または複数と異なる値の前記材料の前記属性を示す、方法。
  10. 請求項8に記載の方法であって、前記第1分離距離は、前記基板が前記基板支持面に接触するように、実質的にゼロである、方法。
  11. 請求項8に記載の方法であって、(e)は、前記基板が静止したままで、前記基板から離れるように垂直に前記ペデスタルを移動させることによって、前記ペデスタルの前記基板支持面から前記第2分離距離だけ前記基板を分離させることを含む、方法。
  12. 請求項8に記載の方法であって、(e)は、前記ペデスタルが静止したままで、持ち上げ機構を用いて前記ペデスタルから離れるように垂直に前記基板を移動させることによって、前記ペデスタルの前記基板支持面から前記第2分離距離だけ前記基板を分離させることを含む、方法。
  13. 請求項1から12のいずれか一項に記載の方法であって、
    (c)は、N1回の堆積サイクルにわたって前記基板上に前記材料の前記第1部分を堆積させることを含み、1堆積サイクルは、1ステーションで堆積される材料層の全厚の一部を集合的に堆積させる一連の処理工程であり、
    (f)は、N2回の堆積サイクルにわたって前記基板上に前記材料の前記第2部分を堆積させることを含み、1堆積サイクルは、1ステーションで堆積される材料層の全厚の一部を集合的に堆積させる一連の処理工程である、方法。
  14. 請求項1から12のいずれか一項に記載の方法であって、
    (c)は、期間t1中に前記基板上に前記材料の前記第1部分を堆積させることを含み、
    (f)は、期間t2中に前記基板上に前記材料の前記第2部分を堆積させることを含む、方法。
  15. 請求項1から7のいずれか一項に記載の方法であって、さらに、(c)で前記材料の前記第1部分を堆積させる際に、前記基板を前記第1ステーションの前記ペデスタルの基板支持面に向かってまたは基板支持面から離れるように移動させることで、前記基板と前記ペデスタルとの間の分離距離を調整して、さらに、前記第1ステーション内の前記基板の温度を調整することを備える、方法。
  16. マルチステーション堆積装置であって、
    処理チャンバと、
    前記処理チャンバ内の第1ステーションであって、前記第1ステーション内に配置されている基板を加熱するよう構成されている第1加熱素子を有する第1ペデスタルを備える、第1ステーションと、
    前記処理チャンバ内の第2ステーションであって、前記第2ステーション内に配置されている基板を加熱するよう構成されている第2加熱素子を有する第2ペデスタルを備える、第2ステーションと、
    前記第1ステーションと前記第2ステーションとの間で1または複数の基板を移動させるための基板搬送装置と、
    異なる温度で基板上に材料を堆積させるように前記マルチステーション堆積装置を制御するためのコントローラと、
    を備え、
    前記コントローラは、
    a)前記マルチステーション堆積装置の前記第1ステーションに基板を提供し、
    b)前記基板と前記第1ペデスタルとの間の熱伝導によって前記基板の温度を第1温度に調整し、
    c)前記基板が前記第1ステーション内で前記第1温度である間に、前記基板上に前記材料の第1部分を堆積させ、
    d)前記基板を前記第2ステーションに移動させ、
    e)前記基板と前記第2ペデスタルとの間の熱伝導によって前記基板の温度を第2温度に調整し、
    f)前記基板が前記第2温度である間に、前記基板上に前記材料の第2部分を堆積させるための制御ロジックを備え、
    前記第1部分および前記第2部分は、前記材料の属性について異なる値を示し、 前記属性は、第1ウェットエッチング速度、第1密度、第1光学属性、第1降伏電圧または第1抵抗率である、装置。
  17. 請求項16に記載の装置であって、
    各ペデスタルは、基板支持面を備え、前記基板支持面に前記基板を接触させるよう構成され、第1分離距離および第2分離距離だけ前記基板支持面から前記基板を分離させるよう構成され、
    前記コントローラは、さらに、
    前記基板を前記第1分離距離および前記第2分離距離に配置し、
    前記マルチステーション堆積装置の前記第1ステーションで、(a)~(c)、(e)、および、(f)を実行するための制御ロジックを備え、
    (b)は、前記第1ステーションの前記ペデスタルの前記基板支持面から第1分離距離だけ前記基板を分離させることによって、前記基板の温度を前記第1温度に調整することを含み、
    (c)は、基板が前記基板支持面から前記第1分離距離だけ分離されている間に、前記材料の前記第1部分を堆積させることを含み、
    (e)は、前記第1ステーションの前記ペデスタルの前記基板支持面から第2分離距離だけ前記基板を分離させることによって、前記基板の温度を前記第2温度に調整することを含み、
    (f)は、前記基板が前記第1ステーションの前記ペデスタルの前記基板支持面から前記第2分離距離だけ分離されている間に、前記基板上に前記材料を堆積させることを含む、装置。
  18. 請求項16に記載の装置であって、
    各ペデスタルは、基板支持面を備え、前記基板支持面に前記基板を接触させるよう構成され、第1分離距離および第2分離距離だけ前記基板支持面から前記基板を分離させるよう構成され、
    (b)は、前記基板が前記第1ステーションの前記ペデスタルの基板支持面から第1分離距離だけ分離されている間に、前記基板と前記第1ステーション内の前記ペデスタルとの間の熱伝導によって前記基板の温度を前記第1温度に調整することを含み、
    前記コントローラは、さらに、
    (c)の後であって(d)の前に、前記第1ステーションの前記ペデスタルの前記基板支持面から第2分離距離だけ前記基板を分離させることによって、前記基板の温度を第3温度に調整し、
    (c)の後であって(d)の前に、前記基板が、前記第1ステーション内で第2分離距離で前記第3温度にある間に、前記基板上に前記材料の第3部分を堆積させるための制御ロジックを備え、
    前記第3部分は、前記材料の前記第1部分および前記第2部分の一方または両方と異なる値の前記材料の前記属性を示す、装置。
  19. 請求項16から18のいずれか一項に記載の装置であって、前記第1加熱素子は、アルミニウムに埋め込まれた抵抗加熱素子または窒化アルミニウムに埋め込まれた加熱ワイヤの内の一方である、装置。
  20. マルチステーション堆積装置内で基板上に材料を堆積させる方法であって、
    前記基板を前記マルチステーション堆積装置の第1ステーションで第1温度まで加熱し、
    前記基板が前記第1ステーション内で前記第1温度である間に、前記基板上に前記材料の第1部分を堆積させ、
    前記基板を前記マルチステーション堆積装置における前記第1ステーションから第2ステーションに移動させ、前記基板の温度を第2温度まで加熱し、
    前記基板が前記第2温度である間に、前記基板上に前記材料の第2部分を堆積させることを備え、
    前記第1部分および前記第2部分は、前記材料の1または複数の属性について異なる値を示し、
    前記属性は、第1ウェットエッチング速度、第1密度、第1光学属性、第1降伏電圧または第1抵抗率である、方法。
  21. 請求項1に記載の方法であって、
    前記第2温度は、前記第1温度より高い、方法。
  22. 請求項21に記載の方法であって、さらに、
    前記基板を前記マルチステーション堆積装置における前記第2ステーションから第3ステーションに移動させ、前記基板の前記温度を、前記第2温度より高い第3温度に調整し、
    前記基板が前記第3ステーションにおいて前記第3温度である間に、前記基板上に前記材料の第3部分を堆積させることを備え、
    前記第2部分および前記第3部分は、前記材料の1または複数の属性について異なる値を示す、方法。
  23. 請求項21に記載の方法であって、さらに、
    前記基板を前記マルチステーション堆積装置における前記第2ステーションから第3ステーションに移動させ、前記基板の前記温度を、前記第2温度に調整し、
    前記基板が前記第3ステーションにおいて前記第2温度である間に、前記基板上に前記材料の第3部分を堆積させることを備え、
    前記第2部分および前記第3部分は、前記材料の1または複数の属性について実質的に同じ値を示す、方法。
  24. 請求項21に記載の方法であって、さらに、
    前記基板を前記第2ステーションに移動させる前に、前記基板を前記マルチステーション堆積装置における前記第1ステーションから第3ステーションに移動させ、前記基板の前記温度を、前記第1温度に調整し、
    前記基板が前記第3ステーションにおいて前記第1温度である間に、前記基板上に前記材料の第3部分を堆積させることを備え、
    前記第1部分および前記第3部分は、前記材料の1または複数の属性について実質的に同じ値を示す、方法。
  25. 請求項21に記載の方法であって、
    前記第1温度は約100℃であり、前記第2温度は約200℃である、方法。
  26. 請求項1に記載の方法であって、
    前記第2温度は、前記第1温度より低い、方法。
  27. 請求項26に記載の方法であって、さらに、
    前記基板を前記マルチステーション堆積装置における前記第2ステーションから第3ステーションに移動させ、前記基板の前記温度を、前記第2温度より低い第3温度に調整し、
    前記基板が前記第3ステーションにおいて前記第3温度である間に、前記基板上に前記材料の第3部分を堆積させることを備え、
    前記第2部分および前記第3部分は、前記材料の1または複数の属性について異なる値を示す、方法。
  28. 請求項26に記載の方法であって、さらに、
    前記基板を前記マルチステーション堆積装置における前記第2ステーションから第3ステーションに移動させ、前記基板の前記温度を、前記第2温度に調整し、
    前記基板が前記第3ステーションにおいて前記第2温度である間に、前記基板上に前記材料の第3部分を堆積させることを備え、
    前記第2部分および前記第3部分は、前記材料の1または複数の属性について実質的に同じ値を示す、方法。
  29. 請求項26に記載の方法であって、さらに、
    前記基板を前記第2ステーションに移動させる前に、前記基板を前記マルチステーション堆積装置における前記第1ステーションから第3ステーションに移動させ、前記基板の前記温度を、前記第1温度に調整し、
    前記基板が前記第3ステーションにおいて前記第1温度である間に、前記基板上に前記材料の第3部分を堆積させることを備え、
    前記第1部分および前記第3部分は、前記材料の1または複数の属性について実質的に同じ値を示す、方法。
  30. 請求項1に記載の方法であって、
    前記材料は、窒化シリコンであり、前記材料が堆積される前記基板の表面は、タングステンを含む、方法。
  31. 請求項1に記載の方法であって、
    前記材料は、窒化シリコンであり、前記材料が堆積される前記基板の表面は、カルコゲニドを含む、方法。
  32. マルチステーション堆積装置内で基板上に材料を堆積させる方法であって、
    前記マルチステーション堆積装置における第1ステーションの第1ペデスタルの第1支持面から第1分離距離だけ離れて前記基板を配置することによって、前記基板を第1温度まで加熱し、
    前記基板が前記第1ステーションにおいて前記第1温度である間に、前記基板上に前記材料の第1部分を堆積させ、
    前記基板を前記マルチステーション堆積装置における前記第1ステーションから第2ステーションに移動させ、
    前記マルチステーション堆積装置における前記第2ステーションの第2ペデスタルの第2支持面から第2分離距離だけ離れて前記基板を配置することによって、前記基板の温度を第2温度に調整し、
    前記基板が前記第2温度である間に、前記基板上に前記材料の第2部分を堆積させること、
    を備え、
    前記第1部分および前記第2部分は、前記材料の1または複数の属性について異なる値を示し、
    前記属性は、第1ウェットエッチング速度、第1密度、第1光学属性、第1降伏電圧または第1抵抗率である、方法。
  33. 請求項32に記載の方法であって、
    前記第2分離距離は、前記第1分離距離より短い、方法。
  34. 請求項33に記載の方法であって、さらに、
    前記基板を前記マルチステーション堆積装置における前記第2ステーションから第3ステーションに移動させ、
    前記マルチステーション堆積装置の前記第3ステーションにおける第3ペデスタルの第3支持面から前記第2分離距離より短い第3分離距離だけ離れて前記基板を配置することによって、前記基板の温度を第1温度より高い第3温度まで加熱し、
    前記基板が前記第3ステーションにおいて前記第3温度である間に、前記基板上に前記材料の第3部分を堆積させることを備え、
    前記第1部分、前記第2部分、および前記第3部分は、前記材料の1または複数の属性について異なる値を示す、方法。
  35. 請求項32に記載の方法であって、
    前記第2分離距離は、前記第1分離距離より長い、方法。
  36. 請求項35に記載の方法であって、さらに、
    前記基板を前記マルチステーション堆積装置における前記第2ステーションから第3ステーションに移動させ、
    前記マルチステーション堆積装置における前記第3ステーションの第3ペデスタルの第3支持面から前記第2分離距離より長い第3分離距離だけ離れて前記基板を配置することによって、前記基板の温度を前記第1温度および前記第2温度より低い第3温度まで加熱し、
    前記基板が前記第3ステーションにおいて前記第3温度である間に、前記基板上に前記材料の第3部分を堆積させることを備え、
    前記第1部分、前記第2部分、および前記第3部分は、前記材料の1または複数の属性について異なる値を示す、方法。
  37. 請求項32に記載の方法であって、
    前記材料は、窒化シリコンであり、前記材料が堆積される前記基板の表面は、タングステンを含む、方法。
  38. 請求項32に記載の方法であって、
    前記材料は、窒化シリコンであり、前記材料が堆積される前記基板の表面は、カルコゲニドを含む、方法。
  39. マルチステーション堆積装置であって、
    処理チャンバと、
    前記処理チャンバ内の第1処理ステーションであって、前記第1処理ステーション内に配置されている基板を加熱するよう構成されている第1加熱素子を有する第1ペデスタルを備える第1処理ステーションと、
    前記処理チャンバ内の第2処理ステーションであって、前記第2処理ステーション内に配置されている基板を加熱するよう構成されている第2加熱素子を有する第2ペデスタルを備える第2処理ステーションと、
    前記第1処理ステーションと前記第2処理ステーションとの間で1または複数の基板を移動させるための基板搬送装置と、
    異なる温度で基板上に材料を堆積させるように前記マルチステーション堆積装置を制御するためのコントローラと、
    を備え、
    前記コントローラは、
    前記基板を前記第1処理ステーションにおいて第1温度まで加熱し、
    前記基板が前記第1処理ステーションにおいて前記第1温度である間に、前記基板上に前記材料の第1部分を堆積させ、
    前記基板を前記第1処理ステーションから前記第2処理ステーションに移動させ、前記基板の前記温度を第2温度まで加熱し、
    前記基板が前記第2温度である間に、前記基板上に前記材料の第2部分を堆積させるための制御ロジックを備え、
    前記第1部分および前記第2部分は、前記材料の1または複数の属性について異なる値を示し、
    前記属性は、第1ウェットエッチング速度、第1密度、第1光学属性、第1降伏電圧または第1抵抗率である、装置。
  40. 請求項39に記載の装置であって、
    前記第2温度は、前記第1温度より高い、装置。
  41. 請求項40に記載の装置であって、さらに、
    前記処理チャンバ内における第3処理ステーションであって、前記第3処理ステーション内に配置されている基板を加熱するよう構成されている第3加熱素子を有する第3ペデスタルを備える第3処理ステーションを備え、
    前記基板搬送装置は、さらに、前記第1処理ステーションと前記第2処理ステーションと前記第3処理ステーションとの間で1または複数の基板を移動させ、
    前記コントローラは、さらに、
    前記基板を前記第2処理ステーションから前記第3処理ステーションに移動させ、前記基板の前記温度を、前記第2温度より高い第3温度に調整し、
    前記基板が前記第3処理ステーションにおいて前記第3温度である間に、前記基板上に前記材料の第3部分を堆積させるための制御ロジックを備え、
    前記第2部分および前記第3部分は、前記材料の1または複数の属性について異なる値を示す、装置。
  42. 請求項40に記載の装置であって、さらに、
    前記処理チャンバ内における第3処理ステーションであって、前記第3処理ステーション内に配置されている基板を加熱するよう構成されている第3加熱素子を有する第3ペデスタルを備える第3処理ステーションを備え、
    前記基板搬送装置は、さらに、前記第1処理ステーションと前記第2処理ステーションと前記第3処理ステーションとの間で1または複数の基板を移動させ、
    前記コントローラは、さらに、
    前記基板を前記第2処理ステーションから前記第3処理ステーションに移動させ、前記基板の前記温度を前記第2温度に調整し、
    前記基板が前記第3処理ステーションにおいて前記第2温度である間に、前記基板上に前記材料の第3部分を堆積させるための制御ロジックを備え、
    前記第2部分および前記第3部分は、前記材料の1または複数の属性について実質的に同じ値を示す、装置。
  43. 請求項40に記載の装置であって、さらに、
    前記処理チャンバ内における第3処理ステーションであって、前記第3処理ステーション内に配置されている基板を加熱するよう構成されている第3加熱素子を有する第3ペデスタルを備える第3処理ステーションを備え、
    前記基板搬送装置は、さらに、前記第1処理ステーションと前記第2処理ステーションと前記第3処理ステーションとの間で1または複数の基板を移動させ、
    前記コントローラは、さらに、
    前記基板を前記第2処理ステーションに移動させる前に、前記基板を前記第1処理ステーションから前記第3処理ステーションに移動させ、前記基板の前記温度を前記第1温度に調整し、
    前記基板が前記第3処理ステーションにおいて前記第1温度である間に、前記基板上に前記材料の第3部分を堆積させるための制御ロジックを備え、
    前記第1部分および前記第3部分は、前記材料の1または複数の属性について実質的に同じ値を示す、装置。
  44. 請求項40に記載の装置であって、
    前記第1温度は、約100℃であり、前記第2温度は、約200℃である、装置。
  45. 請求項39に記載の装置であって、
    前記第2温度は、前記第1温度より低い、装置。
  46. 請求項45に記載の装置であって、さらに、
    前記処理チャンバ内における第3処理ステーションであって、前記第3処理ステーション内に配置されている基板を加熱するよう構成されている第3加熱素子を有する第3ペデスタルを備える第3処理ステーションを備え、
    前記基板搬送装置は、さらに、前記第1処理ステーションと前記第2処理ステーションと前記第3処理ステーションとの間で1または複数の基板を移動させ、
    前記コントローラは、さらに、
    前記基板を前記第2処理ステーションから前記第3処理ステーションに移動させ、前記基板の前記温度を前記第2温度より低い第3温度に調整し、
    前記基板が前記第3処理ステーションにおいて前記第3温度である間に、前記基板上に前記材料の第3部分を堆積させるための制御ロジックを備え、
    前記第2部分および前記第3部分は、前記材料の1または複数の属性について異なる値を示す、装置。
  47. 請求項45に記載の装置であって、さらに、
    前記処理チャンバ内における第3処理ステーションであって、前記第3処理ステーション内に配置されている基板を加熱するよう構成されている第3加熱素子を有する第3ペデスタルを備える第3処理ステーションを備え、
    前記基板搬送装置は、さらに、前記第1処理ステーションと前記第2処理ステーションと前記第3処理ステーションとの間で1または複数の基板を移動させ、
    前記コントローラは、さらに、
    前記基板を前記第2処理ステーションから前記第3処理ステーションに移動させ、前記基板の前記温度を前記第2温度に調整し、
    前記基板が前記第3処理ステーションにおいて前記第2温度である間に、前記基板上に前記材料の第3部分を堆積させるための制御ロジックを備え、
    前記第2部分および前記第3部分は、前記材料の1または複数の属性について実質的に同じ値を示す、装置。
  48. 請求項45に記載の装置であって、さらに、
    前記処理チャンバ内における第3処理ステーションであって、前記第3処理ステーション内に配置されている基板を加熱するよう構成されている第3加熱素子を有する第3ペデスタルを備える第3処理ステーションを備え、
    前記基板搬送装置は、さらに、前記第1処理ステーションと前記第2処理ステーションと前記第3処理ステーションとの間で1または複数の基板を移動させ、
    前記コントローラは、さらに、
    前記基板を前記第2処理ステーションに移動させる前に、前記基板を前記第1処理ステーションから前記第3処理ステーションに移動させ、前記基板の前記温度を前記第1温度に調整し、
    前記基板が前記第3処理ステーションにおいて前記第1温度である間に、前記基板上に前記材料の第3部分を堆積させるための制御ロジックを備え、
    前記第1部分および前記第3部分は、前記材料の1または複数の属性について実質的に同じ値を示す、装置。
  49. マルチステーション堆積装置であって、
    処理チャンバと、
    前記処理チャンバ内における第1処理ステーションであって、前記第1処理ステーション内に配置されている基板を加熱するよう構成されている第1加熱素子を有する第1ペデスタルを備え、前記第1ペデスタルは、第1基板支持面を備え、前記基板を前記第1基板支持面に接触させるよう構成され、第1分離距離および第2分離距離だけ前記第1基板支持面から前記基板を分離させるよう構成され、
    前記処理チャンバ内における第2処理ステーションであって、前記第2処理ステーション内に配置されている基板を加熱するよう構成されている第2加熱素子を有する第2ペデスタルを備え、前記第2ペデスタルは、第2基板支持面を備え、前記基板を前記第2基板支持面に接触させるよう構成され、第3分離距離および第4分離距離だけ前記第2基板支持面から前記基板を分離させるよう構成され、
    前記第1処理ステーションと前記第2処理ステーションとの間で1または複数の基板を移動させるための基板搬送装置と、
    異なる温度で基板上に材料を堆積させるように前記マルチステーション堆積装置を制御するためのコントローラと、
    を備え、
    前記コントローラは、
    前記第1基板支持面から前記第1分離距離だけ離れて前記基板を配置することによって、前記基板を第1温度まで加熱し、
    前記基板が前記第1処理ステーション内で前記第1温度である間に、前記基板上に前記材料の第1部分を堆積させ、
    前記基板を前記第1処理ステーションから前記第2処理ステーションに移動させ、
    前記第2基板支持面から前記第3分離距離だけ離れて前記基板を配置することによって、前記基板の前記温度を第2温度に調整し、
    前記基板が前記第2温度である間に、前記基板上に前記材料の第2部分を堆積させるための制御ロジックを備え、
    前記第1部分および前記第2部分は、前記材料の1または複数の属性について異なる値を示し、
    前記属性は、第1ウェットエッチング速度、第1密度、第1光学属性、第1降伏電圧または第1抵抗率である、装置。
  50. 請求項49に記載の装置であって、
    前記第3分離距離は、前記第1分離距離より短い、装置。
  51. 請求項50に記載の装置であって、さらに、
    前記処理チャンバ内における第3処理ステーションであって、前記第3処理ステーション内に配置されている基板を加熱するよう構成されている第3加熱素子を有する第3ペデスタルを備える、第3処理ステーションを備え、
    前記第3ペデスタルは、第3基板支持面を備え、前記基板を前記第3基板支持面に接触させるよう構成され、第5分離距離および第6分離距離だけ前記第3基板支持面から前記基板を分離させるよう構成され、
    前記基板搬送装置は、さらに、前記第1処理ステーションと前記第2処理ステーションと前記第3処理ステーションとの間で1または複数の基板を移動させ、
    前記コントローラは、さらに、
    前記基板を前記第2処理ステーションから前記第3処理ステーションに移動させ、
    前記第3分離距離より短い前記第5分離距離だけ前記第3基板支持面から前記基板を離れて配置することによって、前記基板の前記温度を前記第1温度および前記第2温度より高い第3温度まで加熱し、
    前記基板が前記第3処理ステーションにおいて前記第3温度である間に、前記基板上に前記材料の第3部分を堆積させるための制御ロジックを備え、
    前記第1部分、前記第2部分、および前記第3部分は、前記材料の1または複数の属性について異なる値を示す、装置。
  52. 請求項49に記載の装置であって、
    前記第3分離距離は、前記第1分離距離より長い、装置。
  53. 請求項52に記載の装置であって、さらに、
    前記処理チャンバ内における第3処理ステーションであって、前記第3処理ステーション内に配置されている基板を加熱するよう構成されている第3加熱素子を有する第3ペデスタルを備える、第3処理ステーションを備え、
    前記第3ペデスタルは、第3基板支持面を備え、前記基板を前記第3基板支持面に接触させるよう構成され、第5分離距離および第6分離距離だけ前記第3基板支持面から前記基板を分離させるよう構成され、
    前記基板搬送装置は、さらに、前記第1処理ステーションと前記第2処理ステーションと前記第3処理ステーションとの間で1または複数の基板を移動させ、
    前記コントローラは、さらに、
    前記基板を前記第2処理ステーションから前記第3処理ステーションに移動させ、
    前記第3分離距離より長い前記第5分離距離だけ前記第3基板支持面から離れて前記基板を配置することによって、前記基板の前記温度を前記第1温度および前記第2温度より低い第3温度まで加熱し、
    前記基板が前記第3処理ステーションにおいて前記第3温度である間に、前記基板上に前記材料の第3部分を堆積させるための制御ロジックを備え、
    前記第1部分、前記第2部分、および前記第3部分は、前記材料の1または複数の属性について異なる値を示す、装置。
  54. マルチステーション堆積装置内で基板上に材料を堆積させる方法であって、
    a)前記マルチステーション堆積装置の第1ステーションに基板を提供し、
    b)前記第1ステーションの前記ペデスタルの基板支持面から第1分離距離だけ前記基板を分離させることによって、前記基板と前記第1ステーション内のペデスタルとの間の熱伝導によって前記基板の温度を第1温度に調整し、
    c)前記基板が前記第1ステーション内で前記第1温度である間に、前記基板上に前記材料の第1部分を堆積させ、
    d)(c)の後であって(f)の前に、前記第1ステーションの前記ペデスタルの前記基板支持面から第2分離距離だけ前記基板を分離させることによって、前記基板の温度を第3温度に調整し、
    e)(c)の後であって(f)の前に、前記基板が、前記第1ステーション内で前記第2分離距離で前記第3温度である間に、前記基板上に前記材料の第3部分を堆積させ、
    f)前記マルチステーション堆積装置内の第2ステーションに前記基板を搬送し、
    g)前記基板が静止したままで、前記基板から離れるように垂直に前記ペデスタルを移動させることによって、前記基板と前記第2ステーション内のペデスタルとの間の熱伝導によって前記基板の温度を第2温度に調整し、
    h)前記基板が前記第2温度である間に、前記基板上に前記材料の第2部分を堆積させること、
    を備え、
    前記第1部分および前記第2部分は、前記材料の属性について異なる値を示し、前記第3部分は、前記材料の前記第1部分および前記第2部分の一方または両方と異なる値の前記材料の前記属性を示す、方法。
  55. マルチステーション堆積装置内で基板上に材料を堆積させる方法であって、
    a)前記マルチステーション堆積装置の第1ステーションに基板を提供し、
    b)前記基板と前記第1ステーション内のペデスタルとの間の熱伝導によって前記基板の温度を第1温度に調整し、
    c)前記基板が前記第1ステーション内で前記第1温度である間に、前記基板上に前記材料の第1部分を堆積させ、
    d)前記マルチステーション堆積装置内の第2ステーションに前記基板を搬送し、
    e)前記基板と前記第2ステーション内のペデスタルとの間の熱伝導によって前記基板の温度を第2温度に調整し、
    f)前記基板が前記第2温度である間に、前記基板上に前記材料の第2部分を堆積させること、
    を備え、
    前記第1部分および前記第2部分は、前記材料の属性について異なる値を示し、
    前記材料は、窒化シリコンであり、前記材料が堆積された前記基板の表面はカルコゲニドを含む、方法。
JP2017148915A 2016-08-09 2017-08-01 堆積期間にわたる基板温度を変化させることによる界面反応の抑制 Active JP7170386B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/232,708 2016-08-09
US15/232,708 US10347547B2 (en) 2016-08-09 2016-08-09 Suppressing interfacial reactions by varying the wafer temperature throughout deposition

Publications (3)

Publication Number Publication Date
JP2018026555A JP2018026555A (ja) 2018-02-15
JP2018026555A5 JP2018026555A5 (ja) 2020-09-10
JP7170386B2 true JP7170386B2 (ja) 2022-11-14

Family

ID=61159319

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017148915A Active JP7170386B2 (ja) 2016-08-09 2017-08-01 堆積期間にわたる基板温度を変化させることによる界面反応の抑制

Country Status (4)

Country Link
US (2) US10347547B2 (ja)
JP (1) JP7170386B2 (ja)
KR (1) KR102493002B1 (ja)
CN (2) CN107699869B (ja)

Families Citing this family (254)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10347547B2 (en) * 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US10643826B2 (en) * 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10613268B1 (en) * 2017-03-07 2020-04-07 Facebook Technologies, Llc High refractive index gratings for waveguide displays manufactured by self-aligned stacked process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
WO2020222853A1 (en) * 2019-05-01 2020-11-05 Lam Research Corporation Modulated atomic layer deposition
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN110690134B (zh) * 2019-09-12 2022-07-01 长江存储科技有限责任公司 多站式沉积工艺的串气检测方法、设备及可读存储介质
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
CN110672667B (zh) * 2019-10-17 2021-02-26 北京航空航天大学 一种用于测量等离子体沉积的动态压阻探针
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
JP7359000B2 (ja) * 2020-01-20 2023-10-11 東京エレクトロン株式会社 基板を処理する装置、及び基板を処理する方法
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
KR20210125155A (ko) * 2020-04-07 2021-10-18 삼성디스플레이 주식회사 표시 장치의 제조방법
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220012474A (ko) * 2020-07-22 2022-02-04 주식회사 원익아이피에스 박막 증착 방법 및 이를 이용한 반도체 소자의 제조방법
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11961716B2 (en) 2021-12-09 2024-04-16 Industrial Technology Research Institute Atomic layer deposition method

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001044178A (ja) 1999-07-30 2001-02-16 Matsushita Electronics Industry Corp 基板処理方法および基板処理装置
JP2008112826A (ja) 2006-10-30 2008-05-15 Elpida Memory Inc 半導体装置の製造方法
JP2015188061A (ja) 2014-03-11 2015-10-29 東京エレクトロン株式会社 プラズマ処理装置及び成膜方法
JP2015220458A (ja) 2014-05-15 2015-12-07 ラム リサーチ コーポレーションLam Research Corporation マルチステーション基板堆積システムにおける一aldサイクルの厚さ制御

Family Cites Families (225)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3020131A (en) 1959-10-22 1962-02-06 Knapic Electro Physics Inc Rotating pedestal
US3612825A (en) 1969-08-08 1971-10-12 Shatterproof Glass Corp Windowed high-temperature ovens
US3704219A (en) 1971-04-07 1972-11-28 Mcdowell Electronics Inc Impedance matching network for use with sputtering apparatus
US4457359A (en) 1982-05-25 1984-07-03 Varian Associates, Inc. Apparatus for gas-assisted, solid-to-solid thermal transfer with a semiconductor wafer
US4535835A (en) 1982-05-25 1985-08-20 Varian Associates, Inc. Optimum surface contour for conductive heat transfer with a thin flexible workpiece
US4563589A (en) 1984-01-09 1986-01-07 Scheffer Herbert D Ultraviolet curing lamp device
JPS61264649A (ja) 1985-05-20 1986-11-22 Ulvac Corp 基板冷却装置
US4615755A (en) 1985-08-07 1986-10-07 The Perkin-Elmer Corporation Wafer cooling and temperature control for a plasma etching system
JPS62229833A (ja) 1986-03-29 1987-10-08 Hitachi Ltd 光化学反応方法
JPS63307740A (ja) 1987-06-09 1988-12-15 Semiconductor Energy Lab Co Ltd 光化学反応処理装置
JPH01107519A (ja) 1987-10-20 1989-04-25 Nec Corp 気相成長装置
US4956582A (en) 1988-04-19 1990-09-11 The Boeing Company Low temperature plasma generator with minimal RF emissions
US4949783A (en) 1988-05-18 1990-08-21 Veeco Instruments, Inc. Substrate transport and cooling apparatus and method for same
JPH01296611A (ja) 1988-05-25 1989-11-30 Canon Inc 半導体薄膜堆積法
JPH01319944A (ja) 1988-06-21 1989-12-26 Mitsubishi Electric Corp 半導体基板表面に薄膜を形成する方法およびその装置
US5178682A (en) 1988-06-21 1993-01-12 Mitsubishi Denki Kabushiki Kaisha Method for forming a thin layer on a semiconductor substrate and apparatus therefor
JP3090339B2 (ja) 1990-03-19 2000-09-18 株式会社東芝 気相成長装置および方法
JPH03277774A (ja) 1990-03-27 1991-12-09 Semiconductor Energy Lab Co Ltd 光気相反応装置
KR940011708B1 (ko) 1990-04-09 1994-12-23 니찌덴 아네루바 가부시끼가이샤 기판온도제어기구
DE69132523D1 (de) 1990-05-09 2001-03-08 Canon Kk Erzeugung von Mustern und Herstellungsverfahren für Halbleiteranordnungen mit diesem Muster
US5195045A (en) 1991-02-27 1993-03-16 Astec America, Inc. Automatic impedance matching apparatus and method
JPH0812847B2 (ja) 1991-04-22 1996-02-07 株式会社半導体プロセス研究所 半導体製造装置及び半導体装置の製造方法
US5282121A (en) 1991-04-30 1994-01-25 Vari-Lite, Inc. High intensity lighting projectors
US5228208A (en) 1991-06-17 1993-07-20 Applied Materials, Inc. Method of and apparatus for controlling thermal gradient in a load lock chamber
JPH0531735A (ja) 1991-08-02 1993-02-09 Canon Inc 光学素子の成形装置
US5298939A (en) 1991-11-04 1994-03-29 Swanson Paul A Method and apparatus for transfer of a reticle pattern onto a substrate by scanning
JP2934084B2 (ja) 1991-11-25 1999-08-16 キヤノン株式会社 成形装置
JP3238200B2 (ja) 1992-07-17 2001-12-10 株式会社東芝 基体処理装置及び半導体素子製造方法
US5248922A (en) 1992-04-27 1993-09-28 Motion Control Technologies, Inc. Multi-DSP, multi-functional motion controller
US5339198A (en) 1992-10-16 1994-08-16 The Dow Chemical Company All-polymeric cold mirror
US7097712B1 (en) 1992-12-04 2006-08-29 Semiconductor Energy Laboratory Co., Ltd. Apparatus for processing a semiconductor
US5580388A (en) 1993-01-21 1996-12-03 Moore Epitaxial, Inc. Multi-layer susceptor for rapid thermal process reactors
JPH0790582A (ja) 1993-06-22 1995-04-04 Nissin Electric Co Ltd 基板保持装置
DE4418562A1 (de) 1993-06-29 1995-01-12 Leybold Durferrit Gmbh Verfahren und Vorrichtung zur Behandlung von im wesentlichen aus Kunststoff oder Gummi bestehendem Gut
US5407524A (en) 1993-08-13 1995-04-18 Lsi Logic Corporation End-point detection in plasma etching by monitoring radio frequency matching network
US5447431A (en) 1993-10-29 1995-09-05 Brooks Automation, Inc. Low-gas temperature stabilization system
JP3017631B2 (ja) 1993-11-24 2000-03-13 東京エレクトロン株式会社 低温処理装置の制御方法
US5588827A (en) 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US6015503A (en) 1994-06-14 2000-01-18 Fsi International, Inc. Method and apparatus for surface conditioning
US5562947A (en) 1994-11-09 1996-10-08 Sony Corporation Method and apparatus for isolating a susceptor heating element from a chemical vapor deposition environment
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JP3220619B2 (ja) 1995-05-24 2001-10-22 松下電器産業株式会社 ガス伝熱プラズマ処理装置
US5830277A (en) 1995-05-26 1998-11-03 Mattson Technology, Inc. Thermal processing system with supplemental resistive heater and shielded optical pyrometry
JP2814370B2 (ja) 1995-06-18 1998-10-22 東京エレクトロン株式会社 プラズマ処理装置
JPH0992615A (ja) 1995-09-27 1997-04-04 Sony Corp 半導体ウェハの冷却装置
US7025831B1 (en) 1995-12-21 2006-04-11 Fsi International, Inc. Apparatus for surface conditioning
WO1997031389A1 (fr) 1996-02-23 1997-08-28 Tokyo Electron Limited Dispositif de traitement thermique
US5656093A (en) 1996-03-08 1997-08-12 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
US5667592A (en) 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
US5811762A (en) 1996-09-25 1998-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Heater assembly with dual temperature control for use in PVD/CVD system
US5838121A (en) 1996-11-18 1998-11-17 Applied Materials, Inc. Dual blade robot
JPH10284360A (ja) 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US6214184B1 (en) 1997-05-14 2001-04-10 Taiwan Semiconductor Manufacturing Company, Ltd Insulated wafer pedestal
GB9711080D0 (en) 1997-05-29 1997-07-23 Imperial College Film or coating deposition on a substrate
US6113698A (en) 1997-07-10 2000-09-05 Applied Materials, Inc. Degassing method and apparatus
US6222161B1 (en) 1998-01-12 2001-04-24 Tokyo Electron Limited Heat treatment apparatus
US6072163A (en) 1998-03-05 2000-06-06 Fsi International Inc. Combination bake/chill apparatus incorporating low thermal mass, thermally conductive bakeplate
US6273022B1 (en) 1998-03-14 2001-08-14 Applied Materials, Inc. Distributed inductively-coupled plasma source
JP3758009B2 (ja) 1998-07-01 2006-03-22 日本エー・エス・エム株式会社 半導体処理用の基板保持装置
US6232248B1 (en) 1998-07-03 2001-05-15 Tokyo Electron Limited Single-substrate-heat-processing method for performing reformation and crystallization
US6219219B1 (en) 1998-09-30 2001-04-17 Applied Materials, Inc. Cathode assembly containing an electrostatic chuck for retaining a wafer in a semiconductor wafer processing system
US6239018B1 (en) 1999-02-01 2001-05-29 United Microelectronics Corp. Method for forming dielectric layers
US6087632A (en) 1999-01-11 2000-07-11 Tokyo Electron Limited Heat processing device with hot plate and associated reflector
JP4111625B2 (ja) 1999-03-31 2008-07-02 芝浦メカトロニクス株式会社 真空処理装置の基板冷却台
WO2000070666A1 (fr) 1999-05-14 2000-11-23 Tokyo Electron Limited Technique de traitement et dispositif correspondant
JP2001104776A (ja) 1999-10-06 2001-04-17 Tokyo Electron Ltd 処理装置及び処理方法
US6320736B1 (en) 1999-05-17 2001-11-20 Applied Materials, Inc. Chuck having pressurized zones of heat transfer gas
US6376387B2 (en) 1999-07-09 2002-04-23 Applied Materials, Inc. Method of sealing an epitaxial silicon layer on a substrate
US6307184B1 (en) 1999-07-12 2001-10-23 Fsi International, Inc. Thermal processing chamber for heating and cooling wafer-like objects
US6228438B1 (en) 1999-08-10 2001-05-08 Unakis Balzers Aktiengesellschaft Plasma reactor for the treatment of large size substrates
KR100338057B1 (ko) 1999-08-26 2002-05-24 황 철 주 유도 결합형 플라즈마 발생용 안테나 장치
US6410457B1 (en) 1999-09-01 2002-06-25 Applied Materials, Inc. Method for improving barrier layer adhesion to HDP-FSG thin films
US6561796B1 (en) 1999-09-07 2003-05-13 Novellus Systems, Inc. Method of semiconductor wafer heating to prevent bowing
KR100389913B1 (ko) 1999-12-23 2003-07-04 삼성전자주식회사 공정조건을 변화시키면서 화학기상 증착법으로 루테늄막을형성하는 방법 및 그에 의해 형성된 루테늄막
US6803237B2 (en) 2000-01-25 2004-10-12 Woods Hole Oceanographic Institution Sequential processing reaction vessel for chemical fractionation and analysis
US6534751B2 (en) 2000-02-28 2003-03-18 Kyocera Corporation Wafer heating apparatus and ceramic heater, and method for producing the same
US6444039B1 (en) 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US20030157267A1 (en) 2000-03-20 2003-08-21 Carlo Waldfried Fluorine-free plasma curing process for porous low-k materials
JP4540796B2 (ja) 2000-04-21 2010-09-08 東京エレクトロン株式会社 石英ウインドウ、リフレクタ及び熱処理装置
JP3516392B2 (ja) 2000-06-16 2004-04-05 イビデン株式会社 半導体製造・検査装置用ホットプレート
US6860965B1 (en) 2000-06-23 2005-03-01 Novellus Systems, Inc. High throughput architecture for semiconductor processing
JP4731694B2 (ja) 2000-07-21 2011-07-27 東京エレクトロン株式会社 半導体装置の製造方法および基板処理装置
IL154095A0 (en) 2000-08-04 2003-07-31 S C Fluids Inc Inverted pressure vessel with shielded closure mechanism
DE10043234A1 (de) 2000-09-02 2002-03-14 Stihl Maschf Andreas Ventiltrieb mit einem Kipphebel
JP2004523880A (ja) 2000-09-15 2004-08-05 アプライド マテリアルズ インコーポレイテッド 処理装置用ダブル二重スロット式ロードロック
JP2002134484A (ja) 2000-10-19 2002-05-10 Asm Japan Kk 半導体基板保持装置
US6413321B1 (en) 2000-12-07 2002-07-02 Applied Materials, Inc. Method and apparatus for reducing particle contamination on wafer backside during CVD process
TWI313059B (ja) 2000-12-08 2009-08-01 Sony Corporatio
US6544340B2 (en) 2000-12-08 2003-04-08 Applied Materials, Inc. Heater with detachable ceramic top plate
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6559424B2 (en) 2001-01-02 2003-05-06 Mattson Technology, Inc. Windows used in thermal processing chambers
JP2002246375A (ja) 2001-02-21 2002-08-30 Matsushita Electric Ind Co Ltd プラズマ処理装置
US20020117109A1 (en) 2001-02-27 2002-08-29 Hazelton Andrew J. Multiple stage, stage assembly having independent reaction force transfer
AU2002240261A1 (en) 2001-03-02 2002-09-19 Tokyo Electron Limited Method and apparatus for active temperature control of susceptors
US6563686B2 (en) 2001-03-19 2003-05-13 Applied Materials, Inc. Pedestal assembly with enhanced thermal conductivity
US20020179006A1 (en) 2001-04-20 2002-12-05 Memc Electronic Materials, Inc. Method for the preparation of a semiconductor substrate with a non-uniform distribution of stabilized oxygen precipitates
JP3825277B2 (ja) 2001-05-25 2006-09-27 東京エレクトロン株式会社 加熱処理装置
US6529686B2 (en) 2001-06-06 2003-03-04 Fsi International, Inc. Heating member for combination heating and chilling apparatus, and methods
JP3713220B2 (ja) 2001-06-15 2005-11-09 日本特殊陶業株式会社 セラミックヒータ
JP4682456B2 (ja) 2001-06-18 2011-05-11 株式会社日立ハイテクノロジーズ 基板処理方法及び基板処理装置
KR20020096524A (ko) 2001-06-20 2002-12-31 삼성전자 주식회사 반도체 장치 제조용 공정챔버의 웨이퍼 안착 구조
DE10237311A1 (de) 2001-08-14 2003-05-22 Samsung Corning Co Vorrichtung und Verfahren zum Aufbringen von Dünnschichten auf einen Glasträger
JP2003059999A (ja) 2001-08-14 2003-02-28 Tokyo Electron Ltd 処理システム
JP4821074B2 (ja) 2001-08-31 2011-11-24 東京エレクトロン株式会社 処理システム
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6752948B2 (en) 2001-10-03 2004-06-22 3D Systems, Inc. Post processing three-dimensional objects formed by selective deposition modeling
US6563092B1 (en) 2001-11-28 2003-05-13 Novellus Systems, Inc. Measurement of substrate temperature in a process chamber using non-contact filtered infrared pyrometry
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
JP2003213430A (ja) 2002-01-18 2003-07-30 Mitsubishi Heavy Ind Ltd 製膜装置の基板加熱装置
US6646233B2 (en) 2002-03-05 2003-11-11 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus and wafer processing method
US6899765B2 (en) 2002-03-29 2005-05-31 Applied Materials Israel, Ltd. Chamber elements defining a movable internal chamber
US20030199603A1 (en) 2002-04-04 2003-10-23 3M Innovative Properties Company Cured compositions transparent to ultraviolet radiation
JP3588457B2 (ja) 2002-04-26 2004-11-10 京セラ株式会社 ウェハ加熱装置
JP3090339U (ja) 2002-05-29 2002-12-06 アルプス電気株式会社 測定装置
KR20030096732A (ko) 2002-06-17 2003-12-31 삼성전자주식회사 반도체소자 제조용 화학기상증착장치의 쿨링 스테이지
KR100515052B1 (ko) 2002-07-18 2005-09-14 삼성전자주식회사 반도체 기판상에 소정의 물질을 증착하는 반도체 제조 장비
JP4133062B2 (ja) 2002-07-19 2008-08-13 大日本スクリーン製造株式会社 熱処理装置
CN100437894C (zh) 2002-09-10 2008-11-26 亚舍立技术有限公司 利用固定温度的卡盘以可变温度的工艺加热衬底的方法
US6768084B2 (en) 2002-09-30 2004-07-27 Axcelis Technologies, Inc. Advanced rapid thermal processing (RTP) using a linearly-moving heating assembly with an axisymmetric and radially-tunable thermal radiation profile
US7404990B2 (en) 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US6887523B2 (en) 2002-12-20 2005-05-03 Sharp Laboratories Of America, Inc. Method for metal oxide thin film deposition via MOCVD
JP4212888B2 (ja) 2002-12-26 2009-01-21 三菱化学エンジニアリング株式会社 プレート型触媒反応器
DE10261362B8 (de) 2002-12-30 2008-08-28 Osram Opto Semiconductors Gmbh Substrat-Halter
US7767056B2 (en) 2003-01-14 2010-08-03 Canon Anelva Corporation High-frequency plasma processing apparatus
JP4382750B2 (ja) 2003-01-24 2009-12-16 東京エレクトロン株式会社 被処理基板上にシリコン窒化膜を形成するcvd方法
US7265061B1 (en) 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
US6933004B2 (en) 2003-05-20 2005-08-23 Lucent Technologies Inc. Control of stress in metal films by controlling the temperature during film deposition
JP3929939B2 (ja) 2003-06-25 2007-06-13 株式会社東芝 処理装置、製造装置、処理方法及び電子装置の製造方法
US7154731B1 (en) 2003-07-18 2006-12-26 Novellus Systems, Inc. Reflective coating for electrostatic chucks
JP4540953B2 (ja) 2003-08-28 2010-09-08 キヤノンアネルバ株式会社 基板加熱装置及びマルチチャンバー基板処理装置
US7264676B2 (en) 2003-09-11 2007-09-04 United Microelectronics Corp. Plasma apparatus and method capable of adaptive impedance matching
JP2005116655A (ja) 2003-10-06 2005-04-28 Canon Inc ロードロックチャンバー、露光装置、デバイスの製造方法、真空排気方法
US7024105B2 (en) 2003-10-10 2006-04-04 Applied Materials Inc. Substrate heater assembly
US8536492B2 (en) 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
US7410355B2 (en) 2003-10-31 2008-08-12 Asm International N.V. Method for the heat treatment of substrates
JP4376070B2 (ja) 2004-01-14 2009-12-02 日本碍子株式会社 加熱装置
JP4931605B2 (ja) * 2004-01-26 2012-05-16 アプライド マテリアルズ インコーポレイテッド 半導体基板上へ金属を無電解堆積するための装置
US7256111B2 (en) 2004-01-26 2007-08-14 Applied Materials, Inc. Pretreatment for electroless deposition
US7824498B2 (en) 2004-02-24 2010-11-02 Applied Materials, Inc. Coating for reducing contamination of substrates during processing
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US7169256B2 (en) 2004-05-28 2007-01-30 Lam Research Corporation Plasma processor with electrode responsive to multiple RF frequencies
US20050279384A1 (en) 2004-06-17 2005-12-22 Guidotti Emmanuel P Method and processing system for controlling a chamber cleaning process
TW200612512A (en) 2004-06-28 2006-04-16 Ngk Insulators Ltd Substrate heating sapparatus
US7332445B2 (en) 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
US20060105114A1 (en) * 2004-11-16 2006-05-18 White John M Multi-layer high quality gate dielectric for low-temperature poly-silicon TFTs
DE102004055449B4 (de) 2004-11-17 2008-10-23 Steag Hamatech Ag Verfahren und Vorrichtung zum thermischen Behandeln von Substraten
US20070196011A1 (en) 2004-11-22 2007-08-23 Cox Damon K Integrated vacuum metrology for cluster tool
TW200723352A (en) 2004-12-06 2007-06-16 Axcelis Tech Inc Medium pressure plasma system for removal of surface layers without substrate loss
US20060130757A1 (en) 2004-12-22 2006-06-22 Yicheng Li Apparatus for active dispersion of precursors
JP2006210372A (ja) 2005-01-25 2006-08-10 Sony Corp 半導体製造装置および半導体製造方法
KR100702010B1 (ko) 2005-03-07 2007-03-30 삼성전자주식회사 반사체, 이를 채택하는 기판 처리 장치 및 이를 사용하는기판 처리 방법
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US20100270004A1 (en) 2005-05-12 2010-10-28 Landess James D Tailored profile pedestal for thermo-elastically stable cooling or heating of substrates
US7194199B2 (en) 2005-06-03 2007-03-20 Wafermasters, Inc. Stacked annealing system
US20070006893A1 (en) 2005-07-08 2007-01-11 Bing Ji Free radical initiator in remote plasma chamber clean
US7941039B1 (en) 2005-07-18 2011-05-10 Novellus Systems, Inc. Pedestal heat transfer and temperature control
US20070029046A1 (en) 2005-08-04 2007-02-08 Applied Materials, Inc. Methods and systems for increasing substrate temperature in plasma reactors
CN100358097C (zh) 2005-08-05 2007-12-26 中微半导体设备(上海)有限公司 半导体工艺处理系统及其处理方法
US7956310B2 (en) 2005-09-30 2011-06-07 Tokyo Electron Limited Stage, substrate processing apparatus, plasma processing apparatus, control method for stage, control method for plasma processing apparatus, and storage media
JP2007158074A (ja) 2005-12-06 2007-06-21 Dainippon Screen Mfg Co Ltd 基板熱処理装置
CN101326613B (zh) 2005-12-07 2010-12-08 艾克塞利斯技术公司 用于去除表面层而不损失基片的中等压力等离子体系统
JP2007194582A (ja) 2005-12-20 2007-08-02 Tokyo Electron Ltd 高誘電体薄膜の改質方法及び半導体装置
US7845891B2 (en) 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
JP4497103B2 (ja) 2006-02-21 2010-07-07 住友電気工業株式会社 ウェハ保持体およびそれを搭載したヒータユニット、ウェハプローバ
JP4702799B2 (ja) 2006-03-17 2011-06-15 ルネサスエレクトロニクス株式会社 ボルト及び半導体製造装置
US7432195B2 (en) 2006-03-29 2008-10-07 Tokyo Electron Limited Method for integrating a conformal ruthenium layer into copper metallization of high aspect ratio features
KR20070115248A (ko) 2006-06-01 2007-12-05 삼성전자주식회사 웨이퍼 지지 장치
US7665951B2 (en) 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US20070283709A1 (en) 2006-06-09 2007-12-13 Veeco Instruments Inc. Apparatus and methods for managing the temperature of a substrate in a high vacuum processing system
JP5347214B2 (ja) 2006-06-12 2013-11-20 東京エレクトロン株式会社 載置台構造及び熱処理装置
US20080102644A1 (en) 2006-10-31 2008-05-01 Novellus Systems, Inc. Methods for removing photoresist from a semiconductor substrate
US7704894B1 (en) * 2006-11-20 2010-04-27 Novellus Systems, Inc. Method of eliminating small bin defects in high throughput TEOS films
US7960297B1 (en) 2006-12-07 2011-06-14 Novellus Systems, Inc. Load lock design for rapid wafer heating
US7870804B2 (en) 2006-12-08 2011-01-18 GM Global Technologies Operations LLC Multi-speed dual clutch transmission
US8222574B2 (en) 2007-01-15 2012-07-17 Applied Materials, Inc. Temperature measurement and control of wafer support in thermal processing chamber
KR100836183B1 (ko) 2007-01-16 2008-06-09 (주)나노테크 히터 조립체 및 그 설치구조
KR20080072275A (ko) 2007-02-01 2008-08-06 세메스 주식회사 반도체 제조용 애싱 장비 및 그 구동방법
JP2008192840A (ja) 2007-02-05 2008-08-21 Tokyo Electron Ltd 真空処理装置及び真空処理方法並びに記憶媒体
US9383138B2 (en) 2007-03-30 2016-07-05 Tokyo Electron Limited Methods and heat treatment apparatus for uniformly heating a substrate during a bake process
CN100590804C (zh) 2007-06-22 2010-02-17 中芯国际集成电路制造(上海)有限公司 原子层沉积方法以及形成的半导体器件
JP5135915B2 (ja) 2007-06-28 2013-02-06 東京エレクトロン株式会社 載置台構造及び熱処理装置
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US7831135B2 (en) 2007-09-04 2010-11-09 Sokudo Co., Ltd. Method and system for controlling bake plate temperature in a semiconductor processing chamber
US8137467B2 (en) 2007-10-16 2012-03-20 Novellus Systems, Inc. Temperature controlled showerhead
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8052419B1 (en) 2007-11-08 2011-11-08 Novellus Systems, Inc. Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation
US9002514B2 (en) 2007-11-30 2015-04-07 Novellus Systems, Inc. Wafer position correction with a dual, side-by-side wafer transfer robot
US8033769B2 (en) 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
US8047706B2 (en) 2007-12-07 2011-11-01 Asm America, Inc. Calibration of temperature control system for semiconductor processing chamber
US20090206056A1 (en) 2008-02-14 2009-08-20 Songlin Xu Method and Apparatus for Plasma Process Performance Matching in Multiple Wafer Chambers
JP2009218536A (ja) 2008-03-13 2009-09-24 Seiko Epson Corp 基板加熱装置および電気光学装置の製造装置
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
TWI472882B (zh) 2008-05-06 2015-02-11 Novellus Systems Inc 光阻剝離方法及設備
US8288288B1 (en) 2008-06-16 2012-10-16 Novellus Systems, Inc. Transferring heat in loadlocks
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
US8033771B1 (en) 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
WO2010101191A1 (ja) 2009-03-03 2010-09-10 東京エレクトロン株式会社 載置台構造、成膜装置、及び、原料回収方法
US20100247804A1 (en) 2009-03-24 2010-09-30 Applied Materials, Inc. Biasable cooling pedestal
US20120006489A1 (en) 2009-03-26 2012-01-12 Shogo Okita Plasma processing apparatus and plasma processing method
KR20110054840A (ko) 2009-11-18 2011-05-25 주식회사 아토 샤워헤드 어셈블리 및 이를 구비한 박막증착장치
US20120074126A1 (en) 2010-03-26 2012-03-29 Applied Materials, Inc. Wafer profile modification through hot/cold temperature zones on pedestal for semiconductor manufacturing equipment
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9546416B2 (en) * 2010-09-13 2017-01-17 Semiconductor Energy Laboratory Co., Ltd. Method of forming crystalline oxide semiconductor film
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
JP6223983B2 (ja) 2011-09-30 2017-11-01 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 温度制御付き静電チャック
US20130145989A1 (en) 2011-12-12 2013-06-13 Intermolecular, Inc. Substrate processing tool showerhead
KR101347962B1 (ko) * 2011-12-13 2014-01-08 주식회사 케이씨텍 박막의 특성 향상을 위한 원자층 증착방법
KR20140119726A (ko) 2012-01-06 2014-10-10 노벨러스 시스템즈, 인코포레이티드 적응형 열 교환 방법 및 균일한 열 교환을 위한 시스템
CN103077917A (zh) 2012-06-29 2013-05-01 光达光电设备科技(嘉兴)有限公司 衬底支撑座及应用所述衬底支撑座的半导体处理设备
US20140170857A1 (en) 2012-12-18 2014-06-19 Intermolecular, Inc. Customizing Etch Selectivity with Sequential Multi-Stage Etches with Complementary Etchants
US20160056032A1 (en) 2014-08-22 2016-02-25 Lam Research Corporation Methods and apparatuses for stable deposition rate control in low temperature ald systems by showerhead active heating and/or pedestal cooling
US20170029948A1 (en) * 2015-07-28 2017-02-02 Asm Ip Holding B.V. Methods and apparatuses for temperature-indexed thin film deposition
US11421321B2 (en) * 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US9508547B1 (en) 2015-08-17 2016-11-29 Lam Research Corporation Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors
US9922809B2 (en) * 2015-10-14 2018-03-20 Qorvo Us, Inc. Deposition system for growth of inclined c-axis piezoelectric material structures
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
JP7017306B2 (ja) 2016-11-29 2022-02-08 株式会社日立ハイテク 真空処理装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001044178A (ja) 1999-07-30 2001-02-16 Matsushita Electronics Industry Corp 基板処理方法および基板処理装置
JP2008112826A (ja) 2006-10-30 2008-05-15 Elpida Memory Inc 半導体装置の製造方法
JP2015188061A (ja) 2014-03-11 2015-10-29 東京エレクトロン株式会社 プラズマ処理装置及び成膜方法
JP2015220458A (ja) 2014-05-15 2015-12-07 ラム リサーチ コーポレーションLam Research Corporation マルチステーション基板堆積システムにおける一aldサイクルの厚さ制御

Also Published As

Publication number Publication date
CN107699869B (zh) 2020-06-16
US10347547B2 (en) 2019-07-09
US11075127B2 (en) 2021-07-27
US20180047645A1 (en) 2018-02-15
JP2018026555A (ja) 2018-02-15
US20200066607A1 (en) 2020-02-27
KR102493002B1 (ko) 2023-01-27
CN111663120A (zh) 2020-09-15
CN107699869A (zh) 2018-02-16
KR20180018325A (ko) 2018-02-21
KR20230018507A (ko) 2023-02-07

Similar Documents

Publication Publication Date Title
JP7170386B2 (ja) 堆積期間にわたる基板温度を変化させることによる界面反応の抑制
JP7194713B2 (ja) 二次パージ対応aldシステムにおけるシャワーヘッド裏側の寄生プラズマを抑制するための方法及び装置
US10577691B2 (en) Single ALD cycle thickness control in multi-station substrate deposition systems
KR102612832B1 (ko) 플라즈마 보조 원자층 증착의 rf 보상을 위한 방법 및 장치
US11479856B2 (en) Multi-cycle ALD process for film uniformity and thickness profile modulation
KR102446502B1 (ko) 암모니아 프리 및 염소 프리 컨포멀 실리콘 나이트라이드 막을 증착하는 방법
KR101701024B1 (ko) 컨포멀한 알루미늄 질화물을 위한 고 성장 레이트 프로세스
US20200299838A1 (en) Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
TW201619429A (zh) 藉由噴淋頭主動加熱及/或底座冷卻而進行低溫原子層沉積系統中之穩定沉積速率控制用方法及設備
TW201717252A (zh) 使半導體裝置中的膜密集化之方法
US20220136104A1 (en) Multi-station semiconductor processing with independently adjustable pedestals
WO2021011950A1 (en) Modulation of oxidation profile for substrate processing
KR102662595B1 (ko) 증착 내내 웨이퍼 온도를 가변함으로써 계면 반응들 억제
JP2023501371A (ja) 高周波電力増加によるプラズマ強化原子層堆積
KR20240059612A (ko) 증착 내내 웨이퍼 온도를 가변함으로써 계면 반응들 억제

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200731

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200731

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210824

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210825

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20211119

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220222

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220517

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220808

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221011

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221101

R150 Certificate of patent or registration of utility model

Ref document number: 7170386

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150