TWI743135B - 用於膜輪廓調變之噴淋頭簾幕氣體方法及系統 - Google Patents

用於膜輪廓調變之噴淋頭簾幕氣體方法及系統 Download PDF

Info

Publication number
TWI743135B
TWI743135B TW106119409A TW106119409A TWI743135B TW I743135 B TWI743135 B TW I743135B TW 106119409 A TW106119409 A TW 106119409A TW 106119409 A TW106119409 A TW 106119409A TW I743135 B TWI743135 B TW I743135B
Authority
TW
Taiwan
Prior art keywords
curtain gas
gas
station
curtain
thin film
Prior art date
Application number
TW106119409A
Other languages
English (en)
Other versions
TW201809342A (zh
Inventor
可里伊許特克
艾里恩 拉芙依
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201809342A publication Critical patent/TW201809342A/zh
Application granted granted Critical
Publication of TWI743135B publication Critical patent/TWI743135B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

揭露沉積薄膜用的方法及系統。方法可包含:決定在該製程室中進行薄膜沉積用的複數製程條件,該複數製程條件包含在該製程室中之每一製程站之外圍附近流動之一簾幕氣體的一流動條件;(b)在薄膜沉積期間根據在(a)中所決定的該複數製程條件使該簾幕氣流至該製程室中的該每一製程站;(c)在(b)期間或在(b)之後,決定該製程室中之該簾幕氣體之一經調整的流動條件以改善基板非均勻度;(d)在(c)之後,在薄膜沉積期間根據在(c)中所決定之該經調整的流動條件流動該簾幕氣體。系統可包含氣體輸送系統、製程室、及具有用以進行(a)-(d)中之一或多者之控制邏輯的控制器。

Description

用於膜輪廓調變之噴淋頭簾幕氣體方法及系統
本發明係關於使用簾幕氣體改善基板非均勻度的方法及系統。
隨著半導體業界中積體電路(IC)裝置及基板特徵部尺寸的持續微縮及在IC設計中增加使用3D裝置結構(如Intel的三閘電晶體架構),沉積順形薄膜(相對於下方結構(即便是非平坦結構)具有均勻厚度的材料薄膜)的能力將持續變得困難。原子層沉積(ALD)因其單一循環僅沉積單一材料薄層的事實而相當適合用以沉積順形薄膜—單一循環僅沉積單一材料層為ALD製程涉及在前驅物之膜形成表面反應之前僅形成一或多種薄膜前驅物之吸附限制層的結果。接著可使用多個「ALD循環」累積期望厚度的薄膜,由於每一層皆薄且順形,所得薄膜實質上順形於下方結構特徵部及/或裝置結構的形狀。
然而在半導體製造中使用ALD製程有許多相關的挑戰,通常此些挑戰係與需要許多ALD循環才能累積充分薄膜厚度的事實相關。快速ALD循環時間可藉著特製的半導體製程硬體來促進,然而,若未仔細設計此些沉積設備及在此些設備中進行的薄膜形成操作,可能會犧牲所得之ALD薄膜的均勻度。因此,業界尋找能改善沉積薄膜之均勻度的方法、系統、及設備。
在一實施例中,可提供一種在多站半導體製程室中沉積薄膜的方法。此方法可包含(a)決定在該製程室中進行薄膜沉積用的複數製程條件,該複數製程條件包含在該製程室中之每一製程站之外圍附近流動之一簾幕氣體的一流動條件;(b)在薄膜沉積期間根據在(a)中所決定的該複數製程條件使該簾幕氣體流至該製程室中的該每一製程站;(c)在(b)期間或在(b)之後,決定該製程室中之該簾幕氣體之一經調整的流動條件以改善基板均勻度;及(d)在(c)之後,在薄膜沉積期間根據在(c)中所決定之該經調整的流動條件流動該簾幕氣體。
在某些實施例中,該簾幕氣體的該流動條件可為該簾幕氣體的一流率,該簾幕氣體之該經調整的流動條件可為該簾幕氣體之一經調整的流率。
在其他更進一步的實施例中,在(d)期間以一實質上固定的流率流動該簾幕氣體。
在某些其他更進一步的實施例中,在(d)期間以一可變化的流率流動該簾幕氣體。
在某些實施例中,該簾幕氣體的該流動條件可為該簾幕氣體的一分壓,該簾幕氣體之該經調整的流動條件可為該簾幕氣體之一經調整的分壓。
在某些實施例中,該複數製程條件可包含該製程室的一壓力,該簾幕氣體在該製程室中之該經調整的流動條件可藉由該製程室之一經調整的壓力所造成。
在某些實施例中,該複數製程條件可包含該製程室之一排放速率,該簾幕氣體在該製程室中之該經調整的流動條件可藉由該製程室之一經調整的排放速率所造成。
在某些實施例中,該簾幕氣體的該流動條件可為該簾幕氣體的一流率及該簾幕氣體的一分壓,該複數製程條件可包含該製程室之一壓力與該製程室之一排放速率,該簾幕氣體在該製程室中之該經調整的流動條件可為下列的多於一者:該簾幕氣體之一經調整的流率、該簾幕氣體之一經調整的分壓、藉由該製程室之一經調整的壓力所造成者、藉由該製程室之一經調整的排放速率所造成者。
在某些實施例中,(d)可在該薄膜沉積的一階段期間進行。
在其他更進一步的實施例中,(d)可在薄膜沉積之下列階段中的一或多者期間進行:將每一該製程站中的複數基板暴露至一前驅物材料、自該製程室移除至少部分該前驅物、活化每一該基板上的該前驅物的一反應、及在該反應之後移除該製程室中至少部分的複數氣體。
在某些實施例中,(d)可在該薄膜沉積的所有階段期間進行。
在其他更進一步的實施例中,(d)可在薄膜沉積所可包含之下列階段中的所有者期間進行:將每一該製程站中的複數基板暴露至一前驅物材料、自該製程室移除至少部分該前驅物、活化每一該基板上的該前驅物的一反應、及在該反應之後移除該製程室中至少部分的複數氣體。
在某些實施例中,該方法更可包含在(c)之前辨識在該製程室中之一或多個該製程站所沉積的薄膜中的一非均勻度,該(c)的該決定可至少部分基於該辨識。
在某些實施例中,在(c)中所決定之該簾幕氣體之該經調整的流動條件可包含,相較於在(a)中流動之該簾幕氣體流,該簾幕氣體中之一經調整的氧濃度。
在其他更進一步的實施例中,在(c)中決定之該簾幕氣體之該經調整的流動條件可包含純分子氧。
在某些實施例中,該簾幕氣體包含氧與一第二成分(如氬或氮)的一混合物。
在一實施例中,可提供一種在多站半導體製程設備中進行沉積薄膜的系統。該系統可包含一氣體輸送系統;及包含至少兩製程站的一製程室,每一該製程站共用該氣體輸送系統,該製程室係用以使一簾幕氣體在每一該製程站的外圍附近流動。該系統亦可包含用以控制該系統而將一材料沉積在於不同製程站中所處理之至少兩片基板上的一控制器,該控制器包含用於下列者的控制邏輯:(a)根據在該製程室中進行薄膜沉積用的複數製程條件使該簾幕氣體在薄膜沉積期間流動至該製程室中的每一製程站,該複數製程條件包含在該製程室中之每一製程站之外圍附近流動之該簾幕氣體的一流動條件;(b)在(a)期間或在(a)之後,決定該製程室中之該簾幕氣體之一經調整的流動條件以改善基板均勻度;及(c)在(b)之後,在薄膜沉積期間根據在 (b)中所決定之該經調整的流動條件流動該簾幕氣體。
在某些實施例中,該簾幕氣體的該流動條件可為該簾幕氣體的一流率,該簾幕氣體之該經調整的流動條件可為該簾幕氣體之一經調整的流率。
在某些實施例中,該簾幕氣體的該流動條件可為該簾幕氣體的一分壓,該簾幕氣體之該經調整的流動條件可為該簾幕氣體之一經調整的分壓。
在某些實施例中,該複數製程條件可包含該製程室的一壓力,該簾幕氣體在該製程室中之該經調整的流動條件可藉由該製程室之一經調整的壓力所造成。
在某些實施例中,該複數製程條件可包含該製程室之一排放速率,該簾幕氣體在該製程室中之該經調整的流動條件可藉由該製程室之一經調整的排放速率所造成。
在某些實施例中,該控制器更可包含用於下列者的控制邏輯:(d)將每一該製程站中的複數基板暴露至一前驅物材料;(e)自該製程室移除至少部分該前驅物;(f)活化每一該基板上的該前驅物的一反應;及(g)在該反應之後移除該製程室中至少部分的複數氣體,其中(c)係於(d)至(g)中的一或多者期間進行。
在某些實施例中,該控制器更可包含用於下列者的控制邏輯:(h)決定在該製程室中進行薄膜沉積用之該複數製程條件,該複數製程條件包含該簾幕氣體的該流動條件。
在某些實施例中,該系統更包含在該製程室中的一基板支撐件及用以將氣體流至該製程室中的一噴淋頭。該製程室更可用以使來自一製程室體、該基板支撐件、或該噴淋頭的該簾幕氣體流動。
在下面的敘述中將提供各種特定細節以提供對本發明概念的全面瞭解。然而,本發明的概念可在缺乏部分或全部此些特定細節的情況下實施。在其他的情況下,不詳細說明習知的程序操作以免不必要地模糊本發明的概念。雖然將利用特定的詳細實施例來說明本發明,但應瞭解,其意不在限制文中所述之發明概念。
文中已例示說明了許多概念與實施例。雖然已說明例示了文中所討論之實施例的某些特徵、特點、及優點,但應瞭解,自此些說明及例示可得本發明之許多其他及不同及/或類似的實施例、特徵、特點、優點。是以,下面的實施例僅為本發明的某些可行實例。其意不在將本發明窮盡或限制至所揭露的精準形式、技術、材料、及/或結構。基於文中揭露內容可進行許多修改與變化。應瞭解,可使用其他實施例且可在不脫離本發明範疇的情況下進行操作變化。是以,由於上面的說明之呈現目的在於例示與說明,本發明之範疇不僅限於下面之敘述。
重要地,本發明既不限於任何單一態樣或實施例也不限於此類態樣及/或實施例的任何單一組合及/或變化。又,本發明之每一態樣及/或其實施例皆可單獨實施或與其他態樣及/或實施例的一或多者組合實施。為了簡潔,文中將不會討論及/或分別例示此些變化及組合。
文中揭露用以在多站半導體基板製程室中進行薄膜沉積的方法、系統及設備。使用某些半導體製程將一或多層之材料膜層沉積至基板如晶圓上。文中所用的「晶圓」通常被解讀為包含其他形式的「基板」如大形式的顯示基板。此類沉積製程的實例包含化學氣相沉積(CVD)、電漿增強CVD(PECVD)、原子層沉積(ALD)、低壓CVD、超高CVD、物理氣相沉積(PVD)、及順形薄膜沉積(CFD)。
例如,某些CVD製程可藉著使一或多種氣體反應物流至反應器中而在基板表面上沉積薄膜,氣體反應物在反應器中形成薄膜前驅物及副產物。前驅物被傳輸至晶圓表面並被晶圓所吸附、擴散進入晶圓中、以化學反應沉積至晶圓上,化學反應亦產生欲自表面及自反應器移除的副產物。
又例如,某些沉積製程涉及複數薄膜沉積循環,每一沉積循環產生一「離散」的薄膜厚度。ALD為一此類薄膜沉積方法,但以重覆程序方式產生薄膜之薄層的任何技術皆可被視為涉及複數沉積循環。
如下面將更詳細說明地,一個用以在製程室中之基板上沉積單一材料層的基本ALD循環可包含:(i)使一薄膜前驅物吸附至基板上俾使該前驅物形成一吸附限制層或一部分吸附限制層(如給劑);(ii)自容納該基板之製程站附近移除(如吹淨)未吸附的(包含脫附的)薄膜前驅物(當未吸附的薄膜前驅物存在時,至少移除部分);及(iii)在移除未吸附的薄膜前驅物後,使已吸附之薄膜前驅物反應—例如藉著在該製程站附近點燃電漿—以在基板上形成一薄膜層(如反應)。(文中所用之「未吸附」之薄膜前驅物一詞被定義為包含已脫附之薄膜前驅物)。在某些實施例中,ALD製程不會真的使用「吸附限制」給劑操作。在吸附限制(表面飽和)達到之前可完成給劑階段。有時,一ALD循環額外地涉及操作(iv):在已吸附之薄膜前驅物反應後,自容納了已受到沉積製程之基板之該製程站附近移除(如吹淨)已脫附之薄膜前驅物及/或薄膜前驅物之反應副產物。在操作(ii)與(iv)中的移除動作可藉由吹淨基板附近、泵抽至一基本壓力(「pump-to-base」)而排空等完成。
用以活化操作(iii)中之表面反應的電漿通常係藉由電漿饋送氣體所支持,電漿饋送氣體例如可經由一或多個噴淋頭(下面將更詳細說明)流至反應室中。在某些實施例中,可使用電漿饋送氣體吸淨製程室以完成操作(ii)與(iv)中的移除動作。薄膜沉積設備概觀
用以在半導體基板上沉積薄膜之操作可大致上在類似於圖1所示之基板製程設備中進行。圖1之設備100(後續會詳細說明)在其內部體積中具有裝設了單一基板支撐件108的單一製程室102,內部體積可藉由真空泵浦118而被維持在真空狀態。氣體輸送系統101與噴淋頭106亦以流體交流方式耦合至製程室以輸送例如薄膜前驅物、載氣及/或吹淨及/或製程氣體、第二反應物等。在圖1中亦顯示了在製程室內產生電漿的設備,後續將會更詳細地說明。在任何情況下,如下面所將詳細說明的,圖1中所概示的設備提供用以在半導體基板上進行薄膜沉積操作如ALD的基本設備。
雖然在某些情況中,類似於圖1的基板製程設備可能已足夠,但當涉及耗時的薄膜沉積操作時,在複數半導體基板上同時平行地進行複數沉積操作可有利地增加基板製程產量。為達此目的,可使用類似圖2所概略顯示之多站基板製程設備。雖然圖2之基板製程設備200仍然在製程室壁所定義的單一內部體積內使用單一基板製程室214,但基板製程設備200為多基板製程站而每一製程站可用以在被支撐在此製程站處之晶圓支撐件上的一基板進行製程操作。在此特定的實施例中,所示之多站基板製程設備200具有4個製程站201、202、203與204。該設備亦使用基板加載裝置(在此情況中為基板搬運機器人226)以在製程站201與202處加載基板及基板傳送裝置(在此情況中為基板轉盤290)以在各個製程站201、202、203與204之間傳送基板。取決於實施例及例如平行晶圓製程的期望程度、尺寸/空間限制、成本限制等,其他類似的多站製程設備可具有更多或更少的製程站。圖2中亦顯示控制器250(下面將更詳細說明),其能協助在例如原子層沉積(ALD)操作中進行有效基板沉積操作的目的。
應注意,使用類似於圖2中所示之多站製程設備可在設備成本與操作費用上達到各種效率。例如,可使用單一真空泵浦(圖2中未顯示但例如是圖1中的118)產生所有4個製程站用的單一高真空環境,且可排空所有4個製程站中用過的製程氣體。取決於實施例,每一製程站通常可具有用於氣體輸送的各自專用噴淋頭(見例如圖1中的106),但共用相同的氣體輸送系統(如圖1中的101)的某些元件。類似地,各個製程站可共用電漿產生器設備的某些元件(例如電源),但取決於實施例,某些態樣可為製程站特定的(例如,若噴淋頭被用於施加電漿生成電位—見下面針對圖1的討論)。然而再次強調,應瞭解,亦可在每一製程室中使用更多或更少的製程站如每個反應室中有2、3、5、6、7、8、9、10、11、12、13、14、15、或16、或更多製程站,增加或減少此類效率。使用及應用簾幕氣體而體積隔絕複數製程站、減少偽室壁薄膜沉積、及減少寄生電漿生成
雖然使用具有複數製程站的較大製程室可提供上述優點,但另一方面,使用較小的單站製程室也有某些優點。其中一個優點為腔室體積的快速循環—意即能快速導入及移除反應物、反應副產物等。此類快速循環在需要許多循環以沉積有感厚度之薄膜以致於耗時的循環腔室體積可能很重要的ALD製程中尤其重要。
是以,期望能結合與較大體積之多製程站室相關的高電漿功率(及其他優點)及與較小體積之單製程站室相關的快速體積循環時間。達到此目的的一方式為藉著在不同製程站之間流動氣體簾幕以在薄膜沉積操作期間使不同製程站彼此體積隔絕而在一大的多製程室內「模擬」較小腔室體積。
例如,在ALD循環程序期間,可在製程站之間流動此類「簾幕氣體」以避免反應物、電漿饋送等相互混合但卻不會不利地影響每一製程站處所進行的反應性薄膜沉積製程。雖然對於反應物流動與副產物吹淨的目的而言這可「模擬」較小體積,但就高電漿功率與某些元件成本縮放而言卻無損於較大腔室體積的優點。又,除了前述優點外,藉由簾幕氣體流動造成製程站之間的體積隔絕可使構成一ALD循環之複數操作的程序在不同製程站之間交錯。與此類交錯ALD循環相關的各種優點係詳細記載於2013年12月18日申請之名為「SEQUENTIAL PRECURSOR DOSING IN AN ALD MULTI-STATION/BATCH REACTOR」的美國專利申請案US 14/133,246 (代理人案號LAMRP059US,現為美國專利US 8,940,646)中,將其所有內容包含於此作為所有目的之參考。
然而應注意,為了達到前述優點,各程製程站彼此之間毋需藉由簾幕氣流達到完美的體積隔絕。一般而言,吾人會期望事實並非如此。是以,在本文的文義下,一製程站藉由簾幕氣流而與另一製程站「體積絕隔」應被解讀為,不同製程站之間的簾幕氣流能大幅降低若未使用此類簾幕氣體則會發生之製程站間的氣體混合。其係對比於若每一製程站係座落在其自己獨立的製程室中時所達到的「完全」或「完美」體積絕緣;利用簾幕氣體達到的體積隔絕並非暗示或需要此類完美/完美的分離/隔絕。
亦應注意,在電漿系的ALD操作中,會將簾幕氣體視為是不同於電漿饋送氣體,電漿饋送氣體係用來支持用以在ALD操作(iii)(見上文)中被點燃以活化反應而造成薄膜沉積的電漿。但應注意,在某些實施例中,若適合,電漿饋送氣體亦被用來作為自不同製程站附近移除未吸附之薄膜前驅物(反應物)的吹淨氣體。是以,雖然簾幕氣體可(通常能)在所有ALD循環操作(如上文的操作(i)-(iv))期間連續地流至製程站中,但電漿饋送氣體通常只會在特定製程站處進行電漿活化之電漿活化期間(及吹淨操作期間若電漿蝕送氣體亦被用來作為吹淨氣體)流至製程室更具體而言流至製程站。
亦應注意,取決於實施例,簾幕氣體流至製程室中的流率可不同於電漿饋送氣體流至製程室中的流率。在某些實施例中,電漿饋送氣體在每站處可以每站約5至50標準升/分鐘(SLM)、或尤其每站約10至35 SLM、或更尤其每站約15至20 SLM的流率流至製程室中。在某些實施例中,簾幕氣體可以每站約3至60 SLM、或尤其每站約10至50 SLM、或更尤其每站約15至40 SLM、或仍更尤其每站約20至30 SLM的流率流至製程室中。此類簾幕氣體流率減少(及/或避免)反應物及電漿饋送氣體自製程站附近反向擴散至製程室的遠端區域(如噴淋頭背側)。
某些實施例中,多站薄膜沉積設備可使用複數吊燈式噴淋頭,一吊燈式噴淋頭係與一製程站相關聯。此類吊燈式噴淋頭大致上可包含頭部與幹部,頭部的底表面提供用以使薄膜前驅物(如上文之ALD操作(i)中之基板表面吸附用的薄膜前驅物)、電漿饋送氣體(如上文之ALD操作(iii)中之電漿活化用的電漿饋送氣體)、及可能的吹淨氣體流至每一製程站之附近處之製程室中的複數孔口。噴淋頭的幹部係用以支撐/懸吊製程室內之每一製程站上方的頭部並用以提供使薄膜前驅物(及/或其他反應物)、電漿饋送氣體等流至頭部中之複數孔口的流體路徑/連接件。一般而言,吊燈式噴淋頭設計使薄膜前驅物流相對於基板表面有良好的空間均勻分佈,相對於僅藉由數噴嘴作為點流源所能達到的分佈,吊燈式噴淋頭設計能改善空間分佈。
此外,此類噴淋頭亦可在每一製程站處的電漿生成(及維持)中扮演重要的角色,電漿係用以活化薄膜形成之沉積反應(如上文ALD操作(iii)中的反應)。尤其,在施加適合的電位後,每一吊燈式噴淋頭可具有電漿生成用之兩電極中之一電極的作用,另一電極為基板支撐件(例如平臺),電位係施加於兩電極之間。吊燈式設計讓噴淋頭的位置能靠近基板表面,藉此在極靠近基板處有效地生成電漿並在靠近基板處提供薄膜前驅物(反應物)的相對空間均勻分佈。此外,如上所述,若亦將電漿饋送氣體用作為吹淨氣體,則將其導入基板附近能有效率及有效地吹淨未吸附的薄膜前驅物及/或反應副產物(如上文ALD操作(ii)與(iv)中的吹淨)。
由於電漿饋送氣體與簾幕氣體係用於不同目的,因此兩者大致上自不同點進入製程室。電漿饋送氣體經由噴淋頭之頭部之底表面中的孔口進入製程室(正如上所述),簾幕氣體可自適合其角色對各個製程站提供體積隔絕(及提供其他潛在優點)的進入點處導入製程室中。例如,對於使用製程站特定的吊燈式噴淋頭的實施例而言,可自每一吊燈式噴淋頭的頭部後將簾幕氣體釋放至製程室中,尤其在某些實施例中可經由圍繞噴淋頭幹部之噴淋頭圈中的複數孔口將簾幕氣體導入製程室中。又,在某些此類實施例中,簾幕氣體可自此些孔口沿著實質上平行基板平面及/或頭部之底表面的方向流動,是以一開始係大致上沿著垂直於自噴淋頭底表面發出之氣流的方向。此簾幕氣流可持續橫向流動直到簾幕氣體到達噴淋頭的背側的末端處(噴淋頭之頭部的上表面)為止,簾幕氣體在背側末端點處可轉彎向下流動(現在平行於來自噴淋頭之頭部的電漿饋送氣流及/或吹淨氣流)。
如所述,在多站製程室中可使用簾幕氣體的此流動模式在不同製程站之間提供體積分隔;然而,即便在單一製程站的實施例中,自噴淋頭的頭部後方建立簾幕氣流能提供許多伴隨的優點。為了例示此類流動模式—首先在單一製程站實施例的簡單背景下—圖3顯示單站基板製程設備300的橫剖面概圖,設備300具有製程室102、噴淋頭106、噴淋頭圈330、及顯示簾幕氣體流動路徑320與電漿饋送氣體(與反應物前驅物)流動路徑310。在圖3所示的配置中,與前面敘述一致地,來自電漿饋送氣體源312的電漿饋送氣體經由噴淋頭106之頭部的底表面流至製程室102中,來自簾幕氣體源322的簾幕氣體經由圍繞噴淋頭106之幹部之噴淋頭圈330中的複數孔口流入至製程室102中。是以,此處的簾幕氣體(注意,甚至是在單站的文義中仍維持說明性的「簾幕氣體」一詞)係以實質上平行於被支撐在平臺108上之基板112之平面的流向(且實質上平行噴淋頭106之頭部的底表面)被導入靠近噴淋頭106之背側中央軸處的製程室102中。以此方式導入的簾幕氣體接著流至噴淋頭附近、沿著噴淋頭及製程站附近流動、並在離開橫板103附近的製程室之前沿著製程室側壁向下(如圖3中的箭頭概略顯示)。
即便是在單製程站的情況中,直接流至噴淋頭後方/上方之空間/空腔中的簾幕氣流可相當有利地最少化或避免在噴淋頭之背側處及噴淋頭後方/上方之室壁上的非所欲沉積。類似地,沿著側壁向下流動的簾幕氣流可作用以減少及/或避免製程室102之內壁上的沉積。在某些實例中,流至吊燈式噴淋頭之後方之空腔中的簾幕氣流可滿足一佩克萊條件(通常一佩克萊數係大於1),俾以減少及/或避免薄膜前驅物自噴淋頭的底表面反向擴散(或反向流動)至該空腔中。再次強調,即便是在單製程站的文義下,更進一步的優點為,在導入薄膜前驅物之前建立簾幕氣流(除了減少或避免在噴淋頭背側上及室壁上的潛在偽沉積)可建立反應性薄膜沉積製程用的期望製程室壓力、避免以多餘的昂貴薄膜前驅物浪費地建立適當的製程室壓力。意即,較高的製程室壓力具有薄膜前驅物用之氣簾的作用,是以能增加前驅物在基板區域中的分壓並同時減少其他處的前驅物分壓。是以,即便是在單製程站的實施例中,來自噴淋頭背側的簾幕氣流能減少有效的製程室體積並同時減少在製程室壁上及噴淋頭背側上的非所欲沉積。
如所討論,在多站基板製程室中,簾幕氣體可額外地在不同製程站之間提供體積隔絕。圖4概略例示製程設備400之一多站製程室402內的一對製程站411與412(見圖4中的虛線)。如圖中以箭頭代表的氣流方向,除了圖3中所示的簾幕氣體流動模式(單站的文義下)之外,此處的簾幕氣體 420額外地在製程站411與412之間流動以使兩站彼此體積隔絕。注意,此圖顯示一對製程站的橫剖面圖,因此此圖可代表2-站製程室實施例或其可代表如圖2概略例示之4-製程室實施例的橫剖面圖。在任何情況中,所示之該對製程站中的每一製程站皆類似於圖3中所示之單一製程站,是以圖3的說明(及參考標號)皆可應用至圖4及適合之處,圖4的最重要差異在於一對製程站411與412且此對製程站係藉由簾幕氣體420之氣流彼此體積絕緣/分離。
和使用簾幕氣體相關的某些優點在ALD製程操作中尤其能顯現。例如,在ALD循環的一或多個階段/操作期間可持續在噴淋頭背側處橫向釋放簾幕氣體。是以,雖然電漿饋送氣體僅在某些ALD操作期間自噴淋頭流動—如電漿活化步驟(上文的ALD操作(iii))及若電漿饋送氣體亦用作為吹淨氣體則可能在前驅物給劑後的移除步驟 (上文的ALD 操作(ii))—在ALD期間簾幕氣體可連續流動,將簾幕氣體導向至製程室的遠端區域。簾幕氣體流至製程室的遠端區域—即非與基板表面緊密鄰接的區域—有助於自製程室移除多餘的未吸附薄膜前驅物且可能甚至有助於避免薄膜前驅物流至第一情況中之製程室的該些遠端區域。又,為達後者之效應,簾幕氣體亦可在薄膜前驅物流至製程室(並附至基板上)的ALD前驅物給劑操作(上文的ALD操作(i))期間主動流動。類似地,在電漿活化(操作(iii))期間使用簾幕氣體能保護製程室的內表面不受任何偽沉積,偽沉積為下列者的結果:在反應性製程進行期間前驅物自基板表面變得脫附,接著重新吸附至他處如製程室壁並在該處反應。
應注意,後面參考圖 6–9B會更詳細說明適合用於在多站製程室中提供電漿饋送氣體與簾幕氣體的吊燈式噴淋頭—類似於概略例示於圖 3與4中者。自吊燈式噴淋頭之頭部後方將氣體導入製程室相關的設備細節亦載於2012年10月24日申請之名為「SUPPRESSION OF PARASITIC DEPOSITION IN A SUBSTRATE PROCESSING SYSTEM BY SUPPRESSING PRECURSOR FLOW AND PLASMA OUTSIDE OF SUBSTRATE REGION」的先前美國專利申請案US 13/659,231中,其被公開為美國專利公開案US 2013/0344245,將其所有內容包含於此作為所有目的的參考。
使用分子氧作為簾幕氣體(無論單純作為簾幕氣體或為混合物的一部分)的某些優點係載於2015年8月17日申請之名為「COMPOSITION-MATCHED CURTAIN GAS MIXTURES FOR EDGE UNIFORMITY MODULATION IN LARGE-VOLUME ALD REACTORS」的美國專利申請案US 14/828,291中及2014年7月30日申請之名為「METHODS AND APPARATUSES FOR SHOWERHEAD BACKSIDE PARASITIC PLASMA SUPPRESSION IN A SECONDARY PURGE ENABLED ALD SYSTEM」的美國專利申請案US 14/447,203(後續公開為美國專利公開案US 2016/0035566)中,將上述者的所有內容包含於此作為所有目的的參考。
雖然使用分子氧(O2 )作為製程站隔絕用的簾幕氣體可能要應付通常和使用具有低崩潰電壓之惰性氣體(一實例為氬氣(Ar))相關的寄生電漿生成問題,但發現還有其他因此選擇所發生的問題,在上面參考的專利申請案US 14/828,291中討論了此些問題及針對此類問題的可能解決方案。
在某些其他實施例中,簾幕氣體可自製程室內的任何進入點如自平臺、自噴淋頭、或自製程室本身被釋放至製程室中。例如,製程站中的平臺可包含沿著圓周邊緣及/或側壁配置(如流體連接至簾幕氣體源)以將簾幕氣體釋放至製程室中的複數孔口及/或複數槽口。在其他實例中,噴淋頭亦可包含沿著圓周邊緣及/或噴淋頭表面(如圓周側或上部)配置以將簾幕氣體釋放至製程室中的複數孔口及/或複數槽口。在更其他的實例中,製程室可用以在每一製程站附近釋放簾幕氣體。在某些此類實施例中,製程室可包含流體連接至簾幕氣體源以流動此類簾幕氣體的複數噴嘴、複數孔口、複數槽口、或其他開口,此類開口可被配置與設置於製程室內以適合為各個製程站提供體積隔絕。例如,製程室可包含在每一製程站上方以圓形圖案配置的一系列孔口或噴嘴俾使簾幕氣體可流入製程室中並圍繞每一製程站。
圖12顯示包含用以將簾幕氣體釋放至製程室中之噴淋頭的一例示性製程室。如所見,圖12包含設備1200,設備1200具有製程室102與噴淋頭1206以及圖3中所包含與說明的某些特徵。噴淋頭1206係流體連接至簾幕氣體源322且係用以使簾幕氣體1220(以虛線識別)流至製程室中。圖12意在顯示自噴淋頭1206流動之簾幕氣體的大致概念,因此圖12中所示的某些特徵係類似於及/或同於圖3中所示者且某些為了例示目的的元件如噴淋頭圈已被省略。簾幕氣流可自噴淋頭的任何部分或複數部分如圓周側壁、上部、或底部發射。
類似地,圖13顯示用以將簾幕氣體釋放至製程室中之第二例示性製程室。圖13包含設備 1300,設備1300具有製程室體1302及圖3中所包含與說明的某些特徵。製程室1302係流體連接至簾幕氣體源322且係用以使簾幕氣體1320(以虛線識別)流至製程室中。圖13意在顯示自製程室體如製程室上部流動之簾幕氣體的大致概念,因此圖13中所示的某些特徵係類似於及/或同於圖3中所示者且某些為了例示目的的元件如噴淋頭圈已被省略。
圖14顯示包含用以將簾幕氣體釋放至製程室中之平臺的第三例示性製程室。如所見,圖14包含設備 1400,設備1400具有平臺1408及圖3中所包含與說明的某些特徵。平臺1408係流體連接至簾幕氣體源322且係用以使簾幕氣體1420(以虛線識別)流至製程室中。圖14意在顯示自平臺1408如製程室上部流動之簾幕氣體的大致概念,因此圖14中所示的某些特徵係類似於及/或同於圖3中所示者且某些為了例示目的的元件如噴淋頭圈已被省略。利用簾幕氣體改善基板非均勻度
材料之一沉積層或複數沉積層在基板邊緣附近的非均勻度在半導體製程中一向是個問題。非均勻度的一判斷方式被稱為「半範圍非均勻度」亦被稱為NU%(R/2),其被定義為½ * (最大厚度 – 最小厚度)/平均厚度* 100 %。此類非均勻度量測檢驗自基板正中心沿著徑向往基板邊緣之沉積在基板上之材料的厚度,此類厚度在文中被稱為「徑向厚度」。 因此,文中所用之「徑向厚度非均勻度」一詞係指自基板正中心沿著徑向往基板邊緣之沉積在基板上之材料厚度的半範圍非均勻度。
許多典型的反應器如CVD與ALD反應器使用噴淋頭將前驅物及反應物氣體兩者輸送至製程室。噴淋頭意在基板的整個表面上均勻分散反應物,然而實際上,由於製程室中的複雜流體動力學,難以確保流經噴淋頭的反應物能被均勻分散。因此,許多噴淋頭無法始終如一地將反應物均勻分散在基板的整個表面上,藉此使徑向厚度非均勻度得以存在。最大的非均勻度通常在基板的遙遠邊緣被觀察到,例如自基板邊緣徑向向內約0–3毫米的區域處。
圖5顯示使用兩不同簾幕氣流之兩片基板的量測厚度。垂直軸顯示以埃(Å)為單位之量測到的厚度而水平軸顯示自基板正中心之點1沿著大致上徑向方向至基板邊緣之點50的量測點數目,意即兩者顯示徑向厚度。尤其,點1為中心、點2–9在約49 mm半徑處方位角相異、點10–25在約98 mm半徑處方位角相異、點26–49在約147 mm半徑處方位角相異。簾幕流1(以圓量測點及虛線識別)的數據為在複數典型製程條件下於基板上進行ALD 沉積所得。簾幕流2將於下文中更詳細討論。如針對簾幕流1所見,在點離基板中心愈遠時基板的徑向厚度偏差愈大,厚度偏差最大約介於量測點36–47之間,意即徑向厚度隨著自基板中心朝向基板邊緣的徑向距離增加而增加。例如,徑向厚度非均勻度的區域可在兩組量測點0–26與27–49之間觀察到。在量測點39、40、及41處的厚度例如亦大幅偏離許多其他量測點如量測點0–25與26–34處的厚度。簾幕流1的厚度變異造成約0.41%的NU%(R/2)。
由於能用於製造晶粒之晶圓表面面積隨著自基板中心的徑向距離增加,因此朝向基板邊緣的非均勻度包含徑向距離基板邊緣約0–3毫米處的區域呈現出特別的挑戰。圖10例示基板之不同製程表面區域。如所見,基板1000的上視圖被顯示為具有兩個陰影區域:在自中心點1002之第一徑向距離R1處的第一例示性之圓周表面區域1004,可見以陰影識別;在自中心點1002之第二徑向距離R2處的第二例示性之圓周表面區域1006,可見以深影識別。每一例示性圓周表面區域具有相同的徑向厚度(未標示)。由於第二徑向距離R2係大於第一徑向距離R1,因此第二例示性的圓周表面區域1006的表面積係大於第一例示性的圓周表面區域1004的表面積,是以第二例示性的圓周表面積1006中能製造更多晶粒並導致第二例示性的圓周表面積1006的良率大於第一例示性的圓周表面積1004的良率。
目前,當發現一製程會產生無法接受的非均勻度時,一製程或設計工程團隊必須提出及測試多個解決方案、為量產設計一個修改過的製程配方、驗證修改過的版本、並在已佈局及/或新的製造設備上實施。這成本所費不貲且麻煩的程序可輕易花上一至兩個月,在這期間製程設備可能必須要離線。用以解決非均勻度的技術可包含改變下列的一或多者:反應物及/或前驅物氣體類型與氣體混合物(如不同氣體或混合物、不同分壓等)、電漿功率、電漿頻率、平臺溫度、載環(即位於平臺上環繞基板的陶瓷環)的移動、及在多站半導體製程設備中分配至一或多個製程站之功率的形成因素。此些製程條件的調整通常不會改善或大幅改善靠近基板邊緣的非均勻度,因為例如此些調整並非針對基板邊緣。
又,沉積製程中的大部分製程條件通常彼此相依,因此若調整製程參數A補償製程響應B的差異則此類調整可能會非經意地影響製程響應C的效應。例如,可調整製程站中的溫度以改善非均勻度,但此溫度變化亦可能會影響薄膜應力。因此,調整一或多個製程條件是極複雜且困難的最佳化問題,其涉及高度相依的變數。
若非均勻度的修正涉及硬體的重新設計如噴淋頭的重新設計,則由於所需之新噴淋頭的重新設計、製造、在多站半導體製程設備中的安裝、及製程發展(如複數製程條件的建立與最佳化)可能需要三或更多個月的時間。因此,此昂貴且耗時的硬體重新設計亦非解決徑向厚度非均勻度用的期望技術。
在某些實施例中,藉著調整在每一製程站附近流動的次要氣體即簾幕氣體俾使簾幕氣體影響晶圓邊緣附近的沉積並以此調整減少非均勻度尤其是晶圓邊緣附近的非均勻度,能改善徑向厚度非均勻度。在此文義下,每一製程站附近的簾幕氣流可被認為是製程室中及/或每一製程站中之複數製程條件的一部分,故此調整可具有調整製程室及/或每一製程站中之複數製程條件用之額外「旋鈕」的作用。在本發明的某些實施例中,簾幕氣體可具有類似噴淋頭之第二充氣室的作用。文中的設備、系統、及技術可應用至任何流體相的沉積製程包含如上所述之製程如CVD、PECVD、及ALD(電漿與熱)。
如上所討論的,簾幕氣體可沿著實質上垂直於平臺上之基板平面的大致垂直向下方向(如類似於圖4中的流動路徑420)繞著製程站的外圍(例如繞著製程站的圓周)流動。雖然圖 3與4將簾幕氣流顯示為線性流動路徑,但應瞭解,此類表示並非真實的簾幕氣流動路徑。倒不如說,簾幕氣流動路徑可為線性的、非線性的、或其組合。簾幕氣流亦可為層流、紊流、或過渡流。此外,某些簾幕氣體可朝向基板中心向內流動。
現在將討論在多站半導體製程設備中進行薄膜沉積並使用簾幕氣體改善非均勻度之例示性技術。圖11顯示在多站半導體製程室及/或製程設備中進行薄膜沉積之第一例示性技術的流程圖。方塊1150包含決定進行薄膜沉積用的複數製程條件。此類複數製程條件包含例如電漿功率、電漿頻率、製程氣體(經由噴淋頭)的複數條件、簾幕氣體的複數條件。下面將更詳細地討論薄膜沉積的此些與其他條件。簾幕氣體的複數製程條件包含其流體動力學條件(如流至製程室的體積流率、在各種位置之任何位置處的線性流速、流動路徑、及紊流)與其組成,其組成可以各種氣體成分的分壓及/或流率來表示,此些氣體成分例如是惰性氣體如氬氣或氮氣、反應性氣體如分子氧 (O2 )、及薄膜前驅物如三甲基鋁 (TMA)。簾幕氣體的此些條件亦可被製程室中的其他製程條件如製程室的總壓力與製程室的排放速率(如泵抽至基本壓力的速率)所決定及/或影響。例如,製程室的壓力變化可藉著改變簾幕氣體的速率及/或流動路徑而影響簾幕氣體的流動。在某些實施例中,可以計算方式或實驗方式決定初始的複數製程條件。
在決定複數製程條件之後,可如方塊1152中所表示,進行薄膜沉積。如所示,薄膜沉積包含在薄膜沉積期間根據先前所決定的複數製程條件使簾幕氣體流至製程室中的每一製程站,先前所決定的複數製程條件包含簾幕氣體的複數條件如氣體或氣體混合物的類型、簾幕氣體成分的流率與分壓。例如,對於體積和自加州費里蒙之科林研發所販售之Striker™ (之前的Vector™)沉積反應器相匹配的一四站製程室而言,簾幕氣體可以每站約3至60標準升/分鐘(SLM)、每站約10至50 SLM、每站約15至40 SLM、或每站約20至30 SLM的流速流至製程室中。方塊1152可在如上所述之薄膜沉積進行的部分或所有階段期間進行。例如,一ALD沉積製程中簾幕氣體可僅在反應操作(iii)期間流動,但在另一ALD沉積製程中簾幕氣體可在吸附(i)與反應(iii)的階段期間流動。其亦可在吹淨步驟期間流動。在簾幕氣體於沉積製程的所有階段期間流動的實施例中,其可在固定的複數條件(流體動力學與組成)下流動或其可在不同階段之間變化。
方塊1154包含決定製程室中之簾幕氣體之一經調整的流動條件以改善基板的非均勻度。在某些實施例中此方塊係於方塊1152之後進行,但在其他實施例中此方塊係於方塊1152期間進行。可以各種直接或間接的方式調整簾幕氣體的一或多個流動條件。例如,可自方塊1152中之簾幕氣體的流率增加或減少簾幕氣體流至製程室中的流率。應注意,在沉積製程(如以CVD或ALD沉積單一薄膜)的過程期間,簾幕氣體的複數流動條件可固定或可變化。在各種實施例中,簾幕氣體之複數流動條件與簾幕氣體之複數經調整的流動條件可在整個薄膜沉積期間變化、可依多階段沉積製程的階段變化、且可在一特定的階段內變化。在某些實施例中,簾幕氣體之經調整的流率(及/或初始流率)在多階段沉積製程的一或多個階段期間及每一階段期間係實質固定(例如落在設定流率的+/- 5%內)。例如,在簾幕氣體於反應階段(iii)期間流動的ALD製程中,簾幕氣體之經調整的流率可在反應階段(iii)的整個過程期間維持固定。在某些其他實施例中,簾幕氣體之經調整的流率可在沉積製程的一或多個階段期間於一或多個變動流率下流動。
此外,簾幕氣體之複數流動條件的調整可以各種方式應用至一沉積製程。例如,調整可應用至整個沉積製程、可僅應用至沉積製程的一部分如CVD製程的一部分、可僅應用至多階段沉積製程如ALD的一或多個階段、且可在多階段ALD製程的一或多個階段內應用。例如,在簾幕氣體於吸附階段(i)與反應階段(iii)期間流動的ALD製程中,簾幕氣體之經調整的流率可僅在反應階段(iii)期間應用至簾幕氣體的流動。在另一情況中,簾幕氣體之經調整的流率可在反應階段(iii)的前半期間應用但簾幕氣體在相同反應階段(iii)的後半期間係根據複數初始製程條件流動。
如所建議的,可調整簾幕氣體的組成以改善非均勻度。此可藉著改變簾幕氣體中的成分的比例來達成。亦可藉著將一或多種成分添加至初始簾幕氣體及/或自初始及簾幕氣體減去一或多種成分。此類成分變化係反應在簾幕氣體之各種成分的分壓及/或流率。如眾所週知,一獨立氣體的分壓為氣體的總壓乘上氣體的莫耳分率;複數氣體之混合物的分壓為混合物中每一各別氣體的分壓的總和。因此,簾幕氣體的分壓可藉著變化構成簾幕氣體之一或多種氣體的壓力及/或莫耳分率來加以調整。因此,簾幕氣體之經調整的條件可為組成調整,此組成調整可反應在莫耳分率、分壓、流率等的變化上。
如所建議的,在某些實施例中,簾幕氣體之成分的調整包含變化簾幕氣體中的一或多種成分。此可包含以另一單成分簾幕氣體取代一單成分簾幕氣體、以一氣體混合物取代一單成分簾幕氣體、以一不同的氣體混合物取代一氣體混合物(利用或不利用添加新成分或減去已存在的成分)、或以一單成分氣體取代一氣體混合物。例如,簾幕氣體可自一氣體如氬氣調整為分子氧;或簾幕氣體可調整為氧加氬或氮的一混合物。類似地,經調整的簾幕氣體可和初始簾幕氣體包含相同成分,但一或多種成分氣體具有經調整的濃度。例如,簾幕氣體之此類經調整的流動條件可為簾幕氣體中經調整的氧濃度俾使1152中的氧濃度係不同於方塊1154中經調整的氧濃度。
如上所述,可藉著調整另一製程條件如製程室的一整體條件而間接地影響簾幕氣體的某些條件因此調整簾幕氣體的某些條件。換言之,調整一製程條件可造成簾幕氣體之一或多個條件的調整。例如,調整製程室的總壓力可改變簾幕氣體的一流動條件如線性流率、流動路徑(軌跡)等。在某些實施例中,如文中所述,製程室壓力的範圍可介於約10 mTorr至10 Torr之間、或介於約20 mTorr至8 Torr之間、或介於約50 mTorr至5 Torr之間、或介於約100 mTorr至2 Torr之間。在某些實施例中,製程室壓力的調整可落在此些壓力範圍之間。在某些實施例中,製程室壓力的調整係介於初始壓力之約5%至約20%之間。在某些實施例中,製程室壓力的調整係介於約100 mTorr至約400 mTorr之間。
簾幕氣體之經調整的流動條件亦可由經調整之製程室的排放速率所造成。如上所述,在沉積製程的一或多個操作期間可排空製程室以自製程室移除氣體及/或粒子、以泵抽製程室壓力及/或維持製程室壓力。排空製程室通常以一特定速率進行且此排放速率的變化(例如藉由增加一排放泵浦或複數排放泵浦的泵抽速度)可影響簾幕氣體流的一或多個流動條件。例如,在流動簾幕氣體時增加排放速率可增加製程室內之簾幕氣體的流率。
在某些實施例中,方塊1154之簾幕氣體之經調整的條件可包含上述複數調整中的大於一者,上述複數調整即簾幕氣體之經調整的流率、簾幕氣體之經調整的組成、製程室之經調整的壓力、及/或製程室之經調整的排放速率所造成的製程室之經調整的壓力。
在某些實施例中,文中所討論之簾幕氣體之一或多個經調整的流動條件為能改善基板上之一沉積膜層或多沉積膜層之徑向厚度非均勻度的調整。例如,參考回圖5,簾幕流2(以方塊量測點與實線識別)顯示在簾幕流1之簾幕氣體之流動條件尤其是流率被調整至一較高流率俾使簾幕流2之流率高於簾幕流1之流率之後所產生的徑向厚度。簾幕流1與簾幕流2的組成皆為100%的分子氧。如所見,簾幕流2的徑向厚度非均勻度比簾幕流1的徑向厚度非均勻度小,簾幕流2的NU%(R/2)為0.26%但簾幕流1的為0.41%。又,徑向厚度非均勻度的減少係於例如量測點11–24及25–50附近達成。又,簾幕流1的最大變異約為6 Å(介於約786 Å的一低值與約792 Å的一高值之間)但簾幕流2的最大變異約為4 Å (介於約786 Å的一低值與約790 Å的一高值之間)。
在各種實施例中,在製造期間監測或測試沉積均勻度以決定是否需要簾幕氣體調整,若需要,需要多少調整。監測或測試可以連續方式或間歇方式進行。其可以原位方式測試(在沉積室內)、或以沉積後的量測(離線)方式進行。在線測試可於複數製造操作之間進行、在複數晶圓批次之間進行、或在批次期間的各別晶圓之間進行。此監測可導致識別一或多片基板上的非均勻度。因此,第一實例技術的某些實施例可包含下列額外態樣:在方塊1154之前識別製程室之一或多個製程站所沉積之薄膜之非均勻度,基於至少部分此識別調整1154之簾幕氣體的流動條件。如所述,此識別可以在線或原位方式進行。
參考回圖11,亦應注意,可在相對於尋制製造設定的實驗設定中進行方塊1150、1152、及/或1154。例如,在例如方塊1150處初始決定與設定薄膜沉積用之複數製程條件,之後在方塊1152處可進行一或多個沉積製程。在一或多個沉積製程之後,在方塊1154處可進行量測以針對特定沉積製程調整與最佳化複數製程條件如調整簾幕氣體的一或多個流動條件。可進行方塊1150、1152、及/或1154的額外重覆,直到針對特定沉積製程決定期望的複數製程條件為止。接著,在此些實驗步驟後,可將經決定的複數製程條件用於基板製造。當然,可隨著製造進行決定經決定的複數製程條件。
方塊1156包含在薄膜沉積期間根據方塊1154中所決定之經調整的流動條件流動簾幕氣體。根據經調整的流動條件的簾幕氣體的流動可在如上所述之基板製造期間進行。在某些實施例中,流動經調整的簾幕氣體可在整個薄膜沉積製程期間進行。在其他實施例中,流動經調整的簾幕氣體係僅於薄膜沉積製程的一或多個部分期間進行。在其他部分期間,使用初始簾幕氣體流。在ALD或其他多階段沉積製程的文義下,方塊1156可在薄膜沉積的下列階段期間進行:將每一製程站中的基板暴露至前驅物材料(如上述的(i))、自製程室移除至少部分前驅物(如上述的(ii))、活化每一基板上的前驅物的反應(如上述的(iii))、及在反應後移除製程室中的至少部分氣體(如上述的(iv))。在某些其他實施例中,可在CVD或ALD製程的一或多個階段期間使用經調整的簾幕氣體,此些階段例如是文中所列之階段如(i)–(iv)中的一階段。其他階段可以未經調整的簾幕氣體進行。
在某些其他實施例中,在基板上製造半導體裝置期間進行方塊1152、1154、及/或1156。在此類實施例中,薄膜沉積可在裝置製造期間進行,包含在薄膜沉積期間根據複數製程條件流動簾幕氣體(如方塊1152)、及在薄膜沉積期間的一時間點處可調整簾幕氣體的一或多個條件以改善基板非均勻度(如方塊1154)。例如,對於一ALD製程而言,根據複數製程條件流動簾幕氣體可針對第一數目的沉積循環,之後針對第二數目的沉積循環進行根據經調整之流動條件流動簾幕氣體流(如方塊1156)。
在某些實施例中,經調整之簾幕氣體流可使用純分子氧。在某些實施例中,簾幕氣體可包氧與一第二成分如惰性氣體如氬氣或氮氣的混合物。經調整的流動條件可包含經調整的氧濃度,俾使方塊1152中流動的氧濃度係不同於方塊1154與1156中經調整的氧濃度。經調整的簾幕氣體流亦可使用純分子氧。基板製程系統的詳細說明
文中所述的方法與技術可以任何適合在多站半導體製程設備(如任何適合的半導體基板製程設備)中進行薄膜沉積的系統施行,其包含上面所述之具有下列名稱之下列兩篇專利申請案中的系統:「COMPOSITION-MATCHED CURTAIN GAS MIXTURES FOR EDGE UNIFORMITY MODULATION IN LARGE-VOLUME ALD REACTORS」及「METHODS AND APPARATUSES FOR SHOWERHEAD BACKSIDE PARASITIC PLASMA SUPPRESSION IN A SECONDARY PURGE ENABLED ALD SYSTEM」。用以在一或多個多站半導體製程設備中進行薄膜沉積的系統包含用以完成製程操作的硬體及具有機器可讀指令的系統控制器,機器可讀指令係用以根據文中所述的製程技術控制製程操作。
是以,在某些實施例中,適合在複數半導體基板上沉積材料薄膜的系統可包含第一組一或多個製程站其中每一製程站具有在製程室中的一基板支撐件、一第二組一或多個製程站其中每一製程站具有在製程室中的一基板支撐件、一或多個閥件用以控制薄膜前驅物流至複數製程站、及一或多個閥操作的真空源用以自圍繞一或多個製程室中所包含之複數製程站的複數體積移除薄膜前驅物。又,此類系統亦可包含具有複數機器可讀指令(或可接取數機器可讀指令)的一控制器,複數機器可讀指令係用以:操作基板裝載裝置、基板傳送裝置、一或多個閥件、及真空源,以將材料薄膜沉積至複數基板上。
因此,在某些實施例中,控制器所執行的該些指令可包含用以在一製程室中之複數製程站處之複數基板上形成薄膜的指令,其中薄膜的複數膜層係藉由ALD循環程序形成在每一基上。是以,在某些此類實施例中,控制器所執行的該些指令可包含用以執行上面所討論的ALD操作(i)至(iv)的指令及重覆ALD操作(i)至(iv)多次以在基板製程設備之複數製程站處之複數基板上形成薄膜的複數膜層的指令。
因此,圖1概略顯示基板製程設備100的一實施例,基板製程設備100可為系統的一部分。為了簡化,將設備100顯示為獨立的製程站,其具有用以維持低壓環境的製程室體102。然而應瞭解,複數製程站可被包含於一共同的製程設備環境中—例如如文中所述的一共同反應室內。例如,圖2顯示包含多站製程設備的一系統的一實施例。又應瞭解,在某些實施例中,可以作為系統之一部分的一或多個系統控制器以程式方式調整製程設備 100的一或多個硬體參數(包含文中詳細討論的參數)。
製程室102係與反應物輸送系統101流體交流,反應物輸送系統101係用以將製程氣體輸送至分散噴淋頭106。反應物輸送系統101包含用以混合及/或調整欲輸送至噴淋頭106之製程氣體的混合容器804。一或多個混合容器入口閥120可控制製程氣體至混合容器804的導入。
某些反應物在蒸發並接著被輸送至製程室102之前可以液態儲存。圖1的實施例包含用以蒸發欲供給至混合容器804之液體反應物的蒸發點104。在某些實施例中,蒸發點104可以是一經加熱的液體注射模組。在某些實施例中,蒸發點104可以是一經加熱的液體注射模組。在某些實施例中,蒸發點104可以是一經加熱的蒸發器。在未採取適當控制(例如在蒸發/原子化液體反應物時未使用氦氣)時,自此類模組/蒸發器所產生的飽和反應物蒸氣可在下游輸送管線中凝結。不匹配之氣體暴露至已凝結的反應物可能會產生小粒子。此些小粒子可阻塞管線、阻礙閥件操作、污染基板等。解決此些問題的某些方法涉及掃除及/或排空輸送管線以移除剩餘的反應物。然而,掃除輸送管線可增加製程站循環時間、不利製程站產量。是以,在某些實施例中,可熱處理蒸發點104下游的輸送管線。在某些實例中,亦可熱處理混合容器804。在一非限制性的實例中,蒸發點104下游的管線具有較高溫度的輪廓自約100°C延伸至混合容器804處的約150°C。
如所述,在某些實施例中,蒸發點104可以是一經加熱的液體注射模組(簡稱「液體注射器」)。此類液體注射器可將液體反應物的脈衝注射至混合容器上游的載氣流中。在一情況中,液體注射器可藉著瞬間使液體自較高壓力變為較低壓力來蒸發反應物。在另一情況中,液體注射器可將液體原子化為分散微滴,此些分散微滴接著在經加熱的輸送管線中蒸發。應明白,較小的液滴比較大的液滴更快蒸發,因此可降低液體注射與完成蒸發之間的延遲。較快蒸發可減少蒸發點104下游之管線的長度。在一情況中,液體注射器可直接架設至混合容器804。在另一情況中,液體注射器可直接架設至噴淋頭106。
在某些實施例中,可提供蒸發點104上游的液流控制器(LFC)以控制蒸發及輸送至製程室102之液體的質量流量。例如,LFC可包含位於LFC下游的一熱質量流量計(MFM)。接著可調整LFC的柱塞閥以回應與MFM電交流之比例-積分-微分(PID)控制器所提供的反饋控制訊號。然而,利用反饋控制可能要花一秒或更久才能穩定液流。這可能會延長液態反應物的給劑時間。是以,在某些實施例中,LFC可動態地在反饋控制模式與直接控制模式之間切換。在某些實施例中,LFC可藉由使LFC與PID控制器的感測管失效來動態地自反饋控制模式切換至直接控制模式。
亦可配置氣體輸送系統以將簾幕氣流動輸送至製程室102。某些此類配置可包含管線、閥件、及簾幕氣體源。如至少圖3、6、及12-14中所示及文中所述,適合在複數半導體基板上沉積薄膜材料的系統可被配置用以使簾幕氣體自適合其針對不同製程站提供體積隔絕及文中所述其他優點的角色的進入點流至製程室中,例如自每一吊燈式噴淋頭之頭部後、自平臺、自噴淋頭、及/或自室體(如製程室的上壁)。
噴淋頭106朝向製程站處的基板112分散製程氣體及/或反應物(如薄膜前驅物),製程氣體及/或反應物流係藉由噴淋頭上游的一或多個閥件(如閥件120、120A、105)所控制。在圖1所示的實施例中,基板112係位於噴淋頭106下方且被顯示為座落於平臺108上。應瞭解,噴淋頭106可具有任何適合的形狀且可具有任何適合數目與配置的接口以將製程氣體分散至基板112。
在某些實施例中,微體積107係位於噴淋頭106下方。在製程站中的微體積中靠近基板進行ALD製程會比在製程室的整個體積中進行ALD製程更能降低反應物暴露與掃除時間、更可降低轉換製程條件(如壓力、溫度等)的所需時間、可限制製程站機器人被暴露至製程氣體等。微體積尺寸的實例可包含但不限於介於0.1升至2升的體積。
在某些實施例中,可舉升或降低平臺108以將基板112暴露至微體積107及/或改變微體積107的體積。例如,在一基板傳送階段中,可降低平臺108以將基板112載於平臺108上。在於基板上進行沉積的製程階段中,可舉升平臺108以將基板 112置於微體積107中。在某些實施例中,微體積107可完全圍繞基板112以及平臺108的一部分以於沉積製程期間產生一高流動阻抗區域。
選擇性地,可在部分沉積製程期間降低及/或舉升平臺108以調變微體積107內的製程壓力、反應物濃度等。在製程期間製程室體102被維持在一基礎壓力的一情況中,降低平臺108可使微體積107被排空。微體積之體積對製程室之體積的例示性比例可包含但不限於介於1:500至1:10。應明白,在某些實施例中,可藉由適合的系統控制器以程式方式調整平臺高度。
在另一情況中,調整平臺108的高度可變化例如ALD或CVD製程中所包含之電漿活化期間及/或處理循環期間的電漿密度。在完成沉積製程階段時,可在另一基板傳送階段期間降低平臺108以自平臺108移除基板112。
雖然文中所述之例示性微體積變化係指高度可調整之平臺,但應瞭解,在某些實施例中,可調整噴淋頭106相對於平臺108的位置以變化微體積107的體積。又,應瞭解,在本發明的範疇內可藉由任何適當的機構來變化平臺108及/或噴淋頭106的垂直位置。在某些實施例中,平臺108可包含用以旋轉基板112之位向的一旋轉軸。當明白,在某些實施例中,可藉由具有用以施行全部或部分前述操作之機器可讀取指令的一或多個適合的系統控制器以程式方式進行此些例示性調整的一或多者。
回到圖1所示的實施例,噴淋頭106與平臺108係與用以對電漿供給能量的RF電源114與匹配網路116電交流。在某些實施例中,可藉著控制製程站壓力、氣體濃度、RF源功率、RF源頻率及電漿功率脈衝時點中的一或多者來控制電漿能量(例如藉由具有適當機器可讀指令之系統控制器)。例如,可在任何適當的功率下操作RF電源114與匹配網路116以產生具有期望之自由基物種組成的電漿。適當功率的實例已包含於上面段落。類似地,RF電源114可提供具有任何適當頻率的RF功率。在某些實施例中,RF電源114可用以彼此獨立地控制高頻與低頻RF電源。低頻RF頻率的實例可包含但不限於介於50 kHz至500 kHz的頻率。高頻RF頻率的實例可包含但不限於介於1.8 MHz至2.45 GHz的頻率。應明白,可以離散或連續的方式調變任何適當的參數以提供表面反應用的電漿能量。在一非限制性的實例中,相較於連續供能的電漿,可間歇地脈衝電漿功率以降低對基板表面的離子轟擊。
在某些實施例中,可藉由一或多個電漿監測器原位監測電漿。在一情況中,可藉由一或多個電壓、電流感測器(如VI探針)監測電漿功率。在另一情況中,可藉由一或多個光發射光譜(OES)感測器量測電漿密度及/或製程氣體濃度。在某些實施例中,可基於來自此類原位電漿監測器的量測值以程式方式調整一或多個電漿參數。例如,可在提供電漿功率之程式化控制的反饋迴路中使用OES感測器。應瞭解,在某些實施例中,可使用其他監測器監測電漿與其他製程特性。此類監測器可包含但不限於紅外線(IR)監測器、聲學監測器及壓力傳感器。
在某些實施例中,可藉由輸入/輸出控制(IOC)序列指令控制電漿。在一實例中,設定電漿活化階段用之電漿條件的指令可被包含在製程配方的對應電漿活化配方階段中。在某些情況中,製程配方階段可依順序配置,故一製程階段的所有指令係與該製程階段同步執行。在某些實施例中,可將用以設定一或多個電漿參數的指令包含於一電漿配方階段之前的一配方階段中。例如,一第一配方階段可包含用以設定惰性氣體(例如氦氣)及/或反應物氣體之流率的指令、用以將電漿產生器設定至一功率設定點的指令、及第一配方階段用的時間延遲指令。一接續的第二配方階段可包含用以致能電漿產生器的指令以及第二配方階段用的時間延遲指令。一第三配方階段可包含用以使電漿產生器失能的指令以及第三配方階段用的時間延遲指令。應明白,在本發明的範疇內此些配方階段可以任何適合的方式被更進一步地分割及/或重覆。
在某些沉積製程中,電漿擊發持續數秒或更久數量級的時間。在本文的某些實施例中,在一製程循環內可施用更加較短的電漿擊發。此些電漿擊發可持續50毫秒至1秒數量級的時間,例如0.25秒的特定例示性時間。此類短 RF電漿擊發需要電漿的快速穩定。為了達到此目的,可配置電漿產生器俾使阻抗匹配被預設在一特定電壓但允許頻率浮動。在傳統上,高頻電漿係於約13.56 MHz的RF頻率下產生。在本文所述的各種實施例中,允許頻率浮動至不同於此標準值的值。藉著允許頻率浮動但將阻抗匹配固定在一預設電壓,可更加快速地穩定電漿,當使用與ALD循環相關的極短電漿擊發時此結果可能是重要的。
在某些實施例中,平臺108可藉由加熱器110控制其溫度。又,在某些實施例中,製程設備100的壓力控制可藉由一或多個閥操作的真空源如蝶閥118所提供。如圖1的實施例所示,蝶閥118 壓抑下游真空泵浦(未顯示)所提供的真空。然而,在某些實施例中,製程設備100的壓力控制亦可藉由變化導入製程室102之一或多種氣體的流率來加以調整。在某些實施例中,該一或多個閥操作的真空源如蝶閥118—可在適當的ALD操作階段期間用以自圍繞製程站的體積移除薄膜前驅物。
如上所述,可將一或多個製程站包含於一多站基板製程設備中。圖2概略地顯示一多站製程設備200的一實例,多站製程設備200包含在一共同低壓製程室214中的複數製程站201、202、203與204。藉著將每一站維持在一低壓環境中,可避免因薄膜沉積製程之間的破真空所引起的缺陷。
如圖2中所示,系統200具有基板加載接口220與基板搬運機器人226,基板搬運機器人226係用以移動來自晶圓盒的複數基板,基板係自艙228藉由大氣接口220而被載入製程室214中,最後被載至一製程站上。具體而言,在此情況中基板搬運機器人226加載製程站201與202處的基板,而基板傳送裝置(在此情況中為基板轉盤290)在各個製程站 201、202、203、及204之間傳送基板。在圖2所示的實施例中,基板加載裝置被例示為具有用以操弄基板之雙臂的基板搬運機器人226,如所示,基板搬運機器人226可加載兩站201與202(可能同時、或依序)處的基板。接著,在加載製程站201與202處之基板後,基板傳送裝置(圖2中所示的基板轉盤290)可進行180度旋轉(繞著其實質上垂直於基板平面的中心軸(出紙面)在基板之間實質上等距旋轉)將來自製程站201與202的兩片基板傳送至製程站203與204。此時,搬運機器人226可將兩片新的基板載至製程站201與202處,完成加載製程。為了卸載,除了下列情況外可逆轉此些步驟:若欲處理複數組4片晶圓,在傳送轉盤290旋轉180度之前,搬運機器人226一次卸載兩片基板伴隨著加載兩片新的基板。類似地,在一4步驟加載製程中可使用用以將複數基板放置到單一製程站(如製程站201)處之單臂搬運機器人及轉盤290的4次90度旋轉,以將複數基板加載至所有4個製程站處。
圖2中所示之製程室214提供四個製程站即製程站201、202、203與204。每一站具有一經加熱的平臺(顯示於製程站201的218處)以及複數氣體線入口。應瞭解,在某些實施例中,每一製程站可具有不同或複數的用途。例如,在某些實施例中,一製程站可在ALD製程模式與CVD製程模式之間切換。額外地或或者,在某些實施例中,製程室214可包含一或多個匹配成對的ALD/CVD製程站。雖然所示的製程室214包含四個製程站,但當瞭解,根據本發明的一製程室可具有任何適當數目的製程站。例如,在某些實施例中,一製程室可具有1、或2、或3、或4、或5、或6、或7、或8、或9、或10、或11、或12、或13、或14、或15、或16、或更多的製程站(或一系列實施例可被描述為每一反應室可具有數目落在任一對前列數值所定義的範圍內的製程站,例如每一反應室具有2至6個製程站、或每一反應室具有4至8個製程站、或每一反應室具有8至16個製程站等)。
如前所述,圖2顯示在製程室214中用以在製程站201、202、203與204之間傳送基板之基板傳送裝置290的一實施例。應瞭解,可使用各種適合的基板傳送裝置。非限制性的實例包含基板轉盤與基板搬運機器人。系統控制器
圖2亦顯示包含系統控制器250並使用系統控制器250控制製程設備200及其製程站之製程條件與硬體狀態之系統的一實施例。系統控制器250可包含一或多個記憶體裝置256、一或多個大量儲存裝置254及一或多個處理器252。處理器252可包含一或多個CPU、ASIC、通用電腦(複數電腦)及/或專用電腦(複數電腦)、一或多個類比及/或數位輸入/輸出連接件、一或多個步進機馬達控制器板等。
在某些實施例中,系統控制器250控制製程設備200的部分或所有操作,此些操作包含各別製程站的操作。系統控制器250可執行處理器252上的機器可讀系統控制指令258—系統控制指令258,在某些實施例中系統控制指令258係自大量儲存裝置254載入至記憶體裝置256中。系統控制指令258可包含用以控制下列者的複數指令:時序、氣態與液態反應物的混合物、製程室及/或製程站的壓力、製程室及/或製程站的溫度、晶圓溫度、目標的功率位準、RF功率位準、RF曝露時間、基板平臺、夾頭及/或支撐件的位置、及製程設備200所進行之特定製程的其他參數。此些製程可包含各種類型的製程,包含但不限於和在基板上沉積薄膜相關的製程。可以任何適當的方式配置系統控制指令258。例如,可撰寫各種製程設備元件的子程式或控制物件以控制施行各種製程設備製程所需之製程設備元件的操作。可以任何適當的電腦可讀程式語言編碼系統控制指令258。在某些實施例中以軟體實施系統控制指令258,在其他的實施例中可以硬體實施統控制指令258—例如在ASIC(特殊應用積體電路)中的硬體編碼邏輯,或在其他實施例中以軟體與硬體的組合實施系統控制指令258。
在某些實施例中,系統控制軟體258可包含用以控制上述各種參數的輸入/輸出(IOC)序列指令。例如,一沉積製程或複數製程的每一階段可包含用以被系統控制器250執行的一或多個指令。用以設定薄膜沉積製程階段之製程條件的指令可被例如包含於對應的沉積配方階段中,且對於覆層薄膜沉積階段亦同。在某些實施例中,可依序配置複數配方階段俾使一製程階段的所有指令係與該製程階段同時執行。
在某些實施例中,可施行被儲存在與系統控制器250相關之大量儲存裝置254及/或記憶體裝置256上的其他電腦可讀指令及/或程式。程式或程式段落的實例包含基板定位程式、製程氣體控制程式、壓力控制程式、加熱器控制程式、及電漿控制程式。
基板定位程式可包含製程設備元件用的指令,此些指令係用以將基板載至平臺218上並控制基板與製程設備200之其他部件之間的距離。定位程式可包含複數指令,此些指令係用以在必要時適當地將基板移入與移出反應室以在基板上沉積薄膜。
製程氣體控制程式可包含用以控制氣體組成與流率並選擇性地控制在沉積前流入圍繞一或多個製程站之體積的氣體如簾幕氣體以穩定此些體積中的壓力的指令。在某些實施例中,製程氣體控制程式可包含在將薄膜沉積至基板上的期間用以將特定氣體導入製程室內圍繞一或多個製程站之體積(複數體積)中的指令。製程氣體控制程式亦可包含依據正在沉積之薄膜之組成而以相同流率輸送此些氣體相同時間或以不同流率輸送此些氣體不同時間的指令。製程氣體控制程式亦可包含在經加熱之注射模組中於氦氣或其他載氣的存在下用以原子化/蒸發液體反應物的指令。
壓力控制程式可包含藉由調節例如製程站之排放系統中的節流閥、流入製程站的氣流等而控制製程站中之壓力的程式碼。壓力控制程式可包含用以在將各種類型薄膜沉積至基板上的期間維持相同或不同壓力的指令。
加熱器控制程式可包含用以控制流至用以加熱基板之加熱單元之電流的指令。或者或額外地,加熱器控制程式可控制輸送至基板之熱傳輸氣體(如氦氣)的輸送。加熱控制程式可包含用以在將各種類型薄膜沉積至基板上的期間在反應室及/或圍繞製程站之體積中維持相同或不同溫度的指令。
電漿控制程式可包含用以根據文中實施例設定一或多個製程站中之RF功率位準、頻率與暴露時間的指令。在某些實施例中,電漿控制程式可包含在沉積薄膜至基板上的期間使用相同或不同RF功率位準及/或頻率及/或暴露時間的指令。
在某些實施例中,可具有與系統控制器250相關的使用者介面。使用者介面可包含顯示螢幕、該設備及/或製程條件的圖形化軟體顯示、及使用者輸入裝置如指向裝置、鍵盤、觸控螢幕、麥克風等。
在某些實施例中,系統控制器250所調整的參數可與製程條件相關。非限制性實例包含製程氣體組成與流率、溫度、壓力、電漿條件(如RF偏壓功率位準與曝露時間)等。此些參數可以配方的形式提供予使用者,使用者可利用使用者介面輸入此些參數。
用以監測製程的訊號可來自各種製程設備感測器並藉由系統控制器250的類比及/或數位輸入連接件所提供。用以控制製程的訊號可在製程設備200的類比及/或數位輸出連接件上輸出。可被監控之製程設備感測器的非限制性實例包含質量流量控制器(MFC)、壓力感測器(如壓力計)、熱電偶等。適當程式化的反饋與控制演算法可與來自此些感測器的數據一起使用以維持製程條件。
系統控制器250可包含用以施行文中所述之沉積製程的機器可讀指令。此些指令可控制各種製程參數如DC功率位準、RF偏壓功率位準、壓力、溫度等。此些指令可控制參數以根據文中所述的各種實施例操作而原位沉積薄膜堆疊。
上文中所述的各種設備與方法可與微影圖案化設備及/或製程一起使用,例如用以製造半導體裝置、顯示器、LED、光伏面板等的微影圖案化設備及/或製程。一般而言,雖然沒有必要,但此些設備或製程會在一共同的製造廠房中一起及/或同時使用或進行。
薄膜的微影圖案化通常包含下列操作的部分者或全部,每一操作可由許多可能的設備達成:(1)利用旋塗或噴塗設備將光阻施加至一基板上,此基板例如是其上形成有氮化矽薄膜的基板;(2)利用熱板、或爐管、或其他適合的固化設備固化光阻;(3)利用一設備如晶圓步進機將光阻曝露至可見光或UV或X射線;(4)利用一設備如濕式槽或噴塗顯影設備顯影光阻以選擇性地移除光阻藉此將其圖案化;(5)利用一乾式或電漿輔助蝕刻設備將光阻圖案轉移至下方膜層或基板中;及(6)利用一設備如RF或微波電漿光阻剝除設備移除光阻。在某些實施例中,在施加光阻前可沉積一可灰化的硬遮罩層(如非晶碳層)及另一適合的硬遮罩層(如抗反射層)。在基板製程系統中使用簾幕氣體改善基板非均勻度
文中所討論的系統可用以施行如上所述利用簾幕氣體控制基板非均勻度的技術。在某些此類實施例中,用以在多站半導體製程設備中進行薄膜沉積的系統可包含文中所述之系統的一或多個態樣如圖1的氣體輸送系統101。系統亦可包含具有至少兩製程站的一製程室如圖1之製程室102或圖2製程室214。製程室可共用氣體輸送系統且可配置使簾幕氣體在每一製程站的外圍附近流動。此類配置的一實例係載於本文中及上述被包含於本文中具有下列名稱的專利申請案中:「COMPOSITION-MATCHED CURTAIN GAS MIXTURES FOR EDGE UNIFORMITY MODULATION IN LARGE-VOLUME ALD REACTORS」及「METHODS AND APPARATUSES FOR SHOWERHEAD BACKSIDE PARASITIC PLASMA SUPPRESSION IN A SECONDARY PURGE ENABLED ALD SYSTEM」。
系統控制器亦可包含一控制器如文中所述的控制器如圖2的系統控制器250以控制系統而在各個製程站中受到處理的至少兩片基板上沉積材料。控制器可包含用以實施上面所討論之技術之部分或所有態樣包含針對圖11所說明的所有此類態樣的控制邏輯。在一實施例中,控制器可包含用以在薄膜沉積期間使簾幕氣體根據複數製程條件(包含使簾幕氣體在製程室之每一製程站的外圍附近流動的流動條件)流至製程室中的每一製程站、用以在製程室中進行薄膜沉積的控制邏輯。簾幕氣體的此類流動可與上文中所述之圖11的方塊1152相同且將此類說明包含於此。
控制器亦可包含用以決定製程室中簾幕氣體之經調整的流動條件以改善基板非均勻度的控制邏輯。簾幕氣體之經調整的流動條件可以類似於上面針對圖11之方塊1154所說明之經調整的流動條件的方式達成,將此類討論應用至此系統中並包含於此。例如,簾幕氣體之經調整的流動條件可為簾幕氣體之經調整的流率,且如上所述簾幕氣體之經調整的流率可在根據複數製程條件進行薄膜沉積期間流動簾幕氣體之後發生。
控制器更可包含用以在薄膜沉積期間使簾幕氣體根據經調整的流動條件流動的控制邏輯。又,此流動可與方塊1156相同且此類討論可被包含於此。又,如上所述,可在實驗設定中進行此三個態樣的一或多者但在基板製造期間進行其他態樣的一或多者。例如,實驗設定中進行在薄膜沉積期間根據複數製程條件流動簾幕氣體及在實驗設定中決定簾幕氣體之經調整的流動條件(分別如圖11的方塊1152與1154),但在基板製造期間進行根據經調整的流動條件流動簾幕氣體。
在某些實施例中,如文中所討論的,系統控制器更可包含用以進行循環沉積製程如ALD之複數階段的控制邏輯,此些階段例如是將每一站中的基板暴露至前驅物材料(如給劑)、自製程室移除至少部分前驅物(如吹淨)、活化每一基板上之前驅物的反應(如活化)、及在反應後移除製程室中的至少部分氣體(如吹淨)。類似於上面的討論,在根據調整之薄膜沉積期間的簾幕氣體流動可在此些階段的一或多者期間進行。
在某些實施例中,系統控制器亦可包含用以決定在製程室中進行薄膜沉積用之複數製程條件(包含簾幕氣流)的控制邏輯。此類決定可類似於圖11之方塊1150,此類討論係包含於本文中。原子層沉積技術及沉積薄膜的詳細說明
如上所述,當裝置尺寸持續微縮且積體電路朝向使用3-D電晶體與其他3-D結構的方向移動時,能沉積精準量(厚度)的順形薄膜材料—尤其是介電材料以及各種含有摻質的材料—的能力變得益發重要。原子層沉積是一種能達成順形薄膜沉積的技術,其通常涉及複數沉積循環以達到期望的薄膜厚度。
相對於使用活化氣相反應來沉積薄膜的化學氣相沉積(CVD)製程,ALD製程使用表面媒合沉積反應以逐層方式沉積薄膜。例如在一類的ALD製程中,將第一薄膜前驅物(P1)以氣相導入製程室並暴露至基板,使第一薄膜前驅物(P1)得以吸附至基板表面(通常是吸附至眾多表面活性位置處)。P1的某些分子可在基板表面上形成一濃縮相,此濃縮相包含P1之化學吸附的物種與物理吸附的分子。接著,排空圍繞基板表面的體積以移除氣相與理物吸附的P1,故只留下化學吸附的物種。接著將第二薄膜前驅物(P2)導入製程室俾使P2的某些分子吸附至基板表面。接著可再次排空製程室內圍繞基板的體積,這次移除未受束縛的P2。接著,提供至基板的能量(如熱能或電漿能量)活化P1與P2之已吸附分子間的表面反應以形成一薄膜層。最後,再次排空圍繞基板之體積以移除未反應的P1及/或P2及/或反應副產物(若其存在),結束此 ALD之單一循環。
在下列文獻中詳細記載了用以沉積具有各種化學物之順形薄膜的ALD技術—及根據基本ALD製程程序的許多變化型—:2011年4月11日申請之名為「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION」之美國專利申案US 13/084,399,代理人案號為NOVLP405;2011年9月23日申請之名為「PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION」的美國專利申請案US 13/242,084,現為美國專利US 8,637,411,代理人案號為NOVLP427;2011年9月1日申請之名為「PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION」的美國專利申請案US 13/224,240,代理人案號為NOVLP428;及2012年9月7日申請之名為「CONFORMAL DOPING VIA PLASMA ACTIVATED 原子層沉積AND CONFORMAL FILM DEPOSITION」之美國專利申請案US 13/607,386,代理人案號為NOVLP488,將上述每一者的所有內容包含於此作為所有目的之參考。如此些先前申請案中所述,一個用以在基板上沉積單一材料層的基本ALD循環可包含:(i)使一薄膜前驅物吸附至一製程站處的一基板上俾使該前驅物形成一吸附限制層;(ii)自該製程站附近移除未吸附的前驅物(若其存在,「未吸附的前驅物」被定義為包含已脫附的前驅物);(iii)使已吸附之前驅物反應以在基板上形成一薄膜層;及選擇性地(iv)自製程站附近移除已脫附的薄膜前驅物及/或反應副產物。在操作(ii)與(iv)中的移除動作可藉由吹淨圍繞基板之體積、排空圍繞基板之體積、將圍繞基板之體積泵抽至一基本壓力(「pump-to-base」)等完成。在某些實施例中,吹淨氣體可與主要的電漿饋送氣體相同。操作(i)至(iv)之前述程序代表單一個ALD 循環,其形成單一薄膜層。然而,由於藉由ALD所形成之單一薄膜層通常極薄—其通常只有單一分子厚—因此可依序重覆多個ALD 循環以累積適合厚度的薄膜。是以,若期望沉積N 層的薄膜,接著可依序重覆ALD 循環(操作(i)至(iv))N 次。
應注意,此操作(i)至(iv)的基本ALD程序不一定要像上述實例中涉及兩種化學吸附的反應性物質P1與P2,甚至其也不必要涉及第二種反應性物質。可根據所涉及之期望的沉積化學品來施行此些可能性/選擇。
然而,由於ALD的吸附限制本質,ALD的單一循環只會沉積一材料薄膜且通常只沉積單一的材料單層。例如,取決於薄膜前驅物給劑操作的暴露時間及薄膜前驅物(對基板表面)的黏附係數,每一ALD循環可沉積僅約0.5至3埃的厚度的薄膜層。是以,在一典型的ALD循環中,操作的程序—如上所述之操作(i)至(iv)—大致上會被重覆複數次以形成具有期望厚度的順形薄膜。是以,在某些實施例中,連續地重覆操作(i)至(iv)至少一次、或至少2次、或至少3次、或至少5次、或至少7次、或至少10次。ALD薄膜可以下列的速率沉積:每一ALD循環約介於0.1 Å至約2.5 Å之間、或每一ALD循環約介於0.2 Å至約2.0 Å之間、或每一ALD循環約介於0.3 Å至約1.8 Å之間、或每一ALD循環約介於0.5 Å至約1.5 Å之間、或每一ALD循環約介於0.1 Å至約1.5 Å之間、或每一ALD循環約介於0.2 Å至約1.0 Å、或每一ALD循環約介於0.3 Å至約1.0 Å、或每一ALD循環約介於0.5 Å至約1.0 Å之間。
在某些薄膜形成化學中,除了被稱為「薄膜前驅物」的反應物外,亦可使用輔助反應物或共反應物。在某些此類實施例中,在步驟(i)至(iv)的子組合期間或重覆步驟(i)至(iv)時在步驟(i)至(iv)的每一步驟中可連續地流動輔助反應物或共反應物。在某些實施例中,此其他反應性化學物質(輔助反應物、共反應物等)可吸附至具有薄膜前驅物的基板表面上,然後與薄膜前驅物反應(如上述涉及前驅物P1與P2的實例),但在其他實施例中,其毋需先吸附至基板表面上而是當其與已吸附的薄膜前驅物接觸時同時與已吸附的薄膜前驅物發生反應。又,在某些實施例中,步驟(iii)之反應已吸附的薄膜前驅物可涉及使已吸附之薄膜前驅物與電漿接觸。電漿可提供能量以驅動基板表面上的薄膜形成反應。在某些此類實施例中,電漿可以是在反應室中利用施加適當的RF功率所產生的氧化性電漿(雖然在某些實施例中,其可遠端產生)。在其他實施例中可使用惰性電漿取代氧化性電漿。氧化電漿可自一或多種氧化劑如O2 、N2 O、或CO2 所形成且可選擇性地包含一或多種稀釋劑如Ar、N2 、或He。在一實施例中,氧化電漿係自O2 與Ar所形成。適合的惰性電漿可自一或多種惰性氣體如He或Ar所形成。在先前所引用(並包含於此作為參考)的專利申請案中詳細說明了ALD製程的更進一步變型。
在某些實施例中,一多層沉積薄膜可包含組成交替的區域/部分,例如組成交替的區域/部分係由依序順形沉積具有一組成的多層接著依序順形沉積具有另一組成的多層然後重覆交替此兩程序所形成。ALD沉積薄膜的此些態樣的某些者係載於例如2012年9月7日申請之名為「CONFORMAL DOPING VIA PLASMA ACTIVATED 原子層沉積AND CONFORMAL FILM DEPOSITION」的美國專利申請案US 13/607,386 (代理人案號NOVLP488) 中,將其所有內容包含於此作為所有目的之參考。在下列文獻中更詳細地說明具有交替組成之部分的順形薄膜的其他實例—包含用以摻雜下方之目標積體電路結構或基板區域的薄膜—以及形成此些薄膜的方法:2011年4月11日申請之名為「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION」的美國專利申請案US 13/084,399 (代理人案號為NOVLP405);2011年9月23日申請之名為「PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION」的美國專利申請案US 13/242,084,現為美國專利US 8,637,411 (代理人案號為NOVLP427);2011年9月1日申請之名為「PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION」的美國專利申請案US 13/224,240 (代理人案號為NOVLP428);2012年9月7日申請之名為「CONFORMAL DOPING VIA PLASMA ACTIVATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION」的美國專利申請案US 13/607,386 (代理人案號為NOVLP488);及2014年2月28日申請之名為「CAPPED ALD FILMS FOR DOPING FIN-SHAPED CHANNEL REGIONS OF 3-D IC TRANSISTORS」之美國專利申請案US 14/194,549,將上述者每一者之內容包含於此作為所有目的之參考。
[0148] 如上列者之說明書中詳細說明,ALD製程常被用來沉積順形矽氧化物薄膜(SiOx),然而如上列者之說明書中所述,ALD製程亦可被用來沉積其他化學物的順形介電薄膜。在某些實施例中,以ALD 形成的介電薄膜可包含矽的碳化物(SiC)材料、矽的氮化物(SiN)材料、矽的碳氮化物(SiCN)材料、或上述之組合。在某些實施例中,矽的碳氧化物與矽的碳氮氧化物及矽的碳氮化物亦可為ALD形成的薄膜。在下列案件詳細揭露了沉積此類薄膜的方法、技術與操作:2012年6月12日申請之名為「REMOTE PLASMA BASED DEPOSITION OF SiOC CLASS OF FILMS」的美國專利申請案 US 13/494,836 (代理人案號為NOVLP466/NVLS003722);2013年5月31日申請之名為「METHOD TO OBTAIN SiC CLASS OF FILMS OF DESIRED COMPOSITION AND FILM PROPERTIES」的美國專利申請案US 13/907,699 (代理人案號為LAMRP046/3149);名為「GROUND STATE HYDROGEN RADICAL SOURCES FOR CHEMICAL VAPOR DEPOSITION OF SILICON-CARBON-CONTAINING FILMS」之美國專利申請案US 14/062,648;及2014年2月28日申請之名為「CAPPED ALD FILMS FOR DOPING FIN-SHAPED CHANNEL REGIONS OF 3-D IC TRANSISTORS」的美國專利申請案US 14/194,549。將上述每一者之內容包含於此作為所有目的之參考。
藉由ALD之薄膜沉積的其他實例包含如被列舉並包含作為參考之專利申請案(US 13/084,399、US 13/242,084、US 13/224,240與US 14/194,549)中所述之用以沉積含摻質之薄膜的化學品。如文中所述,可使用各種含摻質的薄膜前驅物形成含摻質之薄膜如下列者的薄膜:摻雜硼的矽酸鹽玻璃(BSG)、摻雜磷的矽酸鹽玻璃(PSG)、摻雜硼磷的矽酸鹽玻璃(BPSG)、摻雜砷(As)的矽酸鹽玻璃(ASG)等。含摻質的薄膜可包含B2 O3 、B2 O、P2 O5 、P2 O3 、As2 O3 、As2 O5 等。是以,具有非硼之含摻質的薄膜亦可。實例包含鎵、磷、或砷摻質、或適合摻雜半導體基板的其他元素如其他價的III與V族元素。
對於ALD製程的條件而言,ALD製程可在任何溫度下進行。在某些實施例中,ALD反應室內的適合的溫度範圍可介於約25 °C 至450 °C之間、或介於約50 °C至300 °C之間、或介於約20 °C至約400 °C之間、或介於約200 °C至約400 °C之間、或介於約100 °C至約350 °C之間。
類似地,ALD製程可在各種ALD反應室壓力下進行。在某些實施例中,反應室內適合的壓力範圍係介於約10 mTorr至10 Torr之間、或介於約20 mTorr至約8 Torr之間、或介於約50 mTorr至約5 Torr之間、或介於約100 mTorr and 至約2 Torr之間。
若在操作(iii)中使用電漿,可使用各種RF功率位準產生電漿。在某些實施例中,適當的RF功率的範圍可介於約100 W至約10 kW之間、或介於約200 W至約6 kW之間、或 介於約500 W至約3 kW之間、或介於約 1 kW至約2 kW之間。
在操作(i)中可使用各種薄膜前驅物的流率。在某些實施例中,適合的流率範圍可介於約0.1 mL/min至約10 mL/min之間、或介於約0.5 mL/min至約5 mL/min之間、或介於約1 mL/min至約3 mL/min之間。
在不同的操作中可使用不同的氣體流率。在某些實施例中,一般的氣體流率的範圍可介於約1 L/min至約20 L/min之間、或介於約2 L/min 至約10 L/min之間。對於操作(ii)與(iv)中的選擇性惰性氣體吹淨步驟而言,所用的猛暴流率的範圍可介於約20 L/min與約100 L/min之間、或介於約40 L/min至約60 L/min之間。
再次強調,在某些實施例中,泵抽至一基本壓力(pump-to-base)的步驟代表藉由將反應室直接暴露至一或多個真空泵浦而將反應室泵抽至一基本壓力。在某些實施例中,基本壓力可通常只有數毫托(如介於約1至20 mTorr)。又,如上所述,泵抽至一基本壓力的步驟可伴隨著或可不伴隨著惰性氣體吹淨,是以在一或多個閥件開啟通往真空泵浦之傳導路徑時可使載氣流動或可不使載氣流動。
又,再次強調,可重覆多個ALD循環以建立複數順形層的堆疊。在某些實施例中每一層可具有實質上相同的組成,但在其他實施例中依序沉積的ALD沉積層可具有不同的組成,或在某些此類實施例中組成可自層至層間交替或如上所述具有不同組成的複數層可依序重覆。是以,根據實施例,可使用某些堆疊工程的概念如被列舉並包含作為參考之專利申請案(US 13/084,399、US 13/242,084及US 13/224,240)中所揭露的概念調變此些薄膜中的硼、磷或砷濃度。吊燈式噴淋頭與噴淋頭圈的詳細說明
在ALD製程中,薄膜前驅物大致上需要交替地出現在反應室中然後被排放。為了避免寄生沉積,在導入下一前驅物之前,自製程室及共用的前驅物路徑(如噴淋頭幹部內)移除製程室中的多餘前驅物。移除多餘的前驅物通常係藉著以惰性氣體吹淨輸送路徑與製程室來達成。然而當使用吊燈式噴淋頭時,自噴淋頭之頭部之底表面流至製程室的吹淨氣體(其可與電漿饋送氣體相同)可能無法有效地移除例如存在於噴淋頭背側處及/或後方之幹部附近的多餘前驅物。因此,可感知的寄生沉積量可發生於噴淋頭的後方、上板上、及/或製程室壁上。由於以固態的介電材料填充噴淋頭之背側及/或後方處的死角通常/可能會造成耦合至接地的RF耦合,因此其大致上並非可行及/或非所欲。因此,如上所述,可將簾幕氣體—其主要目的為在製程站之間流動藉此在製程站之間提供某種程度的體積分隔—導入製程室之噴淋頭的後方,除了提供體積分隔之外,簾幕氣流亦可避免噴淋頭後方的寄生沉積。下面將詳細說明用以進行簾幕氣體之此類釋放的硬體:
現在參考圖6,顯示包含具有670之製程室660之基板製程系統650的一實例。噴淋頭670包含幹部672與頭部674。頭部674定義內腔675。流體如前驅物或電漿饋送氣體或吹淨氣體(後兩者可為相同的一種)流經幹部672、流至分散板676上、然後流至內腔675中。接著流體通過噴淋頭之頭部674之底表面中的複數孔口/分離孔口678並流至製程室中。
噴淋頭670的幹部672係藉由噴淋頭圈680而連接至製程室660的上壁。噴淋頭圈680具有大致上「T」形的橫剖面且包含頭部681與幹部683。噴淋頭圈680定義內腔684,內腔684為筒形並用以容納噴淋頭670的幹部672。複數槽口形的複數孔口 686係成在幹部683中以允許簾幕氣體自內腔684流至噴淋頭圈之幹部683的外表面。如圖6中之槽口形複數孔口的位向及圖3與4中所示的流線320所証明的,簾幕氣體可流經噴淋頭圈中的複數孔口然後以實質上平行與基板平面的方向及實質上平行於噴淋頭之頭部之底表面之平面的方向流至製程室中。
流體連接件690可連接至噴淋頭圈680之頭部681的邊緣且係用以供給流體如簾幕氣體。流體連接件690包含大致上被標示於692處的一或多個導管及/或連接件。噴淋頭圈680的頭部681類似地包含大致上被標示於693處用以引導流體(如簾幕氣體)流至噴淋頭圈680之內腔684的導管及/或連接件。
簾幕氣體可藉由簾幕氣體源695而被供給至噴淋頭圈680如至流體連接件690。
板700係配置在噴淋頭670之頭部674與噴淋頭圈680之間。板700包含上表面704、中央開口或孔710、及底表面 714。在某些實例中,板700係由陶瓷所製成。可選擇板700的厚度以最小化耦合至接地或寄生電漿的實質電容耦合。板700之上表面704係與噴淋頭圈680的底緣分隔以允許流體通過其間。中央孔710係亦與幹部672分隔以允許流體通過其間。板的底表面714係與噴淋頭670的上表面分隔以允許流體通過其間。在某些實例中,板700可省略且製程室可在無板700的情況下操作。
簾幕氣體經由與各種製程站之噴淋頭相關之噴淋頭圈之幹部中的複數孔口流至製程室中能實質上減少及/或抑制在製程室之遠端區域(如噴淋頭之背側處)中的非所欲偽沉積。可選擇複數槽口及其他間隙的尺寸以避免電漿在其間點燃並使佩克萊特條件能被滿足以為了所欲的氣體流率而避免反向擴散。
現參考圖7,顯示噴淋頭圈680的一實例。噴淋頭圈680包含頭部681與幹部683。複數槽口686可具有弓形且可繞著幹部683配置。複數槽口686使流體能自內腔684流經複數槽口686。頭部681可包含與流體連接件690上之對應銜合部銜合的銜合部718。當連接時,噴淋頭圈680的導管693係與流體連接件690的導管692對準。
現參考圖8,顯示噴淋頭圈680用之流體連接件690的一實例。雖然流體連接件690被顯示為包含第二銜合部720、導管730、連接件 732、導管734、及連接件 736,但亦可考慮流體連接件的其他配置。現在參考圖 9A與9B,顯示板700的實例。在圖9A中,板700的上表面704被顯示為具有大致上圓形的橫剖面且中央孔710係配置在板700的中央處。中央孔710包含自中央孔710徑向向內延伸的一或多個突出部740。突出部740在板700與幹部672間提供均勻的間距。在圖9B中,板700的底表面 714被顯示為包含相對於製程室之上部向下延伸的複數突出部744。複數突出部744在板700之底表面714與噴淋頭670之頭部674之上表面之間提供均勻間距。亦應注意,RF隔絕/抑制裝置可減少在噴淋頭之後方之腔室中的電場,其可有助於更進一步地減少在噴淋頭後方之區域中之寄生電漿生成的機會或程度。例如,複數突出部740與744可提供充分靠近而能減少寄生電漿生成的間距—例如若使用約或更小的間距。對於典型的製程條件而言,此類間距會導致電漿不具有能沿著電漿鞘形成的充分空間(即小於兩倍電漿鞘長度)。電漿生成可受到電漿密度、電漿電子溫度、及橫跨鞘的電壓所影響。當然,如上所詳細討論的,使用自噴淋頭圈發射的Ar 與O2 的混合物作為簾幕氣體為避免/最少化寄生電漿生成(及改善沉積薄膜均勻度)的一種有效技術。
100‧‧‧設備101‧‧‧氣體輸送系統102‧‧‧製程室103‧‧‧橫板104‧‧‧蒸發點105‧‧‧閥件106‧‧‧噴淋頭107‧‧‧微體積108‧‧‧基板支撐件/平臺110‧‧‧加熱器112‧‧‧基板114‧‧‧RF電源116‧‧‧匹配網路118‧‧‧真空泵浦/蝶閥120‧‧‧混合容器入口閥120A‧‧‧閥件200‧‧‧基板製程設備201‧‧‧製程站202‧‧‧製程站203‧‧‧製程站204‧‧‧製程站214‧‧‧基板製程室218‧‧‧經加熱的平臺220‧‧‧基板加載接口226‧‧‧基板搬運機器人228‧‧‧艙250‧‧‧控制器252‧‧‧處理器254‧‧‧大量儲存裝置256‧‧‧記憶體裝置258‧‧‧機器可讀之系統控制指令290‧‧‧轉盤300‧‧‧單站基板製程設備310‧‧‧電漿饋送氣體(與反應物前驅物)流動路徑312‧‧‧電漿饋送氣體源320‧‧‧簾幕氣體流動路徑322‧‧‧簾幕氣體源330‧‧‧噴淋頭圈400‧‧‧製程設備402‧‧‧多站製程室411‧‧‧製程站412‧‧‧製程站420‧‧‧簾幕氣體/流動路徑650‧‧‧基板製程系統660‧‧‧製程室670‧‧‧噴淋頭672‧‧‧幹部674‧‧‧頭部675‧‧‧內腔676‧‧‧分散板678‧‧‧孔口680‧‧‧噴淋頭圈681‧‧‧頭部683‧‧‧幹部684‧‧‧內腔686‧‧‧孔口/槽口690‧‧‧流體連接件692‧‧‧導管及/或連接件693‧‧‧導管及/或連接件695‧‧‧簾幕氣體源700‧‧‧板704‧‧‧上表面710‧‧‧中央開口或孔714‧‧‧底表面718‧‧‧銜合部720‧‧‧第二銜合部730‧‧‧導管732‧‧‧連接件734‧‧‧導管736‧‧‧連接件740‧‧‧突出部744‧‧‧突出部804‧‧‧混合容器1000‧‧‧基板1002‧‧‧中心點1004‧‧‧第一例示性之圓周表面區域1006‧‧‧第二例示性之圓周表面區域1150‧‧‧方塊1152‧‧‧方塊1154‧‧‧方塊1156‧‧‧方塊1200‧‧‧設備1206‧‧‧噴淋頭1220‧‧‧簾幕氣體1300‧‧‧設備1302‧‧‧製程室體1320‧‧‧簾幕氣體1400‧‧‧設備1408‧‧‧平臺1420‧‧‧簾幕氣體
圖1為具有一製程室之一基板製程設備之橫剖面概圖,製程室具有單一製程站。
圖2為用以在一或多站之半導體製程設備中進行薄膜沉積之包含一四站基板製程設備、一基板搬運機器人與一控制部之系統的概圖,基板搬運機器人係用以將基板加載至兩個製程站並自兩個製程站卸載基板,控制部係用以操作該設備。
圖3顯示一單站基板製程設備之一製程室的橫剖面概圖,此單站基板製程設備具有噴淋頭與噴淋頭圈並顯示主要與次要吹淨氣體路徑。
圖4顯示一基板製程設備之雙站製程室的橫剖面概圖,每一製程站具有基板支撐件、吊燈式噴淋頭、及相關的噴淋頭圈。
圖5顯示使用兩不同之簾幕氣流之兩基板之量測厚度的圖。
圖6顯示在亦例示主要與次要吹淨路徑之一基板製程室中之噴淋頭與噴淋頭圈的橫剖面圖。
圖7顯示噴淋頭圈之一實例透視圖。
圖8顯示圖7之噴淋頭圈用之一例示性流體連接件的透視圖。
圖9A與9B為圖6之噴淋頭之例示性板的上視與下視圖。
圖10顯示例示了不同製程表面區域(以徑向定義)之一例示性基板的上視圖,不同製程表面區域之間的局部製程條件可能有所變化。
圖11顯示用以在 多站半導體製程室及/或製程設備中進行薄膜沉積之第一例示性技術的流程圖。
圖12顯示包含噴淋頭之一例示性製程室,噴淋頭係用以將簾幕氣體釋放至製程室中。
圖13顯示用以將簾幕氣體釋放至製程室中之第二例示性製程室。
圖14顯示包含平臺的第三例示性製程室,平臺係用以將簾幕氣體釋放至製程室中。
102‧‧‧製程室
103‧‧‧橫板
106‧‧‧噴淋頭
108‧‧‧基板支撐件/平臺
112‧‧‧基板
300‧‧‧單站基板製程設備
310‧‧‧電漿饋送氣體(與反應物前驅物)流動路徑
312‧‧‧電漿饋送氣體源
320‧‧‧簾幕氣體流動路徑
322‧‧‧簾幕氣體源
330‧‧‧噴淋頭圈

Claims (56)

  1. 一種在多站半導體製程室中沉積薄膜的方法,包含:(a)決定在該製程室中進行薄膜沉積用的複數製程條件,該複數製程條件包含在該製程室中之每一製程站之外圍附近流動之一簾幕氣體的一流動條件;(b)在薄膜沉積期間根據在(a)中所決定的該複數製程條件使該簾幕氣體流至該製程室中的每一製程站;(c)在(b)期間或在(b)之後,決定基板非均勻度;(d)基於該基板非均勻度,決定該製程室中之該簾幕氣體之一經調整的流動條件以改善該基板非均勻度;及(e)在(d)之後,在薄膜沉積期間根據在(d)中所決定之該經調整的流動條件流動該簾幕氣體。
  2. 如申請專利範圍第1項之在多站半導體製程室中沉積薄膜的方法,其中該簾幕氣體的該流動條件為該簾幕氣體的一流率,且該簾幕氣體之該經調整的流動條件為該簾幕氣體之一經調整的流率。
  3. 如申請專利範圍第2項之在多站半導體製程室中沉積薄膜的方法,其中在(e)期間以一實質上固定的流率流動該簾幕氣體。
  4. 如申請專利範圍第2項之在多站半導體製程室中沉積薄膜的方法,其中在(e)期間以一可變化的流率流動該簾幕氣體。
  5. 如申請專利範圍第1項之在多站半導體製程室中沉積薄膜的方法,其中該簾幕氣體的該流動條件為該簾幕氣體的一分壓,且該簾幕氣體之該經調整的流動條件為該簾幕氣體之一經調整的分壓。
  6. 如申請專利範圍第1項之在多站半導體製程室中沉積薄膜的方法,其中該複數製程條件包含該製程室的一壓力,且該簾幕氣體在該製程室中之該經調整的流動條件係藉由該製程室之一經調整的壓力所造成。
  7. 如申請專利範圍第1項之在多站半導體製程室中沉積薄膜的方法,其中該複數製程條件包含該製程室之一排放速率,且該簾幕氣體在該製程室中之該經調整的流動條件係藉由該製程室之一經調整的排放速率所造成。
  8. 如申請專利範圍第1項之在多站半導體製程室中沉積薄膜的方法,其中:該簾幕氣體的該流動條件為該簾幕氣體的一流率及該簾幕氣體的一分壓,該複數製程條件包含該製程室之一壓力與該製程室之一排放速率,且該簾幕氣體在該製程室中之該經調整的流動條件為下列的多於一者:該簾幕氣體之一經調整的流率、該簾幕氣體之一經調整的分壓、藉由該製程室之一經調整的壓力所造成者、藉由該製程室之一經調整的排放速率所造成者。
  9. 如申請專利範圍第1至8項中任一項之在多站半導體製程室中沉積薄膜的方法,其中(e)係在該薄膜沉積的一階段期間進行。
  10. 如申請專利範圍第9項之在多站半導體製程室中沉積薄膜的方法,其中(e)係在薄膜沉積之下列階段中的一或多者期間進行:將每一製程站中的複數基板暴露至一前驅物之材料、自該製程室移除至少部分該前驅物、活化每一基板上的該前驅物的一反應、及在該反應之後移除該製程室中至少部分的複數氣體。
  11. 如申請專利範圍第1至8項中任一項之在多站半導體製程室中沉積薄膜的方法,其中(e)係在該薄膜沉積的所有階段期間進行。
  12. 如申請專利範圍第11項之在多站半導體製程室中沉積薄膜的方法,其中(e)係在薄膜沉積之下列階段中的所有者期間進行:將每一製程站中的複數基板暴露至一前驅物之材料、自該製程室移除至少部分該前驅物、活化每一基板上的該前驅物的一反應、及在該反應之後移除該製程室中至少部分的複數氣體。
  13. 如申請專利範圍第1至8項中任一項之在多站半導體製程室中沉積薄膜的方法,其中該簾幕氣體包含純分子氧。
  14. 如申請專利範圍第1至8項中任一項之在多站半導體製程室中沉積薄膜的方法,其中在(d)中所決定之該簾幕氣體之該經調整的流動條件包含,相較於在(a)中流動之該簾幕氣體流,該簾幕氣體中之一經調整的氧濃度。
  15. 如申請專利範圍第14項之在多站半導體製程室中沉積薄膜的方法,其中在(d)中決定之該簾幕氣體之該經調整的流動條件包含純分子氧。
  16. 如申請專利範圍第1至8項中任一項之在多站半導體製程室中沉積薄膜的方法,其中該簾幕氣體包含氧與一第二成分之混合物,該第二成分係選自由氬與氮所構成的群組。
  17. 一種在多站半導體製程設備中進行沉積薄膜的系統,包含:一氣體輸送系統;包含至少兩製程站的一製程室,其中:每一製程站共用該氣體輸送系統,且該製程室係用以使一簾幕氣體在每一製程站的外圍附近流動;及一控制器,用以控制該系統而將一材料沉積在於不同製程站中所處理之至少兩片基板上,該控制器包含用於下列者的控制邏輯: (a)根據在該製程室中進行薄膜沉積用的複數製程條件使該簾幕氣體在薄膜沉積期間流動至該製程室中的每一製程站,該複數製程條件包含在該製程室中之每一製程站之外圍附近流動之該簾幕氣體的一流動條件;(b)在(a)期間或在(a)之後,決定基板非均勻度;(c)基於該基板非均勻度,決定該製程室中之該簾幕氣體之一經調整的流動條件以改善該基板非均勻度;及(d)在(c)之後,在薄膜沉積期間根據在(c)中所決定之該經調整的流動條件流動該簾幕氣體。
  18. 如申請專利範圍第17項之在多站半導體製程設備中進行沉積薄膜的系統,其中該簾幕氣體的該流動條件為該簾幕氣體的一流率,且該簾幕氣體之該經調整的流動條件為該簾幕氣體之一經調整的流率。
  19. 如申請專利範圍第17項之在多站半導體製程設備中進行沉積薄膜的系統,其中該簾幕氣體的該流動條件為該簾幕氣體的一分壓,且該簾幕氣體之該經調整的流動條件為該簾幕氣體之一經調整的分壓。
  20. 如申請專利範圍第17項之在多站半導體製程設備中進行沉積薄膜的系統,其中該複數製程條件包含該製程室的一壓力,且該簾幕氣體在該製程室中之該經調整的流動條件係藉由該製程室之一經調整的壓力所造成。
  21. 如申請專利範圍第17項之在多站半導體製程設備中進行沉積薄膜的系統,其中該複數製程條件包含該製程室之一排放速率,且該簾幕氣體在該製程室中之該經調整的流動條件係藉由該製程室之一經調整的排放速率所造成。
  22. 如申請專利範圍第17-21項中任一項之在多站半導體製程設備中進行沉積薄膜的系統,其中該控制器更包含用於下列者的控制邏輯:(e)將每一製程站中的複數基板暴露至一前驅物之材料;(f)自該製程室移除至少部分該前驅物;(g)活化每一基板上的該前驅物的一反應;及(h)在該反應之後移除該製程室中至少部分的複數氣體,其中(d)係於(e)至(h)中的一或多者期間進行。
  23. 如申請專利範圍第17-21項中任一項之在多站半導體製程設備中進行沉積薄膜的系統,其中該控制器更包含用於下列者的控制邏輯:(i)決定在該製程室中進行薄膜沉積用之該複數製程條件,該複數製程條件包含該簾幕氣體的該流動條件。
  24. 如申請專利範圍第17-21項中任一項之在多站半導體製程設備中進行沉積薄膜的系統,更包含:在該製程室中的一基板支撐件;及一噴淋頭,用以將氣體流至該製程室中,其中:該製程室更用以使來自選自下列者所構成之族群之一元件的該簾幕氣體流動:一製程室體、該基板支撐件、及該噴淋頭。
  25. 一種在多站半導體製程室中沉積薄膜的方法,該方法包含:(a)決定在該製程室中進行薄膜沉積用的複數製程條件,該複數製程條件包含在該製程室中之每一製程站之外圍附近流動之一簾幕氣體的一流動條件;(b)在一循環沉積製程的第一組一或多個沉積循環期間,根據在(a)中所決定的該複數製程條件使該簾幕氣體流至該製程室中的每一製程站; (c)將該簾幕氣體的該流動條件調整至改善基板非均勻度的一經調整之流動條件;及(d)在(c)之後,在該循環沉積製程的第二組一或多個沉積循環期間,根據(c)的該經調整之流動條件流動該簾幕氣體,藉以改善基板非均勻度,其中該簾幕氣體之該經調整的流動條件包含該簾幕氣體之一經調整的組成。
  26. 如申請專利範圍第25項之在多站半導體製程室中沉積薄膜的方法,其中該簾幕氣體的該流動條件為該簾幕氣體的一流率以及該簾幕氣體的一組成,且其中該簾幕氣體之該經調整的流動條件為該簾幕氣體之一經調整的流率以及該簾幕氣體之一經調整的組成。
  27. 如申請專利範圍第26項之在多站半導體製程室中沉積薄膜的方法,其中將該簾幕氣體的該流動條件調整至該經調整之流動條件包含將一或多種成分添加至該簾幕氣體或自該簾幕氣體減去一或多種成分。
  28. 如申請專利範圍第25項之在多站半導體製程室中沉積薄膜的方法,其中該循環沉積製程為一原子層沉積製程。
  29. 如申請專利範圍第25項之在多站半導體製程室中沉積薄膜的方法,其中(b)係在(d)之前發生。
  30. 如申請專利範圍第25項之在多站半導體製程室中沉積薄膜的方法,其中(b)係在(d)之後發生。
  31. 如申請專利範圍第25項之在多站半導體製程室中沉積薄膜的方法,其中該簾幕氣體包含分子氧。
  32. 如申請專利範圍第31項之在多站半導體製程室中沉積薄膜的方法,其中該簾幕氣體之該經調整的組成包含該簾幕氣體中之一經調整的氧濃度。
  33. 如申請專利範圍第25項之在多站半導體製程室中沉積薄膜的方法,其中:該多站半導體製程室包含一吊燈式噴淋頭以及圍繞該吊燈式噴淋頭之一幹部的一噴淋頭圈,且該簾幕氣體經由該噴淋頭圈流入至該製程室中。
  34. 如申請專利範圍第25項之在多站半導體製程室中沉積薄膜的方法,其中:該簾幕氣體包含氧與一第二成分之混合物,該第二成分係選自由氬與氮所構成的群組,且該簾幕氣體之該經調整的流動條件包含該簾幕氣體之一經調整的組成。
  35. 如申請專利範圍第25項之在多站半導體製程室中沉積薄膜的方法,其中:在(b)中的該簾幕氣體為一單成分氣體,且該簾幕氣體之該經調整的組成包含該單成分氣體。
  36. 如申請專利範圍第35項之在多站半導體製程室中沉積薄膜的方法,其中:該單成分氣體為氧,且該簾幕氣體之該經調整的組成更包含下列其中一或多者:氬與氮。
  37. 如申請專利範圍第35項之在多站半導體製程室中沉積薄膜的方法,其中該單成分氣體係選自由氧、氬、以及氮所構成的群組。
  38. 如申請專利範圍第25項之在多站半導體製程室中沉積薄膜的方法,其中: 在(a)中的該簾幕氣體為包含一單成分氣體的一氣體混合物,且在(d)中的該簾幕氣體為該單成分氣體。
  39. 如申請專利範圍第38項之在多站半導體製程室中沉積薄膜的方法,其中該單成分氣體係選自由氧、氬、以及氮所構成的群組。
  40. 如申請專利範圍第38項之在多站半導體製程室中沉積薄膜的方法,其中:該單成分氣體為氧,且在(a)中的該簾幕氣體包含氬與氮其中一或多者以及氧。
  41. 一種在多站半導體製程設備中進行薄膜沉積的系統,該系統包含:一氣體輸送系統;包含至少兩製程站的一製程室,其中:每一製程站共用該氣體輸送系統,且該製程室係用以使一簾幕氣體在每一製程站的外圍附近流動;及一控制器,用以控制該系統而將一材料沉積在於不同製程站中所處理之至少兩片基板上,該控制器包含用於下列者的控制邏輯:(a)在一循環沉積製程的第一組一或多個沉積循環期間,根據在該製程室中進行薄膜沉積用的複數製程條件使該簾幕氣體流至該製程室中的每一製程站,該複數製程條件包含在該製程室中之每一製程站之外圍附近流動之一簾幕氣體的一流動條件; (b)將該簾幕氣體的該流動條件調整至改善基板非均勻度的一經調整之流動條件,其中該簾幕氣體之該經調整的流動條件包含該簾幕氣體之一經調整的組成;及(c)在(b)之後,在該循環沉積製程的第二組一或多個沉積循環期間,根據(b)的該經調整之流動條件流動該簾幕氣體,藉以改善基板非均勻度。
  42. 如申請專利範圍第41項之在多站半導體製程設備中進行薄膜沉積的系統,其中該簾幕氣體的該流動條件為該簾幕氣體的一流率以及該簾幕氣體的一組成,且其中該簾幕氣體之該經調整的流動條件為該簾幕氣體之一經調整的流率以及該簾幕氣體之一經調整的組成。
  43. 如申請專利範圍第42項之在多站半導體製程設備中進行薄膜沉積的系統,其中用於將該簾幕氣體的該流動條件調整至該經調整之流動條件的該控制邏輯包含用於將一或多種成分添加至該簾幕氣體或自該簾幕氣體減去一或多種成分的控制邏輯。
  44. 如申請專利範圍第41項之在多站半導體製程設備中進行薄膜沉積的系統,其中該控制器更包含用於在該循環沉積製程期間重覆(a)、(b)、以及(c)的控制邏輯。
  45. 如申請專利範圍第41項之在多站半導體製程設備中進行薄膜沉積的系統,其中該循環沉積製程為一原子層沉積製程。
  46. 如申請專利範圍第41項之在多站半導體製程設備中進行薄膜沉積的系統,其中該控制器更包含用於下列者的控制邏輯:在(c)之前進行(a),以及在(c)之後進行(a)。
  47. 如申請專利範圍第41項之在多站半導體製程設備中進行薄膜沉積的系統,其中該簾幕氣體包含分子氧。
  48. 如申請專利範圍第41項之在多站半導體製程設備中進行薄膜沉積的系統,其中該簾幕氣體之該經調整的組成包含該簾幕氣體中之一經調整的氧濃度。
  49. 如申請專利範圍第41項之在多站半導體製程設備中進行薄膜沉積的系統,更包含:一吊燈式噴淋頭,用以使氣體流入至該製程室中,以及一噴淋頭圈,圍繞該吊燈式噴淋頭之一幹部,其中該簾幕氣體經由該噴淋頭圈流入至該製程室中。
  50. 如申請專利範圍第41項之在多站半導體製程設備中進行薄膜沉積的系統,其中該控制器更包含用於下列者的控制邏輯:(d)將該簾幕氣體的一第二流動條件調整至改善基板非均勻度的一第二經調整之流動條件;及(e)在(d)之後,在該循環沉積製程的第三組一或多個沉積循環期間,根據(d)的該第二經調整之流動條件流動該簾幕氣體,藉以改善基板非均勻度。
  51. 如申請專利範圍第41項之在多站半導體製程設備中進行薄膜沉積的系統,其中:在(a)中的該簾幕氣體為一單成分氣體,且該簾幕氣體之該經調整的組成包含該單成分氣體。
  52. 如申請專利範圍第51項之在多站半導體製程設備中進行薄膜沉積的系統,其中: 該單成分氣體為氧,且該簾幕氣體之該經調整的組成更包含下列其中一或多者:氬與氮。
  53. 如申請專利範圍第52項之在多站半導體製程設備中進行薄膜沉積的系統,其中該單成分氣體係選自由氧、氬、以及氮所構成的群組。
  54. 如申請專利範圍第41項之在多站半導體製程設備中進行薄膜沉積的系統,其中:在(a)中的該簾幕氣體為包含一單成分氣體的一氣體混合物,且在(c)中的該簾幕氣體為該單成分氣體。
  55. 如申請專利範圍第54項之在多站半導體製程設備中進行薄膜沉積的系統,其中該單成分氣體係選自由氧、氬、以及氮所構成的群組。
  56. 如申請專利範圍第54項之在多站半導體製程設備中進行薄膜沉積的系統,其中:該單成分氣體為氧,且在(a)中的該簾幕氣體包含氬與氮其中一或多者以及氧。
TW106119409A 2016-06-17 2017-06-12 用於膜輪廓調變之噴淋頭簾幕氣體方法及系統 TWI743135B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/186,275 US9738977B1 (en) 2016-06-17 2016-06-17 Showerhead curtain gas method and system for film profile modulation
US15/186,275 2016-06-17

Publications (2)

Publication Number Publication Date
TW201809342A TW201809342A (zh) 2018-03-16
TWI743135B true TWI743135B (zh) 2021-10-21

Family

ID=59581456

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106119409A TWI743135B (zh) 2016-06-17 2017-06-12 用於膜輪廓調變之噴淋頭簾幕氣體方法及系統

Country Status (6)

Country Link
US (2) US9738977B1 (zh)
JP (1) JP7171165B2 (zh)
KR (4) KR102333807B1 (zh)
CN (4) CN107523804A (zh)
SG (2) SG10202012689YA (zh)
TW (1) TWI743135B (zh)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9617638B2 (en) * 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US9738977B1 (en) 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation
US10927459B2 (en) 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
KR102560283B1 (ko) * 2018-01-24 2023-07-26 삼성전자주식회사 샤워 헤드를 설계하고 제조하는 장치 및 방법
US11241720B2 (en) * 2018-03-22 2022-02-08 Tel Manufacturing And Engineering Of America, Inc. Pressure control strategies to provide uniform treatment streams in the manufacture of microelectronic devices
KR102501472B1 (ko) * 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
CN112204167B (zh) * 2018-05-24 2023-06-20 东京毅力科创株式会社 用于气相自由基的控制的多区气体注入
US11913113B2 (en) * 2018-08-22 2024-02-27 Lam Research Corporation Method and apparatus for modulating film uniformity
TWI754180B (zh) * 2018-10-29 2022-02-01 美商應用材料股份有限公司 用於形成薄膜的處理腔室與方法
CN109390435B (zh) * 2018-12-03 2024-01-26 乐山新天源太阳能科技有限公司 用于太阳能电池抗pid设备的氮气和氧气单向混合装置
US11302526B2 (en) * 2019-01-14 2022-04-12 Samsung Electronics Co., Ltd. Supercritical drying apparatus and method of drying substrate using the same
CN113597479A (zh) * 2019-03-11 2021-11-02 朗姆研究公司 用于清洁等离子体室的设备
JP2022525438A (ja) * 2019-04-11 2022-05-13 アプライド マテリアルズ インコーポレイテッド 処理チャンバ内でのプラズマの高密度化
US20220228263A1 (en) * 2019-06-07 2022-07-21 Lam Research Corporation Independently adjustable flowpath conductance in multi-station semiconductor processing
WO2023004128A1 (en) * 2021-07-22 2023-01-26 Entegris, Inc. Adsorbents and methods for reducing contamination in wafer container microenvironments
US20230151489A1 (en) * 2021-11-12 2023-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition Apparatus and Method
US20230195078A1 (en) * 2021-12-21 2023-06-22 Applied Materials, Inc. Methods and mechanisms for adjusting process chamber parameters during substrate manufacturing

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120269968A1 (en) * 2011-04-21 2012-10-25 Kurt J. Lesker Company Atomic Layer Deposition Apparatus and Process
TWI423383B (zh) * 2010-12-17 2014-01-11 Advanced Micro Fabrication Equipment Shanghai Co Ltd Substrate support for the III-V film growth reaction chamber, its reaction chamber and process treatment

Family Cites Families (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2075455B (en) 1980-04-30 1984-08-22 Nippon Steel Corp Apparatus and method for supporting a metal strip under a static gas pressure
US5755886A (en) 1986-12-19 1998-05-26 Applied Materials, Inc. Apparatus for preventing deposition gases from contacting a selected region of a substrate during deposition processing
US6002109A (en) 1995-07-10 1999-12-14 Mattson Technology, Inc. System and method for thermal processing of a semiconductor substrate
US5892235A (en) 1996-05-15 1999-04-06 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for doping
US6143081A (en) 1996-07-12 2000-11-07 Tokyo Electron Limited Film forming apparatus and method, and film modifying apparatus and method
US6217715B1 (en) 1997-02-06 2001-04-17 Applied Materials, Inc. Coating of vacuum chambers to reduce pump down time and base pressure
DE19852552C2 (de) 1998-11-13 2000-10-05 Daimler Chrysler Ag Verfahren zum Betrieb eines im Viertakt arbeitenden Verbrennungsmotors
US6333272B1 (en) * 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US20020104556A1 (en) 2001-02-05 2002-08-08 Suraj Puri Controlled fluid flow and fluid mix system for treating objects
US6902620B1 (en) * 2001-12-19 2005-06-07 Novellus Systems, Inc. Atomic layer deposition systems and methods
US6866255B2 (en) 2002-04-12 2005-03-15 Xerox Corporation Sputtered spring films with low stress anisotropy
US6932871B2 (en) * 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US6890596B2 (en) * 2002-08-15 2005-05-10 Micron Technology, Inc. Deposition methods
KR100520900B1 (ko) * 2003-03-13 2005-10-12 주식회사 아이피에스 Ald 박막증착방법
KR100505367B1 (ko) * 2003-03-27 2005-08-04 주식회사 아이피에스 박막증착용 반응용기
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
WO2006088463A1 (en) * 2005-02-17 2006-08-24 Selitser Simon I Atmospheric pressure molecular layer cvd
KR100673979B1 (ko) 2005-03-17 2007-01-24 안강호 초미립자 제조장치 및 그 방법
KR101218114B1 (ko) 2005-08-04 2013-01-18 주성엔지니어링(주) 플라즈마 식각 장치
KR20070098104A (ko) * 2006-03-31 2007-10-05 삼성전자주식회사 가스커튼을 구비한 박막증착장치
US8409351B2 (en) 2007-08-08 2013-04-02 Sic Systems, Inc. Production of bulk silicon carbide with hot-filament chemical vapor deposition
JP2009071017A (ja) * 2007-09-13 2009-04-02 Nuflare Technology Inc 気相成長装置及び気相成長方法
US20090109595A1 (en) 2007-10-31 2009-04-30 Sokudo Co., Ltd. Method and system for performing electrostatic chuck clamping in track lithography tools
JP4933409B2 (ja) 2007-11-29 2012-05-16 株式会社ニューフレアテクノロジー 半導体製造装置および半導体製造方法
KR101417728B1 (ko) 2008-03-12 2014-07-11 삼성전자주식회사 지르코늄 유기산질화막 형성방법 및 이를 이용하는 반도체장치 및 그 제조방법
US20090270849A1 (en) 2008-03-17 2009-10-29 Arqos Surgical Inc. Electrosurgical Device and Method
JP5253933B2 (ja) * 2008-09-04 2013-07-31 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
DE102008049494A1 (de) 2008-09-27 2010-04-08 Xtreme Technologies Gmbh Verfahren und Anordnung zum Betreiben von plasmabasierten kurzwelligen Strahlungsquellen
JP5107285B2 (ja) * 2009-03-04 2012-12-26 東京エレクトロン株式会社 成膜装置、成膜方法、プログラム、およびコンピュータ可読記憶媒体
CN102087955B (zh) 2009-12-04 2012-10-31 中芯国际集成电路制造(上海)有限公司 改善等离子体工艺中反应腔室内部颗粒状况的方法
CN102136410B (zh) 2010-01-27 2013-04-10 中芯国际集成电路制造(上海)有限公司 用于半导体工艺腔的清洁方法
US9028924B2 (en) * 2010-03-25 2015-05-12 Novellus Systems, Inc. In-situ deposition of film stacks
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
KR101772723B1 (ko) 2010-06-28 2017-08-29 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법
US20120043198A1 (en) 2010-08-18 2012-02-23 Semiconductor Energy Laboratory Co., Ltd. Film formation apparatus and film formation method
EP2481833A1 (en) * 2011-01-31 2012-08-01 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus for atomic layer deposition
NL2006962C2 (nl) * 2011-06-17 2012-12-18 Draka Comteq Bv Inrichting en werkwijze voor het vervaardigen van een optische voorvorm.
TWI516739B (zh) 2012-02-07 2016-01-11 三菱麗陽股份有限公司 橫式熱處理裝置
KR101430657B1 (ko) * 2012-05-29 2014-09-23 주식회사 에스에프에이 원자층 증착장치
KR101832404B1 (ko) * 2012-06-22 2018-02-26 주식회사 원익아이피에스 가스분사장치 및 기판처리장치
US9388494B2 (en) * 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
JP5953994B2 (ja) * 2012-07-06 2016-07-20 東京エレクトロン株式会社 成膜装置及び成膜方法
US20140044889A1 (en) 2012-08-10 2014-02-13 Globalfoundries Inc. Methods of making stressed material layers and a system for forming such layers
KR20140033911A (ko) 2012-09-11 2014-03-19 에이에스엠 아이피 홀딩 비.브이. 증착 장치 및 증착 방법
TWI480417B (zh) * 2012-11-02 2015-04-11 Ind Tech Res Inst 具氣幕之氣體噴灑裝置及其薄膜沉積裝置
US9399228B2 (en) 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
TWI624560B (zh) 2013-02-18 2018-05-21 應用材料股份有限公司 用於原子層沉積的氣體分配板及原子層沉積系統
US8940646B1 (en) 2013-07-12 2015-01-27 Lam Research Corporation Sequential precursor dosing in an ALD multi-station/batch reactor
US20150030766A1 (en) * 2013-07-25 2015-01-29 Novellus Systems, Inc. Pedestal bottom clean for improved fluorine utilization and integrated symmetric foreline
CN108770167B (zh) 2013-08-16 2021-01-12 应用材料公司 用于高温低压力环境的细长的容性耦合的等离子体源
JP6529973B2 (ja) 2013-11-26 2019-06-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated バッチ処理用傾斜プレート及びその使用方法
JP6616070B2 (ja) 2013-12-01 2019-12-04 ユージェヌス インコーポレイテッド 誘電性複合体構造の作製方法及び装置
JP2017503079A (ja) 2014-01-05 2017-01-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 空間的原子層堆積又はパルス化学気相堆積を使用する膜堆積
WO2015106261A1 (en) 2014-01-13 2015-07-16 Applied Materials, Inc. Self-aligned double patterning with spatial atomic layer deposition
KR102135740B1 (ko) 2014-02-27 2020-07-20 주식회사 원익아이피에스 기판 처리 장치 및 기판 처리 방법
US9336997B2 (en) 2014-03-17 2016-05-10 Applied Materials, Inc. RF multi-feed structure to improve plasma uniformity
TW201610215A (zh) 2014-03-27 2016-03-16 應用材料股份有限公司 用於低熱預算處理的循環尖峰退火化學曝露
WO2015161225A1 (en) * 2014-04-18 2015-10-22 Applied Materials, Inc. Apparatus for susceptor temperature verification and methods of use
US9797042B2 (en) * 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
US20150380221A1 (en) 2014-06-30 2015-12-31 Applied Materials, Inc. Hole Pattern For Uniform Illumination Of Workpiece Below A Capacitively Coupled Plasma Source
US9617638B2 (en) 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
JP6298383B2 (ja) * 2014-08-19 2018-03-20 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
TWI670394B (zh) * 2014-09-10 2019-09-01 美商應用材料股份有限公司 空間原子層沈積中的氣體分離控制
US10273578B2 (en) 2014-10-03 2019-04-30 Applied Materials, Inc. Top lamp module for carousel deposition chamber
US20160138160A1 (en) 2014-11-18 2016-05-19 Lam Research Corporation Reactive ultraviolet thermal processing of low dielectric constant materials
US9508547B1 (en) 2015-08-17 2016-11-29 Lam Research Corporation Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors
US9738977B1 (en) 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI423383B (zh) * 2010-12-17 2014-01-11 Advanced Micro Fabrication Equipment Shanghai Co Ltd Substrate support for the III-V film growth reaction chamber, its reaction chamber and process treatment
US20120269968A1 (en) * 2011-04-21 2012-10-25 Kurt J. Lesker Company Atomic Layer Deposition Apparatus and Process

Also Published As

Publication number Publication date
KR20210150331A (ko) 2021-12-10
KR20220066008A (ko) 2022-05-23
TW201809342A (zh) 2018-03-16
SG10202012689YA (en) 2021-01-28
SG10201704782VA (en) 2018-01-30
CN107523804A (zh) 2017-12-29
KR20230164622A (ko) 2023-12-04
KR102396162B1 (ko) 2022-05-09
JP2017224816A (ja) 2017-12-21
KR102605484B1 (ko) 2023-11-23
JP7171165B2 (ja) 2022-11-15
CN115584489A (zh) 2023-01-10
KR102333807B1 (ko) 2021-12-01
US9738977B1 (en) 2017-08-22
CN115584490A (zh) 2023-01-10
KR20170142891A (ko) 2017-12-28
US10202691B2 (en) 2019-02-12
US20170362713A1 (en) 2017-12-21
CN115584488A (zh) 2023-01-10

Similar Documents

Publication Publication Date Title
TWI743135B (zh) 用於膜輪廓調變之噴淋頭簾幕氣體方法及系統
KR102563427B1 (ko) 2차 퍼지 가능한 ald 시스템에서 샤워헤드 후면 기생 플라즈마 억제를 위한 방법들 및 장치들
US11075127B2 (en) Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US10577691B2 (en) Single ALD cycle thickness control in multi-station substrate deposition systems
US11479856B2 (en) Multi-cycle ALD process for film uniformity and thickness profile modulation
US9508547B1 (en) Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors