KR20230164622A - 막 프로파일 조정을 위한 샤워헤드 커튼 가스 방법 및 시스템 - Google Patents

막 프로파일 조정을 위한 샤워헤드 커튼 가스 방법 및 시스템 Download PDF

Info

Publication number
KR20230164622A
KR20230164622A KR1020230160858A KR20230160858A KR20230164622A KR 20230164622 A KR20230164622 A KR 20230164622A KR 1020230160858 A KR1020230160858 A KR 1020230160858A KR 20230160858 A KR20230160858 A KR 20230160858A KR 20230164622 A KR20230164622 A KR 20230164622A
Authority
KR
South Korea
Prior art keywords
curtain gas
adjusted
curtain
gas
station
Prior art date
Application number
KR1020230160858A
Other languages
English (en)
Inventor
이슈타크 카림
애드리언 라보이
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20230164622A publication Critical patent/KR20230164622A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

막을 증착하기 위한 방법들 및 시스템들이 개시된다. 방법들은: (a) 챔버 내에서 막 증착을 수행하기 위해, 챔버 내의 스테이션 각각의 주변부 둘레를 흐르는 커튼 가스의 플로우 조건을 포함하는 프로세스 조건들을 결정하는 단계, (b) 단계 (a) 에서 결정된 프로세스 조건들에 따른 막 증착 동안 챔버 내의 스테이션 각각으로 커튼 가스를 흘리는 단계, (c) 단계 (b) 동안 또는 단계 (b) 후에, 기판 불균일도를 개선하기 위해 챔버 내의 커튼 가스의 조정된 플로우 조건을 결정하는 단계, 및 (d) 단계 (c) 후에, 단계 (c) 에서 결정된 조정된 플로우 조건에 따른 막 증착 동안 커튼 가스를 흘리는 단계를 포함할 수도 있다. 시스템들은 가스 전달 시스템, 프로세싱 챔버 및 단계 (a) 내지 단계 (d) 중 하나 이상을 수행하기 위한 제어 로직을 갖는 제어기를 포함할 수도 있다.

Description

막 프로파일 조정을 위한 샤워헤드 커튼 가스 방법 및 시스템{SHOWERHEAD CURTAIN GAS METHOD AND SYSTEM FOR FILM PROFILE MODULATION}
반도체 산업계에서 IC (integrated circuit) 디바이스 및 기판 피처 사이즈들이 계속해서 축소될 뿐만 아니라 IC 설계들에서 3D 디바이스 구조체들 (예를 들어, Intel's Tri-Gate 트랜지스터 아키텍처) 의 사용이 증가됨에 따라, 박형의 컨포멀한 (conformal) 막들 (비평면형이더라도, 아래에 놓인 구조체의 형상에 대해 균일한 두께를 갖는 재료의 막들) 이 점점 더 중요해 질 것이다. ALD (atomic layer deposition) 는 ALD만의 단일 사이클이 재료의 단일 박층을 증착한다는 사실로 인해 컨포멀한 막들의 증착에 잘 맞는 막 형성 기법이다 ―ALD 프로세스의 결과는 전구체들의 막-형성 표면 반응 전에 하나 이상의 막 전구체 반응물질들의 흡착-제한된 층의 형성을 수반한다―. 복수의 "ALD 사이클들"은 나중에 목표된 두께의 막을 구축하도록 사용될 수도 있고, 층 각각이 박형이고 컨포멀하기 때문에, 발생되는 막은 실질적으로 아래에 놓인 기판 피처들 및/또는 디바이스 구조체들의 형상을 따른다 (conform).
그러나, 종종 인식가능한 두께의 막을 구축하기 위해 많은 ALD 사이클들이 필요하다는 사실과 관련하여, 반도체 제조시 ALD 프로세스들을 채용하는 것과 연관된 많은 과제들이 있다. 고속 ALD 사이클 시간들이 특수화된 반도전 프로세싱 하드웨어에 의해 용이해질 수도 있지만, 이들 증착 장치들 및 이들에서 수행된 막 형성 동작들의 세심한 설계 없이, 발생되는 ALD 막들의 균일도가 위협받을 수도 있다. 따라서, 증착된 막들의 균일도를 개선하는 방법들, 시스템들 및 장치들이 탐구되었다.
일 실시예에서, 멀티-스테이션 반도체 프로세싱 챔버에서 막을 증착하는 방법이 제공될 수도 있다. 방법들은: (a) 챔버 내에서 막 증착을 수행하기 위해, 챔버 내의 스테이션 각각의 주변부 둘레를 흐르는 커튼 가스의 플로우 조건을 포함하는 프로세스 조건들을 결정하는 단계, (b) 단계 (a) 에서 결정된 프로세스 조건들에 따른 막 증착 동안 챔버 내의 스테이션 각각으로 커튼 가스를 흘리는 단계, (c) 단계 (b) 동안 또는 단계 (b) 후에, 기판 불균일도를 개선하기 위해 챔버 내의 커튼 가스의 조정된 플로우 조건을 결정하는 단계, 및 (d) 단계 (c) 후에, 단계 (c) 에서 결정된 조정된 플로우 조건에 따른 막 증착 동안 커튼 가스를 흘리는 단계를 포함할 수도 있다.
일부 실시예들에서, 커튼 가스의 플로우 조건은 커튼 가스의 플로우레이트일 수도 있고, 그리고 커튼 가스의 조정된 플로우 조건은 커튼 가스의 조정된 플로우레이트일 수도 있다.
일부 추가 실시예들에서, 커튼 가스는 단계 (d) 동안 실질적으로 일정한 플로우레이트로 흐를 수도 있다.
일부 다른 추가 실시예들에서, 커튼 가스는 단계 (d) 동안 가변 플로우레이트로 흐를 수도 있다.
일부 실시예들에서, 커튼 가스의 플로우 조건은 커튼 가스의 분압이고, 그리고 커튼 가스의 조정된 플로우 조건은 커튼 가스의 조정된 분압일 수도 있다.
일부 실시예들에서, 프로세스 조건들은 챔버의 압력을 포함할 수도 있고, 그리고 챔버 내 커튼 가스의 조정된 플로우 조건은 챔버의 조정된 압력에 의해 유발될 수도 있다.
일부 실시예들에서, 프로세스 조건들은 챔버의 배기 레이트를 포함할 수도 있고, 그리고 챔버 내의 커튼 가스의 조정된 플로우 조건은 챔버의 조정된 배기 레이트에 의해 유발될 수도 있다.
일부 실시예들에서, 커튼 가스의 플로우 조건은 커튼 가스의 플로우레이트 및 커튼 가스의 분압일 수도 있고, 프로세스 조건들은 챔버의 압력 및 챔버의 배기 레이트를 포함할 수도 있고, 그리고 챔버 내 커튼 가스의 조정된 플로우 조건은: 커튼 가스의 조정된 플로우레이트, 커튼 가스의 조정된 분압, 챔버의 조정된 압력에 의해 유발된 조건, 및 챔버의 조정된 배기 레이트에 의해 유발된 조건 중 2 개 이상일 수도 있다.
일부 실시예들에서, 단계 (d) 는 막 증착 페이즈 동안 수행될 수도 있다.
일부 추가 실시예들에서, 단계 (d) 는 이하의 막 증착 페이즈들: 스테이션 각각의 기판들을 재료의 전구체에 노출하는 페이즈, 챔버로부터 전구체의 적어도 일부를 제거하는 페이즈, 기판 각각 상에서 전구체의 반응을 활성화시키는 페이즈, 및 반응 후에, 챔버 내 가스들 중 적어도 일부를 제거하는 페이즈들 중 하나 이상 동안 수행될 수도 있다.
일부 실시예들에서, 단계 (d) 는 막 증착의 모든 페이즈들 동안 수행될 수도 있다.
일부 추가 실시예들에서, 단계 (d) 는 이하: 스테이션 각각의 기판들을 재료의 전구체에 노출하는 페이즈, 챔버로부터 전구체의 적어도 일부를 제거하는 페이즈, 기판 각각 상에서 전구체의 반응을 활성화시키는 페이즈, 및 반응 후에, 챔버 내 가스들 중 적어도 일부를 제거하는 페이즈를 포함할 수도 있는 모든 막 증착 페이즈들 동안 수행될 수도 있다.
일부 실시예들에서, 방법은 단계 (c) 전에, 챔버 내 하나 이상의 스테이션들에 의해 증착된 막의 불균일도를 식별하는 단계를 더 포함할 수도 있고, 단계 (c) 의 결정하는 단계는 적어도 부분적으로 이 식별하는 단계에 기초할 수도 있다.
일부 실시예들에서, 단계 (c) 에서 결정된 커튼 가스의 조정된 플로우 조건은 단계 (a) 에서 흐른 커튼 가스의 플로우와 비교하여, 커튼 가스 내에 조정된 산소 농도를 포함할 수도 있다.
일부 추가 실시예들에서, 단계 (c) 에서 결정된 커튼 가스의 조정된 플로우 조건은 순수 분자 산소를 포함할 수도 있다.
일부 실시예들에서, 커튼 가스는 산소와 아르곤 또는 질소로 구성된 그룹으로부터 선택된 제 2 컴포넌트의 혼합물을 포함한다.
일 실시예에서, 멀티-스테이션 반도체 프로세싱 툴에서 막 증착을 수행하는 시스템이 제공될 수도 있다. 시스템은 가스 전달 시스템, 적어도 2 개의 스테이션들을 포함하는 프로세싱 챔버로서, 스테이션 각각은 가스 전달 시스템을 공유하고, 그리고 프로세싱 챔버는 스테이션 각각의 주변부 둘레에 커튼 가스를 흘리도록 구성되는, 프로세싱 챔버를 포함할 수도 있다. 시스템은 또한 분리된 스테이션들에서 프로세싱된 적어도 2 개의 기판들 상에 재료를 증착하도록 시스템을 제어하기 위한 제어기를 포함할 수도 있고, 제어기는, (a) 챔버 내에서 막 증착을 수행하기 위해, 챔버 내 스테이션 각각의 주변부 둘레를 흐르는 커튼 가스의 플로우 조건을 포함하는 프로세스 조건들에 따라, 막 증착 동안 커튼 가스를 챔버의 스테이션 각각으로 흘리기 위한 제어 로직; (b) 제어 로직 (a) 동안 또는 후에, 기판 불균일도를 개선하기 위해 챔버 내 커튼 가스의 조정된 플로우 조건을 결정하기 위한 제어 로직; 및 (c) 제어 로직 (b) 후에, 제어 로직 (b) 에서 결정된 조정된 플로우 조건에 따라 막 증착 동안 커튼 가스를 흘리기 위한 제어 로직을 포함한다.
일부 실시예들에서, 커튼 가스의 플로우 조건은 커튼 가스의 플로우레이트일 수도 있고, 그리고 커튼 가스의 조정된 플로우 조건은 커튼 가스의 조정된 플로우레이트일 수도 있다.
일부 실시예들에서, 커튼 가스의 플로우 조건은 커튼 가스의 분압일 수도 있고, 그리고 커튼 가스의 조정된 플로우 조건은 커튼 가스의 조정된 분압일 수도 있다.
일부 실시예들에서, 프로세스 조건들은 챔버의 압력을 포함할 수도 있고, 그리고 챔버 내 커튼 가스의 조정된 플로우 조건은 챔버의 조정된 압력에 의해 유발될 수도 있다.
일부 실시예들에서, 프로세스 조건들은 챔버의 배기 레이트를 포함할 수도 있고, 그리고 챔버 내 커튼 가스의 조정된 플로우 조건은 챔버의 조정된 배기 레이트에 의해 유발될 수도 있다.
일부 실시예들에서, 제어기는, (d) 스테이션 각각의 기판들을 재료의 전구체에 노출하기 위한 제어 로직, (e) 챔버로부터 전구체의 적어도 일부를 제거하기 위한 제어 로직, (f) 기판 각각 상에서 전구체의 반응을 활성화시키기 위한 제어 로직, 및 (g) 반응 후에, 챔버 내 가스들 중 적어도 일부를 제거하기 위한 제어 로직을 더 포함할 수도 있고, 그리고 제어 로직 (c) 는 제어 로직 (d) 내지 제어 로직 (g) 중 하나 이상 동안 수행된다.
일부 실시예들에서, 제어기는: (h) 챔버 내에서 막 증착을 수행하기 위해, 커튼 가스의 플로우 조건을 포함하는, 프로세스 조건들을 결정하기 위한 제어 로직을 더 포함할 수도 있다.
일부 실시예들에서, 시스템은 프로세싱 챔버 내 기판 홀더 및 프로세싱 챔버 내로 가스를 흘리기 위한 샤워헤드를 더 포함할 수도 있다. 프로세싱 챔버는 프로세싱 챔버 바디, 기판 홀더, 및 샤워헤드로부터 커튼 가스를 흘리도록 더 구성될 수도 있다.
도 1은 단일 프로세스 스테이션을 갖는 프로세싱 챔버를 갖는 기판 프로세싱 장치의 단면 개략도를 도시한다.
도 2는 하나 이상의 멀티-스테이션 반도체 프로세싱 툴들에서 막 증착을 수행하고, 4-스테이션 기판 프로세싱 툴, 2 개의 프로세스 스테이션들로부터 기판들을 로딩 및 언로딩하기 위한 기판 핸들러 로봇, 및 툴을 동작시키기 위한 제어기를 포함하는, 시스템의 개략도를 도시한다.
도 3은 샤워헤드 및 샤워헤드 칼라를 갖고, 1차 퍼지 가스 플로우경로 및 2차 퍼지 가스 플로우경로를 특징으로 하는 단일 스테이션 기판 프로세싱 장치의 프로세싱 챔버의 단면 개략도를 도시한다.
도 4는 스테이션 각각이 기판 홀더, 샹들리에-타입 샤워헤드 및 연관된 샤워헤드 칼라를 갖는, 기판 프로세싱 툴의 듀얼-스테이션 프로세싱 챔버의 단면 개략도를 도시한다.
도 5는 2 개의 상이한 커튼 가스 플로우들을 사용하는 2 개의 기판들의 측정된 두께들의 그래프를 도시한다.
도 6은 1차 퍼지 가스 플로우경로 및 2차 퍼지 가스 플로우경로를 또한 예시하는, 기판 프로세싱 챔버의 샤워헤드 및 샤워헤드 칼라의 보다 상세한 단면도를 도시한다.
도 7은 샤워헤드 칼라의 예의 사시도를 도시한다.
도 8은 도 7의 샤워헤드 칼라에 대한 예시적인 유체 커넥터의 사시도를 도시한다.
도 9a 및 도 9b는 도 6의 샤워헤드의 예시적인 플레이트들의 상단 및 하단 평면도들이다.
도 10은 국부적인 프로세스 조건들 사이에서 가변할 수도 있는 (방사상으로 규정된) 상이한 프로세싱 표면 영역들을 예시하는 예시적인 기판의 평면도를 도시한다.
도 11은 멀티-스테이션 반도체 프로세싱 챔버 및/또는 프로세싱 툴에서 막 증착을 수행하기 위한 제 1 예시적인 기법의 플로우차트를 도시한다.
도 12는 프로세스 챔버 내로 커튼 가스를 릴리즈하도록 구성된 샤워헤드를 포함하는 예시적인 프로세싱 챔버를 도시한다.
도 13은 프로세스 챔버 내로 커튼 가스를 릴리즈하도록 구성된 제 2 예시적인 프로세싱 챔버를 도시한다.
도 14는 프로세스 챔버 내로 커튼 가스를 릴리즈하도록 구성된 제 3 예시적인 프로세싱 챔버를 도시한다.
이하의 기술에서, 복수의 구체적인 상세들이 제시된 개념들의 전체적인 이해를 제공하기 위해 언급된다. 제시된 개념들은 이들 구체적인 상세들 중 일부 또는 전부 없이도 실시될 수도 있다. 다른 예들에서, 공지의 프로세스 동작들은 기술된 개념들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 일부 개념들이 구체적인 구현예들에 관하여 기술되지만, 구현된 구현예들을 제한하는 것으로 의도되지 않는다는 것이 이해될 것이다.
많은 개념들 및 구현예들이 본 명세서에 기술되고 예시된다. 본 명세서에서 논의된 구현예들의 특정한 특징들, 속성들 및 장점들이 기술되고 예시되지만, 본 발명들의 많은 다른 뿐만 아니라 상이한 그리고/또는 유사한 구현예들, 특징들, 속성들 및 장점들이 기술 및 예시들로부터 자명하다. 이와 같이, 이하의 구현예들은 단순히 본 개시의 일부 가능한 예들이다. 이들은 개시된 정밀한 형태들, 기법들, 재료들 및/또는 구성들로 본 개시를 제한하거나 배타적인 것으로 의도되지 않는다. 많은 수정들 및 변형들이 본 개시의 관점에서 가능하다. 다른 구현예들이 활용될 수도 있고 동작적 변화들이 본 개시의 범위로부터 벗어나지 않고 이루어질 수도 있다는 것이 이해된다. 이와 같이, 본 개시의 범위는 상기 구현예들의 기술이 예시 및 기술을 목적으로 제공되기 때문에 이하의 기술로만 제한되지 않는다.
중요하게, 본 개시는 어떠한 단일 양태 또는 구현예, 이러한 양태들 및/또는 구현예들의 어떠한 단일 조합 및/또는 치환으로 제한되지 않는다. 더욱이, 본 개시의 양태들 및/또는 이의 구현예들 각각은 다른 양태들 및/또는 이의 구현예들 중 하나 이상과 결합하여 또는 단독으로 채용될 수도 있다. 간결함을 위해, 많은 이들 치환들 및 결합들은 본 명세서에서 별도로 논의 및/또는 예시되지 않을 것이다.
멀티-스테이션 반도체 기판 프로세싱 챔버에서 막 증착을 수행하기 위한 방법들, 시스템들, 및 장치들이 본 명세서에 개시된다. 일부 반도체 프로세스들은 웨이퍼와 같은 기판 상에 재료의 하나 이상의 층들을 증착하도록 사용된다. 본 명세서에서 사용될 때, "웨이퍼"는 통상적으로 다른 형태들의 "기판" 예컨대 대형 포맷 디스플레이 기판을 포함하도록 해석될 수 있다. 이러한 증착 프로세스들의 예들은 "CVD" (chemical vapor deposition), "PECVD" (plasma-enhanced CVD), "ALD" (atomic layer deposition), 저압 CVD, 초-고 CVD, "PVD" (physical vapor deposition), 및 "CFD" (conformal film deposition) 를 포함한다.
예를 들어, 일부 CVD 프로세스들은 막 전구체들 및 부산물들을 형성하는 반응기 내로 하나 이상의 가스 반응물질들을 흘림으로써 기판 표면 상에 막을 증착할 수도 있다. 전구체들은 웨이퍼 표면으로 이송되고, 웨이퍼 표면에서 전구체는 웨이퍼에 흡착되고, 웨이퍼 내로 확산되고, 표면으로부터 그리고 반응기로부터 제거되는 부산물들을 또한 생성하는 화학 반응들에 의해 웨이퍼 상에 증착된다.
또 다른 예로서, 일부 증착 프로세스들은 각각 "개별" 막 두께를 생성하는, 복수의 막 증착 사이클들을 수반한다. ALD는 이러한 막 증착 방법 중 하나이지만, 막의 박층들을 만들고 반복되는 순차적인 방식에 사용되는 모든 기법은 증착의 복수의 사이클들을 수반하는 것으로 보여질 수도 있다.
이하에 더 상세히 기술되는 바와 같이, 프로세싱 챔버 내에서 기판 상에 재료의 단일 층을 증착하기 위한 기본적인 ALD 사이클은: (i) 기판 상의 막 전구체가 흡착-제한되거나 부분적으로 흡착-제한된 층을 형성하도록 기판 상에 막 전구체를 흡착하는 단계 (예를 들어, 도즈), (ii) 기판을 홀딩하는 프로세스 스테이션 근방으로부터 흡착되지 않은 (탈착된 것을 포함) 막 전구체 (존재한다면, 적어도 일부) 를 제거하는 단계 (예를 들어, 퍼지), 및 (iii) 흡착되지 않은 막 전구체를 제거한 후, 기판 상에 막 층을 형성하도록 흡착된 막 전구체를 ―예를 들어, 상기 프로세스 스테이션 근방에서 플라즈마를 점화함으로써― 반응시키는 단계 (예를 들어, 반응) 를 포함할 수도 있다. (본 명세서에서 사용될 때, "흡착되지 않은" 막 전구체는 탈착된 막 전구체를 포함하도록 규정된다.) 일부 구현예들에서, ALD 프로세스들이 완전히 "흡착-제한된" 도즈 동작들을 채용하지 않는다. 도즈 페이즈는 흡착 제한 (표면 포화) 에 도달하기 전에 완료될 수도 있다. 종종, ALD 사이클은 (iv) 흡착된 막 전구체의 반응 후에, 상부에서 증착이 수행된 기판을 홀딩하는 상기 프로세스 스테이션 근방으로부터 탈착된 막 전구체 및/또는 막 전구체 반응 부산물을 제거하는 동작 (예를 들어, 퍼지) 를 부가적으로 수반한다. 동작 (ii) 및 동작 (iv) 에서의 제거는 기판 근방을 퍼지하는 것, 베이스 압력으로 펌핑다운함으로써 ("펌프-투-베이스") 배기하는 것, 등을 통해 이루어질 수도 있다.
동작 (iii) 에서 표면 반응을 활성화하도록 사용된 플라즈마는 통상적으로 플라즈마 피드 가스에 의해 지지되고, 예를 들어, 플라즈마 피드 가스는 하나 이상의 샤워헤드들을 통해 반응 챔버 내로 흐를 수도 있다 (이하에 보다 상세히 기술됨). 일부 실시예들에서, 플라즈마 피드 가스는 동작 (ii) 및 동작 (iv) 에서의 제거를 유발하도록 챔버를 퍼지하도록 사용될 수도 있다.
막 증착 장치들의 개요
반도체 기판들 상에 막들을 증착하기 위한 동작들은 일반적으로 도 1에 도시된 바와 같은 기판 프로세싱 장치에서 수행될 수도 있다. 이하에 보다 상세히 기술될, 도 1의 툴 (100) 는 진공 펌프 (118) 에 의해 진공 하에서 유지될 수도 있는 내부 볼륨 내 단일 기판 홀더 (108) 를 갖는 단일 프로세싱 챔버 (102) 를 갖는다. 또한 가스 전달 시스템 (101) 및 샤워헤드 (106) 가 (예를 들어) 막 전구체들, 캐리어 가스 및/또는 퍼지 가스 및/또는 프로세스 가스, 2차 반응물질들 등의 전달을 위한 챔버에 유체적으로 커플링된다. 프로세싱 챔버 내 플라즈마를 생성하기 위한 장비가 또한 도 1에 도시되고 이하에 더 상세히 기술될 것이다. 어떠한 경우든, 이하에 상세히 기술된 바와 같이, 도 1에 개략적으로 예시된 장치는 반도체 기판들 상의 ALD와 같은 막 증착 동작들을 수행하기 위한 기본적인 장비를 제공한다.
일부 환경들에서 도 1과 같은 기판 프로세싱 장치가 충분할 수도 있지만, 시간 소모적인 막 증착 동작들이 수반된다면, 복수의 반도체 기판들 상에서 동시에 복수의 증착 동작들을 동시에 수행함으로써 기판 프로세싱 쓰루풋을 증가시키는데 유리할 수도 있다. 이 목적을 위해, 도 2에 개략적으로 예시된 멀티-스테이션 기판 프로세싱 장치가 채용될 수도 있다. 도 2의 기판 프로세싱 장치 (200) 는 여전히 단일 기판 프로세싱 챔버 (214) 를 채용하지만, 프로세싱 챔버의 벽들에 의해 규정된 단일 내부 볼륨 내에, 복수의 기판 프로세스 스테이션들이 있고, 스테이션 각각은 이 프로세스 스테이션에서 기판 홀더에 홀딩된 기판 상에서 프로세싱 동작들을 수행하도록 사용될 수도 있다. 이 특정한 실시예에서, 멀티-스테이션 기판 프로세싱 장치 (200) 는 4 개의 프로세스 스테이션들 (201, 202, 203, 및 204) 을 갖는 것으로 도시된다. 장치는 또한 기판 로딩 디바이스, 이 경우에서 프로세스 스테이션들 (201 및 202) 에서 기판들을 로딩하기 위한 기판 핸들러 로봇 (226) 및 기판 이송 디바이스, 이 경우에서 다양한 프로세스 스테이션들 (201, 202, 203, 및 204) 사이에서 기판들을 이송하기 위한 기판 캐로절 (290) 을 채용한다. 다른 유사한 멀티-스테이션 프로세싱 장치들은 실시예, 그리고 예를 들어, 동시 웨이퍼 프로세싱, 사이즈/공간 제약들, 비용 제약들, 등의 목표된 레벨에 따라 보다 많거나 보다 적은 프로세싱 스테이션들을 가질 수도 있다. 또한 효율적인 기판 증착 동작들, 예컨대, 예를 들어, ALD 동작들을 수행할 목적을 또한 보조하는, 이하에 보다 상세히 기술될, 제어기 (250) 가 도 2에 도시된다.
장비 비용 및 동작 비용들 양자에 대해 도 2에 도시된 멀티-스테이션 프로세싱 장치의 사용을 통해 다양한 효율들이 달성될 수도 있다는 것을 주의한다. 예를 들어, 단일 진공 펌프 (도 2에는 미도시이지만, 예를 들어 도 1의 118) 가 모든 4 개의 프로세스 스테이션들에 대해 단일 고진공 분위이를 생성하도록 사용될 수도 있고, 모든 4 개의 프로세스 스테이션들에 대해 소비된 프로세스 가스들, 등을 배기하도록 또한 사용될 수도 있다. 실시예에 따라, 프로세스 스테이션 각각은 통상적으로 가스 전달을 위한 전용 샤워헤드 (예를 들어, 도 1의 106 참조) 을 갖지만, 동일한 가스 전달 시스템 (예를 들어, 도 1의 (101)) 을 공유한다. 유사하게, 플라즈마 생성기 장비의 특정한 엘리먼트들 (예를 들어, 전력 공급부들) 이 프로세스 스테이션들 사이에서 공유될 수도 있지만, 실시예에 따라, 특정한 양태들은 프로세스 스테이션 특정일 수도 있다 (예를 들어, 샤워헤드들이 플라즈마 생성 전기 전위들을 인가하도록 사용된다면―이하 도 1의 논의 참조―). 그러나, 다시 한번, 이러한 효율들은 또한 프로세싱 챔버 당 보다 많거나 보다 적은 수의 프로세스 스테이션들, 예컨대 반응 챔버 당 2, 3, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 또는 16 개 이상의 프로세스 스테이션들을 사용함으로써 보다 큰 정도 또는 보다 적은 정도로 달성될 수도 있다.
프로세스 스테이션들을 체적으로 분리하고, 거짓 챔버 벽 막 증착을 감소시키고, 기생 플라즈마 생성을 감소시키기 위한 커튼 가스의 구현예들 및 적용예들
복수의 프로세스 스테이션들을 갖는 보다 큰 프로세싱 챔버들을 사용하는 것은 전술한 이점들을 제공할 수도 있지만, 보다 적인 단일-스테이션 프로세싱 챔버를 채용하는 것과 연관된 특정한 이점이 있다. 이점들 중 하나는 ―반응물질들, 부산물들, 등을 신속하게 도입하고 제거할 수 있는― 챔버 볼륨의 고속 사이클링이다. 인식가능한 두께의 막을 증착하기 위해 많은 증착 사이클들이 요구되고, 따라서 시간 소모적인 사이클링 챔버 볼륨들이 꽤 상당할 수도 있는 ALD 프로세스들에서 특히 중요할 수도 있다.
따라서, 보다 작은 단일-프로세스 스테이션 챔버들과 연관된 고속 볼륨-사이클링 시간들과 보다 큰 볼륨 멀티-프로세스 챔버들과 연관된 고 플라즈마 전력들 (및 다른 이점들) 을 결합하는 것이 바람직하다. 이렇게 하는 일 방식은 다양한 프로세스 스테이션들 사이에서 가스 커튼들을 흘림으로써 대형 멀티-프로세스 챔버 내에서 보다 작은 챔버 볼륨들을 "시뮬레이팅"하여 막 증착 동작들 동안 상이한 프로세스 스테이션들을 체적으로 분리하는 것이다.
예를 들어, ALD 사이클들의 시퀀스 동안, 이러한 "커튼 가스"는 프로세스 스테이션 각각에서 일어나는 반응성 막 증착 프로세스들에 부정적인 영향을 주지 않는 동안, 반응물질들, 플라즈마 피드 가스들, 등의 상호 혼합을 방지하도록 프로세스 스테이션들 사이에서 흐를 수도 있다. 이는 반응물질 플로우 및 부산물 퍼지 목적들을 위해 보다 작은 볼륨을 "시뮬레이팅"할 수도 있지만, 보다 큰 챔버 볼륨의 장점들은 고 플라즈마 전력 및 특정한 컴포넌트 비용들의 스케일링에 대해 고스란히 남는다. 더욱이, 전술한 이점들에 더하여, 커튼 가스 플로우를 통한 프로세스 스테이션들의 체적 격리는 ALD 사이클을 형성하는 동작들의 시퀀스로 하여금 프로세스 스테이션들 사이에서 스태거링 (staggered) 되게 할 수도 있다. 이러한 스태거된 ALD 사이클링과 연관된 다양한 이점들은, 모든 목적들을 위해 전체가 참조로서 본 명세서에 인용된, 2013년 12월 18일 출원된, 명칭이 "SEQUENTIAL PRECURSOR DOSING IN AN ALD MULTI-STATION/BATCH REACTOR"인 미국 특허 출원 번호 제 14/133,246 호 (대리인 관리 번호 LAMRP059US) 이제 미국 특허 제 8,940,646 호에 상세히 기술된다.
그러나, 전술한 이점들을 달성하기 위해, 다양한 프로세스 스테이션들이 커튼 가스 플로우에 의해 서로로부터 완전히 체적으로 분리되는 것이 필수적이지 않다는 것을 주의한다. 일반적으로, 그렇지 않을 것이 예상될 것이다. 따라서, 본 개시의 맥락에서, 커튼 가스를 통해 일 프로세스 스테이션을 또 다른 스테이션으로부터 "체적으로 분리하는" 것은 프로세스 스테이션들 사이의 커튼 가스 플로우는 이러한 커튼 가스가 채용되지 않는다면 일어날 프로세스 스테이션들 간 가스들의 혼합을 상당히 감소시키도록 작용한다는 것을 의미하는 것으로 해석되어야 한다. 이는 프로세스 스테이션 각각이 분리된 프로세스 챔버 내에 상주한다면, 존재할 "완전한" 또는 "완벽한" 체적 격리와 반대되는 것이고, 커튼 가스를 사용한 체적 격리가 이러한 완벽한/완전한 분리/격리를 암시하거나 요구하지 않는다.
플라즈마-기반 ALD 동작들에서, 커튼 가스는 플라즈마 피드 가스와 구별되는 것으로 보일 수도 있고, 플라즈마 피드 가스는 막 증착을 유발하는 반응을 활성화하도록 ALD 동작 (iii) (상기 참조) 에서 점화되는 플라즈마를 지지하도록 사용된다. 일부 실시예들에서, 플라즈마 피드 가스는 또한 적절할 때, 상이한 프로세스 스테이션들의 근방으로부터 흡착되지 않은 막 전구체 (반응물질) 를 제거하기 위한 퍼지 가스로서 사용된다는 것을 주의한다. 따라서, 커튼 가스는 모든 ALD 사이클 동작들 (예컨대 상기 동작 (i) 내지 동작 (iv)) 동안 프로세싱 스테이션 내로 계속해서 흐를 수 있지만 (그리고 통상적으로 흐를 것이지만), 특정한 프로세스 스테이션들에서 반송되는 플라즈마 활성화 (그리고 또한 퍼지 가스로서 사용된다면 퍼지 동작들) 동안, 플라즈마 피드 가스는 통상적으로 프로세싱 챔버로―그리고 보다 구체적으로, 프로세스 스테이션으로―만 흐를 것이다.
이 실시예에 따라, 프로세스 챔버 내로의 커튼 가스의 플로우 레이트는 프로세스 챔버 내로의 플라즈마 피드 가스의 플로우 레이트와 상이할 수도 있다는 것을 또한 주의한다. 일부 실시예들에서, 플라즈마 피드 가스는 스테이션 당 약 5 내지 50 SLM (standard liters/minute) 의 레이트, 또는 보다 구체적으로 스테이션 당 약 10 내지 35 SLM, 또는 보다 구체적으로 스테이션 당 약 15 내지 20 SLM의 레이트로 스테이션 각각에서 프로세스 챔버 내로 흐를 수도 있다. 일부 실시예들에서, 커튼 가스는 스테이션 당 약 3 내지 60 SLM, 또는 보다 구체적으로 스테이션 당 약 10 내지 50 SLM, 또는 보다 구체적으로 스테이션 당 약 15 내지 40 SLM, 또는 또한 보다 구체적으로 스테이션 당 약 20 내지 30 SLM의 레이트로 프로세스 챔버 내로 흐를 수도 있다. 이러한 커튼 가스 플로우 레이트들은 프로세스 스테이션들 근방으로부터 프로세싱 챔버의 리모트 영역들 (예컨대 샤워헤드 후면들) 로 반응물질 및 플라즈마 피드 가스들의 역-확산을 감소시킨다 (그리고/또는 방지한다).
일부 실시예들에서, 멀티-스테이션 막 증착 장치들은 프로세스 스테이션 각각과 연관된 샹들리에-타입 샤워헤드들을 채용할 수도 있다. 이러한 샹들리에 샤워헤드들은 일반적으로 헤드 부분 및 스템 부분을 포함할 수도 있고, 헤드 부분의 하단 표면은 프로세스 스테이션 각각의 근방의 프로세싱 챔버 내로 (예를 들어, 상기 ALD 동작 (i) 에서 기판 표면 흡착을 위한) 막 전구체, (예를 들어, 상기 ALD 동작 (iii) 에서 활성화를 위한) 플라즈마 피드 가스, 및 가능하면 구별된 퍼지 가스를 흘리기 위한 어퍼처들을 제공한다. 샤워헤드의 스템 부분은 프로세싱 챔버 내 프로세스 스테이션 각각 위에 헤드 부분을 지지/매달고 (hang), 그리고 또한 헤드 부분의 어퍼처들로 막 전구체 (및/또는 다른 반응물질들), 플라즈마 피드 가스, 등을 흘리기 위한 유체 경로/연결부를 제공하도록 존재한다. 일반적으로, 샹들리에-타입 샤워헤드 설계들은 기판 표면에 대한 막 전구체 플로우의 우수한 공간적으로 균일한 분포를 허용하고, 그렇지 않으면 플로우의 점 소스들로서 역할을 하는 몇몇 노즈들 만을 달성할 것과 비교하여 개선된다는 것을 알 수 있다.
이에 더하여, 이러한 샤워헤드들은 (예를 들어, 상기 ALD 동작 (iii) 에서) 막-형성 증착 반응을 활성화하도록 사용되는 프로세스 스테이션 각각에서 플라즈마를 생성하는 (그리고 유지하는) 역할을 할 수도 있다. 특히, 적합한 전기 전위의 인가시, 샹들리에 샤워헤드 각각은, 플라즈마 생성을 위한 2 개의 전극들 중 하나로서, 다른 전극은 사이에 전기 전위가 인가되는 기판 홀더 (예를 들어, 페데스탈) 로 역할을 할 수도 있다. 샹들리에 설계는 기판 표면에 가깝게 샤워헤드의 포지셔닝을 허용하여, 기판에 매우 가까운 효과적인 플라즈마 생성을 허용할 뿐만 아니라 기판에 가깝게 막 전구체 (반응물질) 의 상대적으로 공간적으로 균일한 분포를 제공하도록 의도된다. 이에 더하여, 상기 언급된 바와 같이, 플라즈마 피드 가스가 또한 퍼지 가스로서 사용된다면, 그러면 기판의 근방에 플라즈마 피드 가스의 도입은 (예를 들어, 상기 ALD 동작들 (ii) 및 (iv) 에서) 흡착되지 않은 막 전구체 및/또는 반응물질 부산물의 효율적이고 효과적인 퍼지를 허용한다.
상이한 목적들을 위해 사용되기 때문에, 플라즈마 피드 가스 및 커튼 가스는 일반적으로 프로세싱 챔버 내로의 상이한 진입 지점들을 갖는다. (방금 기술된 바와 같이) 플라즈마 피드 가스가 샤워헤드들의 헤드 부분들의 하단 표면의 어퍼처들을 통해 챔버로 들어가는 동안, 커튼 가스는 다양한 프로세스 스테이션들에 대해 체적 격리를 제공하는 (뿐만 아니라 잠재적으로 다른 이점들을 제공하는) 역할에 적합한 진입 지점들로부터 프로세싱 챔버 내로 도입될 수도 있다. 예를 들어, 프로세스-스테이션-특정 샹들리에 샤워헤드들을 채용하는 실시예들에 대해, 커튼 가스는 샹들리에 샤워헤드들 각각의 헤드 부분들 뒤쪽으로부터 특히, 일부 실시예들에서, 샤워헤드들의 스템 부분들을 둘러싸는 샤워헤드 칼라들 내 어퍼처들을 통해 프로세스 챔버 내로 릴리즈될 수도 있다. 더욱이, 이러한 특정한 실시예들에서, 커튼 가스는 기판의 평면 그리고/또는 헤드 부분들의 하단 표면들에 실질적으로 평행한 방향, 따라서 일반적으로 처음에 샤워헤드의 헤드의 하단 표면으로부터 발산되는 플로우에 수직인 방향으로 이들 어퍼처들로부터 흐를 수도 있다. 이 커튼 가스의 플로우는 커튼 가스 플로우가 하향, 이제 샤워헤드의 헤드로부터 플라즈마 피드 가스 및/또는 퍼지 가스의 플로우에 실질적으로 평행하게 바뀔 수도 있는 지점에서, 커튼 가스가 샤워헤드의 후면의 단부 (샤워헤드의 헤드 부분의 상단 표면) 에 도달할 때까지 측방향으로 계속될 수도 있다.
기술된 바와 같이, 멀티-스테이션 프로세싱 챔버에서, 이 커튼 가스의 플로우 패턴은 프로세스 스테이션들 사이에 체적 분리를 제공하도록 사용될 수도 있고; 그러나, 단일 프로세스 스테이션 실시예의 맥락에서는, 샤워헤드의 헤드 부분의 뒤쪽으로부터 커튼 가스 플로우를 확립하는데 수반되는 장점들이 있을 수도 있다. 이러한 플로우 패턴을 예시하기 위해―먼저 단일 프로세스 스테이션 실시예의 보다 단순한 맥락에서― 도 3은 프로세싱 챔버 (102), 샤워헤드 (106) 및 샤워헤드 칼라 (330) 를 갖고, 커튼 가스 플로우경로들 (320), 및 플라즈마 피드 가스 (및 반응물질 전구체) 플로우경로들 (310) 을 특징으로 하는 단일-스테이션 기판 프로세싱 장치 (300) 의 단면 개략도를 도시한다. 전술한 기술과 일관되게, 도 3에 도시된 구성에서, 플라즈마 피드 가스 소스 (312) 로부터의 플라즈마 피드 가스는 샤워헤드 (106) 의 헤드 부분의 하단 표면을 통해 챔버 (102) 내로 흐르는 한편, 커튼 가스 소스 (322) 로부터의 커튼 가스는 샤워헤드 (106) 의 스템 부분을 둘러싸는 샤워헤드 칼라 (330) 내 어퍼처들을 통해 챔버 (102) 내로 흐른다. 따라서, 여기서 커튼 가스 (단일 스테이션 맥락에서도, 기술적인 구 "커튼 가스"가 유지된다는 것을 주의한다) 가 샤워헤드 (106) 의 백사이드의 중심 축에 가까운 프로세싱 챔버 (102) 내로 도입되고, 페데스탈 (108) 상에 홀딩된 기판 (112) 의 평면에 실질적으로 평행한 (그리고 샤워헤드 (106) 의 헤드 부분의 하단 표면에 실질적으로 평행한) 플로우로 도입된다. 이렇게 도입된 커튼 가스는 이어서 (도 3에 화살표들로 개략적으로 예시된 바와 같이) 샤워헤드 둘레, 샤워헤드 및 스테이션의 주변부 둘레, 그리고 챔버를 나가기 전에 교차 플레이트 (103) 근방에서 챔버 측벽들 아래로 흐르도록 진행한다.
단일 프로세스 스테이션 시나리오에서도, 곧장 샤워헤드 뒤/위의 공간/캐비티 내로의 커튼 가스는 샤워헤드의 후면에서 그리고 샤워헤드 뒤/위의 챔버 벽들 상, 등에 바람직하지 않은 증착을 최소화하거나 방지할 수도 있어서 꽤 유리할 수도 있다. 유사하게, 측벽들 아래로의 커튼 가스의 플로우는 챔버 (102) 의 내부 벽들 상의 증착을 감소시키고 그리고/또는 방지하도록 작용할 수도 있다. 일부 예들에서, 샹들리에-타입 샤워헤드 뒤의 캐비티 내로의 커튼 가스의 플로우는 샤워헤드의 하단 표면으로부터 상기 캐비티 내로의 막 전구체의 역 확산 (또는 백플로우) 이 감소되고 그리고/또는 방지되도록 Peclet 조건 (통상적으로 1보다 큰 Peclet 수) 을 만족할 수도 있다. 다른 이점―다시, 단일 프로세스 스테이션 맥락에서도―은, 막 전구체의 도입 전에 (샤워헤드 백사이드 상 및 챔버 벽들 상의 잠재적인 거짓 증착을 감소시키거나 방지하는 것에 더하여) 커튼 가스의 플로우를 확립하는 것이 반응성 막 증착 프로세스를 위해 목표된 챔버 압력을 확립할 수도 있다는 것이고, 과도한 고가의 막 전구체를 사용하여 적절한 챔버 압력을 소모성으로 (wastefully) 확립하는 것을 방지한다. 즉, 보다 높은 챔버 압력은 막 전구체에 대한 에어 커튼으로서 작용하고, 따라서 기판 영역의 전구체의 분압을 증가시키는 한편, 다른 곳의 전구체 분압을 감소시킨다. 따라서, 단일 프로세스 스테이션 실시예에서도, 샤워헤드 백사이드로부터의 커튼 가스의 플로우는 효과적인 챔버 볼륨을 감소시키는 한편, 챔버 측벽들 상 그리고 샤워헤드의 백사이드 상의 원치 않은 증착을 감소시킨다.
논의되었음에도 불구하고, 멀티-스테이션 기판 프로세싱 챔버에서, 커튼 가스는 프로세스 스테이션들 간의 체적 격리를 부가적으로 제공할 수도 있다. 도 4는 프로세싱 툴 (400) 의 멀티-스테이션 프로세싱 챔버 (402) 내의 한 쌍의 프로세스 스테이션들 (411 및 412)(도 4에서 점선들 참조) 을 개략적으로 예시한다. 가스 플로우의 방향을 나타내는 화살표들에 의해 도면에서 예시된 바와 같이, 도 3에 도시된 커튼 가스 플로우 패턴에 더하여 (단일 스테이션의 맥락에서), 여기서 커튼 가스 (420) 는 서로를 체적으로 격리하는 프로세스 스테이션들 (411 및 412) 사이에서 부가적으로 흐른다. 이 도면은 한 쌍의 프로세스 스테이션들을 단면으로 도시하고, 따라서 이 도면은 2-스테이션 프로세싱 챔버 실시예를 나타낼 수 있고, 또는 도 2에 개략적으로 예시된 바와 같이, 4-스테이션 프로세싱 챔버 실시예의 단면도를 나타낼 수 있다는 것을 주의한다. 어떠한 경우든, 도시된 한 쌍의 프로세스 스테이션 각각은 도 3에 도시된, 따라서 도 3에 동반한 기술 (뿐만 아니라 참조 번호) 의 단일 프로세스 스테이션과 유사하고, 또한 적절하게 도 4에 적용되고, 일 차이는 도 4에서는 한 쌍의 프로세스 스테이션들 (411 및 412) 이 있고, 이 쌍은 커튼 가스의 플로우 (420) 에 의해 서로로부터 체적으로 격리/분리되는 것이다.
커튼 가스의 사용과 연관된 특정한 이점들은 ALD 프로세스 동작들에서 특히 증명된다. 예를 들어, 커튼 가스는 ALD 사이클의 하나 이상의 페이즈들/동작들 내내 연속적으로 샤워헤드 백사이드에서 측방향으로 릴리즈될 수도 있다. 따라서, 플라즈마 피드 가스는 특정한 ALD 동작들 동안―예컨대 플라즈마 활성화 동작 (상기 ALD 동작 (iii)) 및 아마도 퍼지 가스로서 사용된다면 후-전구체 도즈 제거 동작 (상기 ALD 동작 (ii)) 동안― 샤워헤드로부터만 흐르지만, 커튼 가스는 계속해서 흐를 수도 있고, 커튼 가스를 ALD 동작들 내내 챔버의 리모트 영역들로 지향시킨다. 챔버의 리모트 영역들―즉, 기판 표면에 바로 근접하지 않은 영역―로의 커튼 가스의 플로우는 프로세싱 챔버로부터 과잉의 흡착되지 않은 막 전구체를 제거하는 것을 돕고, 더욱이, 심지어 제 1 예의 챔버의 이들 리모트 영역들로 막 전구체가 흐르는 것을 방지하는 것을 도울 수도 있다. 다시, 막 전구체가 리모트 영역들로 흐르는 것을 방지하는 것을 실현하기 위해, 이어서 막 전구체가 챔버로 흐를 (그리고 기판 상에 흡착될) 때 ALD 전구체 도즈 동작 (상기 ALD 동작 (i)) 동안 커튼 가스가 또한 능동적으로 흐를 것이다. 유사하게, 플라즈마 활성화 (동작 (iii)) 동안 커튼 가스의 채용은 기판 표면에서 반응성 프로세스가 일어나는 동안 기판 표면으로부터 탈착되고, 이어서 다른 곳, 예컨대 챔버 측벽들 상에 재흡착되고 반응하게 되는, 전구체의 결과로서 일어날 수도 있는 모든 거짓 증착으로부터 챔버의 내부 표면들을 보호한다.
―도 3 및 도 4에 예시된 바와 개략적으로 유사한― 멀티-스테이션 프로세싱 챔버 내 플라즈마 피드 가스 및 커튼 가스를 제공하기 위해 채용가능한 적합한 샹들리에 샤워헤드들이 도 6 내지 도 9b에 대해 이하에 보다 상세히 기술된다는 것을 주의한다. 샹들리에-타입 샤워헤드의 헤드 뒤쪽으로부터 프로세싱 챔버로의 가스의 도입 및 연관된 장치들의 상세들은 또한 전체가 모든 목적들을 위해 참조로서 본 명세서에 인용된, 2012년 10월 24일 출원된 명칭이 "SUPPRESSION OF PARASITIC DEPOSITION IN A SUBSTRATE PROCESSING SYSTEM BY SUPPRESSING PRECURSOR FLOW AND PLASMA OUTSIDE OF SUBSTRATE REGION"이고 미국 특허 공개 번호 제 2013/0344245 호로 공개된 미국 특허 출원 번호 제 13/659,231 호에 또한 기술된다.
전체가 모든 목적들을 위해 참조로서 본 명세서에 인용된, 2015년 8월 17일 출원된 명칭이 "COMPOSITION-MATCHED CURTAIN GAS MIXTURES FOR EDGE UNIFORMITY MODULATION IN LARGE-VOLUME ALD REACTORS"인 미국 특허 출원 번호 제 14/828,291 호; 뿐만 아니라 전체가 모든 목적들을 위해 참조로서 본 명세서에 인용된, 2014년 7월 30일 출원된 명칭이 "METHODS AND APPARATUSES FOR SHOWERHEAD BACKSIDE PARASITIC PLASMA SUPPRESSION IN A SECONDARY PURGE ENABLED ALD SYSTEM"이고 미국 특허 공개 번호 제 2016/0035566 호로 공개된, 미국 특허 출원 번호 제 14/447,203 호에 기술된 바와 같이, 커튼 가스로서만 또는 혼합물의 일부로서든, 커튼 가스로서 분자 산소를 사용하는 것에 대한 몇몇 이점들이 또한 있을 수도 있다.
프로세스 스테이션 격리를 위한 커튼 가스로서 분자 산소 (O2) 의 사용이 저 파괴 전압을 갖는 불활성 가스―아르곤 (Ar) 이 일 예임―의 사용과 일반적으로 연관된 기생 플라즈마 생성의 문제를 해결할 수도 있지만, 이 선택의 결과로서 발생할 수도 있다고 발견된 다른 이슈들이 있을 수도 있고, 이러한 이슈들에 대한 일부 잠재적인 솔루션들과 함께 상기 인용된 미국 특허 출원 번호 제 14/828,291 호에 논의된다.
일부 다른 실시예들에서, 커튼 가스는 프로세싱 챔버 내 다른 진입 지점들로부터, 예컨대 페데스탈로부터, 샤워헤드로부터 프로세스 챔버 내로 또는 프로세싱 챔버 자체에서 릴리즈될 수도 있다. 예를 들어, 스테이션 내 페데스탈은 프로세스 챔버 내로 커튼 가스를 릴리즈하도록 구성되는 (예를 들어, 커튼 가스 소스에 유체로 연결된) 원주의 에지 및/또는 측벽을 따라 어퍼처들 및/또는 슬롯들을 포함할 수도 있다. 다른 예에서, 샤워헤드는 또한 프로세스 챔버 내로 커튼 가스를 릴리즈하도록 구성되는 샤워헤드의 원주의 에지 및/또는 표면 (예를 들어, 원주의 측면 또는 상단) 을 따라 어퍼처들 및/또는 슬롯들을 포함할 수도 있다. 또 다른 예에서, 프로세싱 챔버는 스테이션 각각의 둘레에 커튼 가스를 릴리즈하도록 구성될 수도 있다. 일부 이러한 실시예들에서, 프로세싱 챔버는 이러한 커튼 가스를 흘리기 위해 커튼 가스 소스에 유체로 연결된 노즐들, 어퍼처들, 슬롯들, 또는 다른 개구부들을 포함할 수도 있고, 이러한 개구부들은 다양한 프로세스 스테이션들에 대한 체적 격리를 적절히 제공하기 위해 프로세싱 챔버 내에 배열되고 배치될 수도 있다. 예를 들어, 챔버는 커튼 가스가 프로세스 챔버 내로 그리고 프로세스 스테이션 각각의 둘레로 흐를 수도 있도록 프로세스 스테이션 각각 위에 원형 패턴으로 배치된 일련의 어퍼처들 또는 노즐들을 포함할 수도 있다.
도 12는 커튼 가스를 프로세스 챔버 내로 릴리즈하도록 구성된 샤워헤드를 포함하는 예시적인 프로세싱 챔버를 도시한다. 알 수 있는 바와 같이, 도 12는 프로세싱 챔버 (102) 및 샤워헤드 (1206), 뿐만 아니라 도 3에 기술되고 포함된 일부 피처들을 갖는 장치 (1300) 를 포함한다. 샤워헤드 (1206) 는 커튼 가스 소스 (322) 에 유체로 연결되고, 점선들로 식별된 커튼 가스 (1220) 를 프로세싱 챔버 내로 흘리도록 구성된다. 도 12는 샤워헤드 (1206) 로부터 흐르는 커튼 가스의 일반적인 개념을 도시하도록 의도되고, 따라서, 도 12에 도시된 피처들의 일부는 도 3에 도시된 피처들과 유사하고 그리고/또는 동일하고, 일부 예컨대 샤워헤드 칼라는 예시적인 목적을 위해 생략되었다. 커튼 가스 플로우는 샤워헤드의 모든 부분, 예컨대 원주의 측벽, 상단부 또는 하단부로부터 발산될 수도 있다.
유사하게, 도 13은 프로세스 챔버 내로 커튼 가스를 릴리즈하도록 구성되는 제 2 예시적인 프로세싱 챔버를 도시한다. 도 13은 프로세싱 챔버 바디 (1302) 뿐만 아니라 도 3에 포함되고 기술된 피처들 중 일부를 갖는 장치 (1300) 를 포함한다. 프로세싱 챔버 (1302) 는 커튼 가스 소스 (322) 에 유체로 연결되고 점선들로 식별된 커튼 가스 (1320) 를 프로세싱 챔버 내로 흘리도록 구성된다. 도 13은 프로세싱 챔버 바디 예를 들어 프로세싱 챔버의 상단부로부터 흐르는 커튼 가스의 일반적인 개념을 도시하도록 의도되고, 따라서, 도시된 피처들의 일부는 도 3에 도시된 피처들과 유사하고 그리고/또는 동일하고, 일부 예컨대 샤워헤드 칼라는 예시적인 목적을 위해 생략되었다.
도 14는 커튼 가스를 프로세스 챔버 내로 릴리즈하도록 구성된 페데스탈을 포함하는 제 3 예시적인 프로세싱 챔버를 도시한다. 알 수 있는 바와 같이, 도 14는 페데스탈 (1408) 뿐만 아니라 도 3에 기술되고 포함된 피처들의 일부를 갖는 장치 (1400) 를 포함한다. 페데스탈 (1408) 은 커튼 가스 소스 (322) 에 유체로 연결되고, 점선들로 식별된 커튼 가스 (1420) 를 프로세싱 챔버 내로 흘리도록 구성된다. 도 14는 페데스탈 (1408), 예를 들어 프로세싱 챔버의 상단부로부터 흐르는 커튼 가스의 일반적인 개념을 도시하도록 의도되고, 따라서, 도시된 피처들의 일부는 도 3에 도시된 피처들과 유사하고 그리고/또는 동일하고, 일부 예컨대 샤워헤드 칼라는 예시적인 목적을 위해 생략되었다.
커튼 가스를 사용하여 기판의 불균일도 개선
기판의 에지 근방의 재료의 증착된 층 또는 층들의 불균일도는 반도체 프로세싱에서 지속적인 문제이다. 불균일도의 일 측정치는 "1/2 범위의 불균일도 (half range nonuniformity)"로 지칭되고, 또한 NU%(R/2) 로 지칭되고, ½ * (max thickness - min thickness )/mean thickness * 100 %로 규정된다. 이러한 불균일도 측정은 본 명세서에서 "방사상 두께"로 지칭된, 기판의 한복판 (dead center) 으로부터 기판의 에지로 방사상 방향으로 기판 상에 증착된 재료의 두께를 검사한다. 따라서, 본 명세서에 사용될 때 "방사상 두께 불균일도"는 기판의 한복판으로부터 기판의 에지로 방사상 방향으로 기판 상에 증착된 재료의 두께의 1/2 범위 불균일도를 지칭한다.
많은 통상적인 반응기들, 예컨대 CVD 및 ALD 반응기들은 전구체 및 반응물질 가스 양자를 챔버로 전달하기 위해 샤워헤드를 사용한다. 샤워헤드들은 기판의 전체 표면 위에 반응물질들을 균일하게 분배하도록 의도되고, 그러나, 실제로 복합 유체 다이나믹스가 프로세스 챔버이기 때문에 샤워헤드를 통해 흐르는 반응물질들의 균일한 분포를 보장하는 것이 어렵다. 이에 따라, 많은 통상적인 샤워헤드들이 기판의 전체 표면 위에 일관되게 그리고 균일하게 분배되지 않아 방사상 두께 불균일도가 존재하게 한다. 예를 들어, 기판의 에지로부터 방사상 내측으로 약 0 내지 3 ㎜의 영역일 수도 있는 기판의 먼 에지에서 종종 가장 큰 불균일도가 관찰된다.
도 5는 2 개의 상이한 커튼 가스 플로우들을 사용하는 2 개의 기판들의 측정된 두께들의 그래프를 도시한다. 수직 축은 옹스트롬 (Å) 으로 측정된 두께를 도시하고, 수평 축은 기판의 한복판, 지점1로부터 기판의 에지, 지점50으로 일반적으로 방사상 방향으로 기판을 따라 측정 지점들의 수, 즉 방사상 두께를 도시한다. 구체적으로, 지점1은 중심이고, 지점들 2 내지 9는 약 49 ㎜의 반경에 방위각적으로 분리되고, 지점들 10 내지 25는 약 98 ㎜의 반경에 방위각적으로 분리되고, 그리고 지점들 26 내지 49는 약 147 ㎜의 반경에 방위각적으로 분리된다. 커튼 플로우1에 대한 데이터 (원형 측정 지점들 및 점선들로 식별됨) 는 통상적인 프로세스 조건들 하에서 기판 상의 ALD 증착에 대한 것이다. 커튼 플로우2는 이하에 보다 상세히 논의될 것이다. 커튼 플로우1에 대해 알 수 있는 바와 같이, 기판의 방사상 두께는 측정 지점들 36 내지 47 둘레의 두께들 사이에서 가장 큰 편차로 기판의 중심으로부터 이격된 지점들에서 보다 벗어나고, 즉, 기판 중심으로부터의 거리가 기판 에지를 향해 방사상으로 증가함에 따라 방사상 두께가 증가한다. 예를 들어, 방사상 두께 불균일도의 영역들이 2 그룹의 측정 지점들, 0 내지 26 및 27 내지 49 사이에서 알 수 있다. 측정 지점들 39, 40, 및 41에서 두께는, 예를 들어, 또한 측정 지점들 0 내지 25 및 26 내지 34와 같은 많은 다른 측정 지점들에서의 두께로부터 상당히 가변한다. 커튼 플로우1의 두께 변동들은 대략 0.41 %의 NU%(R/2)를 발생시킨다.
다이 생성을 위해 가용한 웨이퍼 표면 영역은 웨이퍼 중심으로부터 방사상 거리로 증가하기 때문에, 기판의 에지로부터 방사상으로 약 0 내지 3 ㎜의 영역을 포함하는, 기판의 에지를 향한 불균일도는 특별한 과제를 제시한다. 도 10은 기판의 상이한 프로세싱 표면 영역들을 예시한다. 알 수 있는 바와 같이, 기판 (1000) 의 상면도는 2 개의 쉐이딩된 (shaded) 영역들을 포함한다: 중심 지점 (1002) 로부터 제 1 방사상 거리 R1에서, 밝은 쉐이딩으로 식별된, 제 1 예시적인 원주형 표면 영역 (1004) 을 볼 수 있고; 중심 지점 (1002) 으로부터 제 2 방사상 거리 R2에서, 어두운 쉐이딩으로 식별된, 제 2 예시적인 원주형 표면 영역 (1006) 을 볼 수 있다. 예시적인 원주형 표면 영역 각각은 동일한 방사상 두께를 갖는다 (식별되지 않음). 제 2 방사상 거리 R2는 제 1 방사상 거리 R1보다 크고, 제 2 예시적인 원주형 표면 영역 (1006) 은 제 1 예시적인 원주형 표면 영역 (1004) 보다 큰 표면 영역을 갖고, 따라서 제 2 예시적인 원주형 표면 영역 (1006) 에서 보다 많은 다이들이 생성되게 하고 제 1 예시적인 원주형 표면 영역 (1004) 에서보다 큰 수율을 발생시킨다.
현재, 프로세스가 용인가능하지 않은 불균일도를 생성하는 것으로 발견될 때, 프로세스 또는 설계 엔지니어링 팀은 복수의 솔루션들을 제안하고 테스트해야 하고, 생성을 위한 개정된 프로세스 레시피를 설계해야 하고, 개정을 입증해야 하고, 그리고 배치되고 그리고/또는 새로운 생산 장비에서 구현해야 한다. 이 비용이 많이 들고 노동 집약적인 프로세스는 제조 장비가 오프라인으로 취해져야 할 수도 있는 시간인 1 내지 2 개월이 걸리기 쉽다. 불균일도를 해결하기 위한 기법들은 다음: 반응물질 및/또는 전구체 가스 타입들 및 가스 혼합물들 (예를 들어, 상이한 가스들 또는 혼합물들, 상이한 분압들, 등), 플라즈마 전력, 플라즈마 주파수, 페데스탈 온도, 캐리어 링 (즉, 페데스탈 상에 놓이고 기판을 둘러싸는 세라믹 링이 위치됨) 의 운동, 및 멀티-스테이션 반도체 프로세싱 툴의 하나 이상의 스테이션들로 분배된 전력의 폼 팩터 (form factor) 중 하나 이상을 변경하는 것을 포함할 수도 있다. 이들 프로세스 조건 조정들은, 예를 들어, 이들 조정들이 기판 에지에서 타깃팅되지 않기 때문에, 일반적으로 기판 에지 근방에서 불균일도를 개선하지 않을 수도 있고 또는 상당히 개선할 것이다.
더욱이, 증착 프로세스의 대부분의 프로세스 조건들은, 프로세스 파라미터 A가 프로세스 응답 B의 차를 보상하도록 조정된다면, 이러한 조정이 프로세스 응답 C의 효과들에 의도치 않게 영향을 줄 수도 있도록 통상적으로 서로 의존적이다. 예를 들어, 스테이션들의 온도는 불균일도를 개선하도록 조정될 수도 있지만, 이 온도 변화는 또한 막 응력에 영향을 줄 수도 있다. 따라서, 하나 이상의 프로세스 조건들을 조정하는 것은 고 상관된 변수들을 수반하는 매우 복잡하고 어려운 최적화 문제이다.
불균일도 정정이 멀티-스테이션 반도체 프로세싱 툴의 재설계, 제조, 설치, 및 새로운 샤워헤드를 사용한 프로세스 전개 (예를 들어, 프로세스 조건들의 전개 및 최적화) 가 요구됨에 따라 3 개월 이상 필요할 수도 있다. 따라서, 이 비용이 많이 들고 시간 집약적인 하드웨어 재설계는 또한 방사상 두께 불균일도를 해결하기 위해 바람직한 기법이 아니다.
특정한 실시예들에서, 방사상 두께 불균일도는 커튼 가스가 웨이퍼들의 에지 근방의 증착에 영향을 주고, 이에 대한 특히 웨이퍼들의 에지들 근방의 조정들이 불균일도를 감소시키도록, 스테이션 각각의 둘레를 흐르는 2차 가스, 즉, 커튼 가스에 대한 조정들을 함으로써 개선된다. 이러한 맥락에서, 스테이션 각각 둘레의 커튼 가스 플로우는 이러한 조정이 프로세싱 챔버 및/또는 스테이션의 프로세스 조건들을 조정하기 위한 부가적인 "놉 (knob)"으로서 작용할 수도 있도록 프로세싱 챔버 및/또는 스테이션 각각의 프로세스 조건들 중 일부로서 간주될 수도 있다. 본 개시의 일부 실시예들에서, 커튼 가스는 샤워헤드의 제 2 플레넘과 같이 작용할 수도 있다. 본 개시의 장치들, 시스템들, 및 기법들을 (플라즈마 및 열적) CVD, PECVD, 및 ALD와 같은, 상기 기술된 바를 포함하는, 임의의 유체 상 증착 프로세스들에 적용할 수도 있다.
상기 논의된 바와 같이, 커튼 가스는 스테이션의 주변부 둘레 (예를 들어, 스테이션 둘레 주변) 에서 페데스탈 (예를 들어, 도 4의 플로우경로들 (420) 과 유사) 상의 기판의 평면에 실질적으로 수직일 수도 있는 세로로 하향하는 방향들로 흐를 수도 있다. 도 3 및 도 4는 선형 플로우경로로서 커튼 가스 플로우를 도시하지만, 이러한 표현은 실제 커튼 가스 플로우경로들이 아니라는 것이 이해될 것이다. 오히려, 커튼 가스 플로우경로는 선형이거나, 비선형이거나, 이들의 조합일 수도 있다. 커튼 가스 플로우는 또한 층류, 난류, 또는 전이 플로우일 수도 있다. 부가적으로, 커튼 가스 중 일부는 기판의 중심을 향해 내향하여 흐를 수도 있다.
불균일도를 개선하기 위해 커튼 가스를 사용하여 멀티-스테이션 반도체 프로세싱 툴 내에서 막 증착을 수행하기 위한 예시적인 기법이 이제 논의될 것이다. 도 11은 멀티-스테이션 반도체 프로세싱 챔버 및/또는 프로세싱 툴의 막 증착을 수행하기 위한 제 1 옛히적인 기법의 플로우차트를 도시한다. 블록 1150은 막 증착을 수행하기 위해 프로세스 조건들을 결정하는 것을 포함한다. 이러한 프로세스 조건들은 예를 들어, 플라즈마 전력, 플라즈마 주파수, (샤워헤드를 통해 전달된) 프로세스 가스 조건들, 및 커튼 가스 조건들을 포함한다. 막 증착의 이들 및 다른 조건들은 이하에 보다 상세히 논의된다. 커튼 가스의 프로세스 조건들은, 커튼 가스의 수력학 (hydrodynamic) 조건들 (예를 들어, 챔버 내로의 체적 플로우 레이트, 다양한 위치들, 플로우 경로, 및 난류 중 어느 하나에서의 선형 플로우 속도) 및 커튼 가스의 조성 다양한 가스 컴포넌트들, 예컨대 아르곤 또는 질소와 같은 불활성 가스, 분자 산소 (O2) 와 같은 반응성 가스, 및 TMA (trimethyl aluminum) 와 같은 막 전구체의 분압 및/또는 플로우 레이트들로 나타낼 수도 있다. 커튼 가스의 이들 조건들은 또한 챔버 내 다른 프로세스 조건들, 예컨대 챔버의 전체 압력 및 챔버의 배기 레이트 (예를 들어, 펌프-투-베이스 레이트) 에 의해 결정되고 그리고/또는 영향을 줄 수도 있다. 예를 들어, 챔버의 압력의 변화는 커튼 가스의 속도 및/또는 플로우 경로를 변화시킴으로써 커튼 가스의 플로우에 영향을 줄 수도 있다. 일부 실시예들에서, 최초 프로세스 조건들은 계산적으로 그리고/또는 실험적으로 결정될 수도 있다.
프로세스 조건들이 결정된 후, 막 증착은 블록 1152에 나타낸 바와 같이 수행될 수도 있다. 도시된 바와 같이, 막 증착은, 커튼 가스의 조건들, 예컨대 가스 또는 가스 혼합물의 타입, 플로우레이트, 및 커튼 가스 컴포넌트들의 분압들을 포함하는, 이전에 결정된 프로세스 조건들에 따른 막 증착 동안 챔버 내 스테이션 각각으로 커튼 가스를 흘리는 것을 포함한다. 예를 들어, California, Fremont 소재의 Lam Research로부터 입수가능한 Striker™ (이전에 Vector™) 증착 반응기와 비슷한 볼륨을 갖는 4 스테이션 프로세스 챔버에 대해, 커튼 가스는 스테이션 당 약 3 내지 60 SLM, 스테이션 당 약 10 내지 50 SLM, 스테이션 당 약 15 내지 40 SLM, 또는 스테이션 당 약 20 내지 30 SLM의 레이트로 프로세스 챔버 내로 흐를 수도 있다. 블록 1152는 상기 기술된 바와 같이, 막 증착 수행의 일부 또는 전부 동안 수행될 수도 있다. 예를 들어, ALD 증착 프로세스에서 커튼 가스는 반응 동작 (iii) 동안에만 흐를 수도 있는 한편, 또 다른 ALD 증착 프로세스, 커튼 가스는 흡착 (i) 페이즈 및 반응 (iii) 페이즈 동안 흐를 수도 있다. 커튼 가스는 또한 퍼지 단계 동안 흐를 수도 있다. 증착 프로세스의 모든 페이즈들 동안 커튼 가스가 흐르는 실시예들에서, 커튼 가스는 일정한 조건들 (수력학 및 조성) 하에서 흐를 수도 있고 또는 커튼 가스는 일 페이즈로부터 다음 페이즈로 가변할 수도 있다.
블록 1154는 기판 불균일도를 개선하기 위해 챔버 내 커튼 가스의 조정된 플로우 조건을 결정하는 것을 포함한다. 일부 구현예들에서, 이는 블록 1152 후에 수행되고, 일부 다른 구현예들에서 이는 블록 1152 동안 수행된다. 하나 이상의 커튼 가스의 플로우 조건들은 다양한 직접적이고 간접적인 방식들로 조정될 수도 있다. 예를 들어, 챔버 내로 커튼 가스의 플로우레이트는 블록 1152의 커튼 가스의 플로우레이트로부터 증가되거나 감소될 수도 있다. (예를 들어, CVD 또는 ALD에 의해 단일 막을 증착하는) 증착 프로세스 동안, 커튼 가스의 플로우 조건들은 일정할 수도 있고 또는 가변할 수도 있다는 것을 주의한다. 다양한 실시예들에서, 커튼 가스의 플로우 조건들 및 커튼 가스의 조정된 플로우 조건들은 전체 막 증착 동안 가변될 수도 있고, 멀티-페이즈 증착 프로세스의 페이즈마다 (from phase to phase) 가변할 수도 있고, 그리고 특정한 페이즈 내에서 가변할 수도 있다. 일부 실시예들에서, 커튼 가스의 조정된 플로우레이트 (및/또는 최초 플로우레이트) 는 멀티-페이즈 증착 프로세스의 하나 이상의 페이즈 내내 그리고 페이즈 각각 동안 실질적으로 일정하다 (예를 들어, 설정된 플로우레이트의 +/- 5 % 이내). 예를 들어, 커튼 가스가 반응 페이즈 (iii) 동안 흐르는 ALD 프로세스에서, 커튼 가스의 조정된 플로우레이트는 반응 페이즈 (iii) 의 전체 코스 내내 일정한 플로우레이트일 수도 있다. 일부 다른 실시예들에서, 조정된 플로우레이트의 커튼 가스는 증착 프로세스의 하나 이상의 페이즈들 동안 하나 이상의 가변 플로우레이트들로 흐를 수도 있다.
부가적으로, 커튼 가스의 플로우 조건들에 대한 조정들이 다양한 방식들로 증착 프로세스에 적용될 수도 있다. 예를 들어, 이 조정은 전체 증착 프로세스에 적용될 수도 있고, 증착 프로세스의 일부, 예컨대 CVD 프로세스의 일부만에 적용될 수도 있고, 멀티-페이즈 증착 프로세스, 예컨대 ALD의 하나 또는 일부 페이즈들에만 적용될 수도 있고, 그리고 멀티-페이즈 ALD 프로세스의 하나 이상의 페이즈들 내에서 적용될 수도 있다. 예를 들어, 커튼 가스가 흡착 페이즈 (i) 및 반응 페이즈 (iii) 동안 흐르는 ALD 프로세스에서, 조정된 플로우레이트의 커튼 가스가 반응 페이즈 (iii) 동안에만 흐를 수도 있다. 또 다른 예에서, 조정된 플로우레이트의 커튼 가스는 반응 페이즈 (iii) 의 전반 동안 흐를 수도 있는 한편, 동일한 반응 페이즈 (iii) 의 후반 동안 최초 프로세스 조건들이 따라 커튼 가스가 흐른다.
제안된 바와 같이, 커튼 가스의 조성은 균일도를 개선하기 위해 조정될 수도 있다. 이는 커튼 가스의 컴포넌트들의 비를 변화시킴으로써 달성될 수도 있다. 이는 또한 최초 커튼 가스로 하나 이상의 컴포넌트들을 첨가함으로써 그리고/또는 최초 커튼 가스로부터 하나 이상의 컴포넌트들을 삭감함으로써 (subtract) 달성될 수도 있다. 이러한 조성 변화들은 커튼 가스의 다양한 컴포넌트들의 분압들 및/또는 플로우레이트들에 반영된다. 일반적으로 공지된 바와 같이, 개별 가스의 분압은 가스의 총 압력 곱하기 가스의 몰 분율이고, 가스들의 혼합물에 대한 분압은 혼합물의 개별 가스 각각의 분압의 합이다. 따라서, 커튼 가스의 분압은 커튼 가스를 형성하는 하나 이상의 가스들의 압력 및/또는 몰 분율을 변화시킴으로써 조정될 수도 있다. 이에 따라, 커튼 가스의 조정된 조건은, 몰 분율들, 분압들, 플로우레이트들, 등의 변화를 반영할 수도 있는 조성 조정일 수도 있다.
제안된 바와 같이, 특정한 실시예들에서, 커튼 가스 조성에 대한 조정은 커튼 가스의 하나 이상의 컴포넌트들을 변화시키는 것을 포함한다. 이는 일 단일-컴포넌트 커튼 가스를 또 다른 단일-컴포넌트 커튼 가스로 치환하는 것, 단일-컴포넌트 커튼 가스로 가스 혼합물을 대체하는 것, 일 가스 혼합물을 상이한 가스 혼합물 (새로운 컴포넌트의 첨가 또는 기존의 컴포넌트의 삭감에 의해 또는 첨가 또는 삭감 없이) 로 대체하는 것, 또는 가스 혼합물을 단일-컴포넌트 가스로 대체하는 것을 포함할 수도 있다. 예들로서, 커튼 가스는 가스 예컨대 아르곤으로부터 분자 산소로 조정될 수도 있고; 또는 커튼 가스는 산소 + 아르곤 또는 질소의 혼합물로 조정될 수도 있다. 유사하게, 조정된 커튼 가스는 최초 커튼 가스로서 동일한 컴포넌트를 포함할 수도 있지만, 하나 이상의 컴포넌트 가스들의 조정된 농도를 가질 수도 있다. 예를 들어, 이러한 커튼 가스의 조정된 플로우 조건은, 1152에서 산소 농도가 블록 1154에서 조정된 산소 농도와 상이하도록 커튼 가스 내의 조정된 산소 농도일 수도 있다.
상기 주지된 바와 같이, 커튼 가스의 특정한 조정들은 챔버의 전체 조건과 같은 또 다른 프로세스 조건을 조정함으로써 간접적으로 영향을 받을 수도 있고, 따라서 조정될 수도 있다. 즉, 프로세스 조건에 대한 조정은 커튼 가스의 하나 이상의 조건들의 조정을 유발할 수도 있다. 예를 들어, 챔버의 총 압력을 조정하는 것은 커튼 가스의 플로우 조건, 예컨대 선형 플로우레이트, 플로우경로 (궤적), 등을 변화시킬 수도 있다. 일부 실시예들에서, 본 명세서에 주지된 바와 같이, 챔버 압력은 약 10 mTorr 내지 10 Torr, 또는 약 20 mTorr 내지 8 Torr, 또는 약 50 mTorr 내지 5 Torr, 또는 약 100 mTorr 내지 2 Torr의 범위일 수도 있다. 일부 실시예들에서, 챔버 압력에 대한 조정들은 이들 압력 범위들 내에서 이루어질 수도 있다. 특정한 실시예들에서, 챔버 압력의 조정은 최초 압력의 약 5 % 내지 약 20 %이다. 특정한 실시예들에서, 챔버 압력의 조정은 약 100 mTorr 내지 약 400 mTorr이다.
커튼 가스의 조정된 플로우 조건은 또한 챔버의 조정된 배기 레이트에 의해 유발될 수도 있다. 상기 언급된 바와 같이, 챔버로부터 가스들 및/또는 입자들을 제거하기 위해, 챔버 압력을 펌프 다운하기 위해, 그리고/또는 압력 챔버를 유지하기 위해 증착 프로세스의 하나 이상의 동작들 동안 챔버가 배기될 수도 있다. 챔버의 배기는 통상적으로 특정한 레이트 또는 레이트들로 이루어지고, (예를 들어, 배기 펌프 또는 펌프들의 펌핑 속도를 상승시킴으로써) 이 배기 레이트의 변화는 커튼 가스 플로우의 하나 이상의 플로우 조건들에 영향을 줄 수도 있다. 예를 들어, 커튼 가스가 또한 흐르는 동안 배기 레이트의 상승은 챔버 내 커튼 가스의 플로우레이트를 상승시킬 수도 있다.
일부 실시예들에서, 블록 1154의 커튼 가스의 조정된 조건은 전술한 조정들 중 2 이상, 즉, 커튼 가스의 조정된 플로우레이트, 커튼 가스의 조정된 조성, 챔버의 조정된 압력을 포함할 수도 있고 그리고/또는 챔버의 조정된 배기 레이트에 의해 유발된다.
특정한 실시예들에서, 본 명세서에서 논의된 하나 이상의 커튼 가스의 조정된 플로우 조건들은 기판 상의 증착된 층 또는 층들의 방사상 두께 불균일도를 개선하는 조정들이다. 예를 들어, 다시 도 5를 참조하면, 커튼 플로우2 (사각형 측정 지점들 및 직선으로 식별됨) 는 커튼 플로우1의 커튼 가스의 플로우 조건, 특히 플로우레이트가 커튼 플로우2의 플로우레이트가 커튼 플로우1의 플로우레이트보다 높도록 보다 높은 플로우레이트로 조정된 후 생성된 방사상 두께들을 도시한다. 커튼 플로우1 및 커튼 플로우2의 조성들은 양자가 100 % 분자 산소이다. 알 수 있는 바와 같이, 커튼 플로우2의 방사상 두께 불균일도는 커튼 플로우1과 비교하여 감소되고; 여기서 NU%(R/2)는 커튼 플로우1의 0.41 %와 비교하여 0.26 %이다. 게다가, 방사상 두께 불균일도 감소들은, 예를 들어, 측정 지점들 11 내지 24 및 25 내지 50 개 주변에서 달성된다. 더욱이, 커튼 플로우1의 최대 변동은 대략 6 Å (약 786 Å의 저점과 약 792 Å의 고점 사이) 인 한편, 커튼 플로우2의 최대 변동은 대략 4 Å (786 Å의 저점과 약 790 Å의 고점 사이) 이다.
다양한 실시예들에서, 생산 동안, 증착 균일도는 커튼 가스 조정이 필요한지, 그렇다면, 얼마나 많은 조정이 필요한지 여부를 결정하기 위해 모니터링되거나 테스트된다. 모니터링 또는 테스팅은 연속적으로 간헐적으로 수행될 수도 있다. 이는 (증착 챔버 내) 인 시츄 테스팅에 의해 또는 증착 후 계측 (인 라인 (in line)) 에 의해 수행될 수도 있다. 인 라인 테스팅은 생산 실행들, 웨이퍼 배치들 (batches), 또는 배치 동안 개별 웨이퍼들 사이에 수행될 수도 있다. 이 모니터링은 하나 이상의 기판들의 불균일도의 ID (identification) 를 유도할 수도 있다. 이에 따라, 제 1 예시적인 기법의 일부 실시예들은 블록 1154 전에 챔버 내 하나 이상의 스테이션들에 의해 증착된 막의 불균일도를 식별하고 이 ID에 적어도 부분적으로 기초하여 블록 1154의 커튼 가스의 조정된 플로우 조건을 갖는 부가적인 양태를 포함할 수도 있다. 주지된 바와 같이, 이 식별하는 양태는 인 라인으로 또는 인 시츄로 일어날 수도 있다.
도 11을 다시 참조하면, 블록들 1150, 1152, 및/또는 1154는 보통의 제조 설정에 반대되는 실험적 설정으로 수행될 수도 있다는 것을 또한 주의해야 한다. 예를 들어, 하나 이상의 증착 프로세스들, 예를 들어, 블록 1152가 수행될 수도 있는 후에, 막 증착을 위한 프로세스 조건들의 최초 결정 및 설정, 예를 들어, 블록 1150이 이루어질 수도 있다. 하나 이상의 증착 프로세스들에 이어서, 특히 증착 프로세스에 대해 이러한 커튼 가스의 하나 이상의 플로우 조건들을 조정하는 것과 같은, 프로세스 조건들을 조정하고 최적화하기 위한 측정들, 예를 들어, 블록 1154가 이루어질 수도 있다. 블록들 1150, 1152, 및/또는 1154의 부가적인 반복들이 목표된 프로세스 조건들이 특정한 증착 프로세스에 대해 결정될 때까지 일어날 수도 있다. 이어서, 이들 실험 단계들 후에, 결정된 프로세스 조건들은 기판 조정 또는 제작에 사용될 수도 있다. 물론, 조정된 프로세스 조건들은 제조가 진행됨에 따라 결정될 수도 있다.
블록 1156은 블록 1154에서 결정된 조정된 플로우 조건에 따라 막 증착 동안 커튼 가스를 흘리는 것을 포함한다. 조정된 플로우 조건에 따라 커튼 가스를 흘리는 것은 상기 참조된 바와 같이, 기판 제조 또는 제작 동안 일어날 수도 있다. 일부 실시예들에서, 조정된 커튼 가스를 흘리는 것은 전체 막 증착 프로세스 동안 수행될 수도 있다. 다른 실시예들에서, 조정된 커튼 가스를 흘리는 것은 막 증착 프로세스의 하나 이상의 부분들 동안에만 수행된다. 다른 부분들 동안, 최초 커튼 가스 플로우가 사용된다. ALD 또는 다른 멀티-페이즈 증착 프로세스의 맥락에서, 블록 1156은 다음의 막 증착의 페이즈들: 스테이션 각각에서 재료의 전구체에 기판들을 노출하는 페이즈 (예를 들어, 상기 (i)), 챔버로부터 적어도 일부의 전구체를 제거하는 페이즈 (예를 들어, 상기 (ii)), 기판 각각 상의 전구체의 반응을 활성화하는 페이즈 (예를 들어, 상기 (iii)), 및 반응 후에, 챔버에서 적어도 일부의 가스들을 제거하는 페이즈 (예를 들어, 상기 (iv)) 동안 수행될 수도 있다. 일부 다른 실시예들에서, 조정된 커튼 가스는 본 명세서에 열거된 이들 페이즈들 예를 들어, (i) 내지 (iv) 중 일 페이즈와 같은, CVD 프로세스 또는 ALD 프로세스의 하나 이상의 페이즈들 동안 사용될 수도 있다. 다른 페이즈들은 조정되지 않은 커튼 가스를 사용하여 수행될 수도 있다.
일부 다른 실시예들에서, 블록들 1152, 1154, 및/또는 1156은 기판들 상의 반도체 디바이스들의 제조 또는 제작 동안 수행된다. 이러한 실시예들에서, 막 증착은 프로세스 조건들에 따른 막 증착 (예를 들어, 블록 1152) 동안 커튼 가스를 흘리는 것을 포함하여 디바이스들의 제조 동안 일어날 수도 있고, 막 증착 동안 일 지점에서 커튼 가스의 하나 이상의 플로우 조건들은 기판 불균일도를 개선하도록 조정될 수도 있다 (예를 들어, 블록 1154). 예를 들어, ALD 프로세스에 대해, 프로세스 조건들에 따라 커튼 가스를 흘리는 것이 제 1 수의 증착 사이클들 동안 일어날 수도 있고, 그 후, 조정된 플로우에 따라 커튼 가스를 흘리는 것이 제 2 수의 증착 사이클들 동안 일어날 수도 있다 (예를 들어, 블록 1156).
일부 실시예들에서, 커튼 가스의 조정된 플로우는 순수 분자 산소를 채용할 수도 있다. 일부 실시예들에서, 커튼 가스는 산소 및 제 2 컴포넌트, 예컨대 아르곤 또는 질소와 같은 불활성 가스의 혼합물을 포함할 수도 있다. 조정된 플로우는 블록 1152에서 흐른 산소 농도가 블록들 1154 및 1156에서 조정된 산소 농도와 상이하도록 조정된 산소 농도를 포함할 수도 있다. 커튼 가스의 조정된 플로우는 또한 순수 분자 산소를 채용할 수도 있다.
기판 프로세싱 시스템들의 상세한 기술
본 명세서에 기술된 방법들 및 기법들은, 명칭이 "COMPOSITION-MATCHED CURTAIN GAS MIXTURES FOR EDGE UNIFORMITY MODULATION IN LARGE-VOLUME ALD REACTORS" 및 "METHODS AND APPARATUSES FOR SHOWERHEAD BACKSIDE PARASITIC PLASMA SUPPRESSION IN A SECONDARY PURGE ENABLED ALD SYSTEM"인 전술되고 인용된 적어도 2 개의 특허 출원들에 기술된 것들을 포함하여, 멀티-스테이션 반도체 프로세싱 툴 (예를 들어, 임의의 적합한 반도체 기판 프로세싱 장치) 에서 막 증착을 수행하기 위한 임의의 적합한 시스템을 사용하여 수행될 수도 있다. 하나 이상의 멀티-스테이션 반도체 프로세싱 툴들 내에서 막 증착을 수행하기 위한 시스템은 본 명세서에 개시된 프로세싱 기법들에 따라 프로세스 동작들을 달성하기 위한 하드웨어 및 프로세스 동작들을 제어하기 위한 머신-판독가능 인스트럭션들을 갖는 (또는 액세스하는) 시스템 제어기를 포함한다.
따라서, 일부 실시예들에서, 복수의 반도체 기판들 상에 재료의 막들을 증착하기에 적합한 시스템은 각각이 프로세싱 챔버 내에 포함된 기판 홀더를 갖는, 제 1 세트의 하나 이상의 프로세스 스테이션들, 각각이 프로세싱 챔버 내에 포함된 기판 홀더를 갖는, 제 2 세트의 하나 이상의 프로세스 스테이션들, 프로세스 스테이션들로의 막 전구체의 플로우를 제어하기 위한 하나 이상의 밸브들, 및 하나 이상의 프로세싱 챔버들 내에 포함된 프로세스 스테이션들을 둘러싸는 볼륨들로부터 막 전구체를 제거하기 위한 하나 이상의 밸브 동작된 진공 소스들을 포함할 수도 있다. 그리고, 이러한 시스템은 또한 기판들 상으로 재료의 막들을 증착하기 위해 기판 로딩 디바이스, 기판 이송 디바이스, 하나 이상의 밸브들, 및 진공 소스를 동작시키기 위한 머신-판독가능한 인스트럭션들을 갖는 (또는 액세스하는) 제어기를 포함할 수도 있다.
따라서, 일부 실시예들에서, 제어기에 의해 실행된 상기 인스트럭션들은 프로세싱 챔버 내에 포함된 복수의 프로세스 스테이션들에서 복수의 기판들 상에 막들을 형성하기 위한 인스트럭션들을 포함할 수도 있고, 복수의 막 층들은 ALD 사이클들의 시퀀스에 의해 기판 각각 상에 형성된다. 따라서, 특정한 이러한 실시예들에서, 제어기에 의해 실행된 상기 인스트럭션들은 상기 기술된 바와 같은 ALD 동작들 (i) 내지 (iv) 를 수행하기 위한 인스트럭션들, 및 기판 프로세싱 장치의 복수의 프로세스 스테이션들에서 복수의 기판들 상에 복수의 막 층들을 형성하도록, ALD 동작들 (i) 내지 (iv) 를 복수 회 반복하기 위한 인스트럭션들을 포함할 수도 있다.
이에 따라, 도 1은 시스템의 일부일 수도 있는, 기판 프로세싱 툴 (100) 의 실시예를 개략적으로 도시한다. 간결성을 위해, 프로세싱 툴 (100) 은 저압 분위기를 유지하기 위해 프로세스 챔버 바디 (102) 를 갖는 독립된 프로세스 스테이션으로서 도시된다. 그러나, 본 명세서에 기술된 바와 같이, 복수의 프로세스 스테이션들은 공통 프로세스 툴 분위기 내―예를 들어, 공통 반응 챔버 내―에 포함될 수도 있다는 것이 이해될 것이다. 예를 들어, 도 2는 멀티스테이션 프로세싱 툴을 포함하는 시스템의 실시예를 도시한다. 또한, 일부 실시예들에서, 상기 상세히 논의된 것들을 포함하는, 프로세싱 툴 (100) 의 하나 이상의 하드웨어 파라미터들은, 하나 이상의 시스템 제어기들에 의해 프로그램적으로 조정될 수도 있다는 것이 이해될 것이다.
프로세스 챔버 (102) 는 분배 샤워헤드 (106) 로 프로세스 가스들을 전달하기 위한 반응 물질 전달 시스템 (101) 과 유체적으로 통신한다. 반응 물질 전달 시스템 (101) 은 샤워헤드 (106) 로의 전달을 위해 프로세스 가스들을 블렌딩 (blending) 및/또는 컨디셔닝 (conditioning) 하기 위한 혼합 용기 (804) 을 포함한다. 하나 이상의 혼합 용기 유입부 밸브들 (120) 이 혼합 용기 (804) 로의 프로세스 가스들의 도입을 제어할 수도 있다.
일부 반응 물질들은 기화 및 프로세스 챔버 (102) 로의 후속하는 전달 전에 액체 형태로 저장될 수도 있다. 도 1의 실시예는 혼합 용기 (804) 로 공급될 액체 반응 물질을 기화하기 위한 기화 지점 (103) 을 포함한다. 일부 실시예들에서, 기화 지점 (103) 은 가열된 액체 주입 모듈일 수도 있다. 일부 실시예들에서, 기화 지점 (103) 은 가열된 기화기일 수도 있다. 모듈들/증발기들로부터 생산된 포화된 반응 물질 증기는 적절한 제어가 이루어지지 않을 때 (예를 들어, 액체 반응 물질을 기화/원자화 (atomizing) 하는데 헬륨이 사용되지 않을 때) 다운스트림 전달 파이프에서 응결될 수도 있다. 양립 가능하지 않은 가스들의 응결된 반응 물질로의 노출은 작은 입자들을 생성할 수도 있다. 이들 작은 입자들은 파이프를 막고, 밸브 동작을 지연시키고, 기판을 오염시키는 등을 할 수도 있다. 이러한 문제들을 해결하기 위한 일부 방법들은 잔류 반응 물질을 제거하기 위해 전달 파이프를 스윕핑 (sweeping) 및/또는 배기하는 것을 포함할 수도 있다. 그러나, 전달 파이프를 스윕핑하는 것은 프로세스 스테이션 쓰루풋을 저하시키는, 프로세스 스테이션 사이클 시간을 상승시킬 수도 있다. 따라서, 일부 실시예들에서, 기화 지점 (103) 의 전달 파이프 다운스트림은 가열 처리될 수도 있다. 일부 예들에서, 혼합 용기 (804) 가 또한 가열 처리될 수도 있다. 일 비제한적 예에서, 기화 지점 (103) 의 파이프 다운스트림은 혼합 용기 (804) 에서 대략 100 ℃에서 대략 150 ℃로 연장하는 상승하는 온도 프로파일을 갖는다.
언급된 바와 같이, 일부 실시예들에서 기화 지점 (103) 은 가열된 액체 주입 모듈 ("액체 주입기"로 약칭) 일 수도 있다. 이러한 액체 주입기는 혼합 용기의 업스트림에서 캐리어 가스 스트림에 액체 반응 물질의 펄스들을 주입할 수도 있다. 일 시나리오에서, 액체 주입기는 고압에서 저압으로 액체를 플래싱 (flashing) 함으로써 반응 물질을 기화할 수도 있다. 또 다른 시나리오에서, 액체 주입기는 가열된 전달 파이프 내에서 나중에 증기화되는 분산된 마이크로 액적들 (microdroplets) 로 액체를 원자화할 수도 있다. 보다 작은 액적들이 보다 큰 액적들보다 빠르게 기화될 수도 있고, 액체 주입과 완전한 기화 사이의 지연을 감소시킨다는 것이 이해될 것이다. 보다 빠른 기화는 기화 지점 (103) 으로부터 다운스트림의 파이프 길이를 감소시킬 수도 있다. 일 시나리오에서, 액체 주입기는 혼합 용기 (804) 에 바로 장착될 수도 있다. 또 다른 시나리오에서, 액체 주입기는 샤워헤드 (106) 에 바로 장착될 수도 있다.
일부 실시예들에서, 기화 지점 (103) 의 업스트림에 LFC (liquid flow controlle) 가 기화 및 프로세싱 챔버 (102) 로의 전달을 위해 액체의 대량 플로우를 제어하기 위해 제공될 수도 있다. 예를 들어, LFC는 LFC의 다운스트림에 위치된 MFM (thermal mass flow meter) 를 포함할 수도 있다. 이어서 LFC의 플런저 밸브가 MFM과 전기적으로 통신하는 PID (proportional-integral-derivative) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조정될 수도 있다. 그러나, 이는 피드백 제어를 사용하여 액체 플로우를 안정화시키기 위해 1 초 이상 걸릴 수도 있다. 이는 액체 반응물질을 도징하기 위한 시간을 연장할 수도 있다. 따라서, 일부 실시예들에서, LFC는 피드백 제어 모드와 직접 제어 모드 사이에서 동적으로 스위칭될 수도 있다. 일부 실시예들에서, LFC는 LFC 및 PID 제어기의 센스 튜브를 디스에이블함으로써 피드백 제어 모드로부터 직접 제어 모드로 동적으로 스위칭될 수도 있다.
가스 전달 시스템 (101) 은 또한 프로세싱 챔버 (102) 로 커튼 가스를 흘리고 전달하도록 구성될 수도 있다. 일부 이러한 구성들은 파이프, 밸브들, 및 커튼 가스 소스를 포함할 수도 있다. 본 명세서에 기술되고 적어도 도 3, 도 6, 및 도 12 내지 도 14에 도시된 바와 같이, 프로세싱 챔버를 포함하는, 복수의 반도체 기판들 상에 재료의 막들을 증착하기 적합한 시스템은 다양한 프로세스 스테이션들에 체적 격리를 제공할 뿐만 아니라, 예컨대 본 명세서에 기술된 것들 중에서, 예컨대 샹들리에 샤워헤드들 각각의 헤드 부분들 뒤쪽으로부터, 페데스탈로부터, 샤워헤드로부터, 그리고/또는 챔버 바디 (예를 들어, 챔버의 상단 벽) 로부터 다른 이점들을 제공하는 역할에 적합한 진입 지점들로부터 프로세싱 챔버 내로 커튼 가스를 흘리도록 구성될 수도 있다.
샤워헤드 (106) 는 프로세스 스테이션에서 기판 (112) 을 향하여 프로세스 가스들 및/또는 반응 물질들 (예를 들어, 막 전구체들) 을 분배하고, 이의 플로우는 샤워헤드로부터 업스트림의 하나 이상의 밸브들 (예를 들어, 밸브들 (120, 120A, 105)) 에 의해 제어된다. 도 1에 도시된 실시예에서, 기판 (112) 은 샤워헤드 (106) 밑에 위치되고, 페데스탈 (108) 상에 얹힌 것으로 도시된다. 샤워헤드 (106) 는 임의의 적합한 형상을 가질 수도 있고, 기판 (112) 으로 프로세스 가스들을 분배하기 위해 임의의 적합한 수 및 배열의 포트들을 가질 수도 있다는 것이 이해될 것이다.
일부 실시예들에서, 마이크로볼륨 (107) 은 샤워헤드 (106) 밑에 위치된다. 프로세싱 챔버의 전체 볼륨에서보다 기판 가까이의 프로세스 스테이션의 마이크로볼륨 내에서 ALD 프로세스를 수행하는 것이 반응물질 노출 및 스윕핑 시간을 감소시킬 수도 있고, 프로세스 조건들 (예를 들어, 압력, 온도, 등) 을 변경하기 위한 시간들을 감소시킬 수도 있고, 프로세스 가스들에 대한 프로세스 스테이션 로보틱스의 노출을 제한하는 등을 할 수도 있다. 예시적인 마이크로볼륨 사이즈들은 이로 제한되는 것은 아니지만, 0.1 리터 내지 2 리터의 볼륨을 포함한다.
일부 실시예들에서, 페데스탈 (108) 은 기판 (112) 을 마이크로볼륨 (107) 에 노출시키고 그리고/또는 마이크로볼륨 (107) 의 체적을 변화시키기 위해 상승되거나 하강될 수도 있다. 예를 들어, 기판 이송 페이즈에서, 페데스탈 (108) 은 기판 (112) 으로 하여금 페데스탈 (108) 상으로 로딩되게 하도록 하강될 수도 있다. 기판 상의 증착 프로세스 페이즈 동안, 페데스탈 (108) 은 마이크로볼륨 (107) 내에 기판 (112) 을 포지셔닝하도록 상승될 수도 있다. 일부 실시예들에서, 마이크로볼륨 (107) 은 증착 프로세스 동안 고 플로우 임피던스 영역을 생성하기 위해 페데스탈 (108) 의 일부뿐만 아니라 기판 (112) 을 완전히 둘러쌀 수도 있다.
선택가능하게, 페데스탈 (108) 은 마이크로볼륨 (107) 내에서 프로세스 압력, 반응물질 농도, 등을 조절하기 위해 일부의 증착 프로세스 동안 하강되고 그리고/또는 상승될 수도 있다. 프로세스 챔버 바디 (102) 가 프로세스 동안 기준 압력으로 유지되는 일 시나리오에서, 페데스탈 (108) 을 하강시키는 것은 마이크로볼륨 (107) 으로 하여금 배기되게 할 수도 있다. 프로세스 챔버 볼륨에 대한 마이크로볼륨의 예시적인 비들은 이로 제한되는 것은 아니지만, 1:500 내지 1:10의 체적 비를 포함한다. 일부 실시예들에서, 페데스탈 높이는 적합한 시스템 제어기에 의해 프로그램적으로 조정될 수도 있다는 것이 이해될 것이다.
또 다른 시나리오에서, 페데스탈 (108) 의 높이를 조정하는 것은 플라즈마 밀도로 하여금 예를 들어, ALD 또는 CVD 프로세스에 포함된 플라즈마 활성화 및/또는 처리 사이클들 동안 가변하게 할 수도 있다. 증착 프로세스 페이즈의 종료 시, 페데스탈 (108) 은 또 다른 기판 이송 페이즈 동안 페데스탈 (108) 로부터 기판 (112) 의 제거를 허용하도록 하강될 수도 있다.
본 명세서에 기술된 예시적인 마이크로볼륨 변동들이 높이-조정가능한 페데스탈을 참조하지만, 일부 실시예들에서, 샤워헤드 (106) 의 위치는 마이크로볼륨 (107) 의 체적을 변화시키기 위해 페데스탈 (108) 에 대해 조정될 수도 있다는 것이 이해될 것이다. 또한, 페데스탈 (108) 및/또는 샤워헤드 (106) 의 수직 위치는 본 개시의 범위 내에 있는 임의의 적합한 메커니즘에 의해 가변될 수도 있다는 것이 이해될 것이다. 일부 실시예들에서, 페데스탈 (108) 은 기판 (112) 의 배향을 회전시키기 위한 회전 축을 포함할 수도 있다. 일부 실시예들에서, 하나 이상의 이들 예시적인 조정들은, 전술한 동작들의 전부 또는 서브세트를 수행하기 위한 머신-판독가능 인스트럭션들을 갖는, 하나 이상의 적합한 시스템 제어기들에 의해 프로그램적으로 수행될 수도 있다는 것이 이해될 것이다.
도 1에 도시된 실시예로 돌아가면, 샤워헤드 (106) 및 페데스탈 (108) 은 플라즈마에 전력을 공급하기 위해 RF 전력 공급부 (114) 및 매칭 네트워크 (116) 와 전기적으로 통신한다. 일부 실시예들에서, 플라즈마 에너지는 (예를 들어, 적절한 머신-판독가능 인스트럭션들을 갖는 시스템 제어기를 통해) 프로세스 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수, 및 플라즈마 전력 펄스 타이밍 중 하나 이상을 제어함으로써 제어될 수도 있다. 예를 들어, RF 전력 공급부 (114) 및 매칭 네트워크 (116) 는 목표된 조성의 라디컬 종들을 갖는 플라즈마를 형성하도록, 임의의 적합한 전력으로 동작될 수도 있다. 적합한 전력들의 예들은 상기에 포함되었다. 유사하게, RF 전력 공급부 (114) 는 임의의 적합한 주파수의 RF 전력을 제공할 수도 있다. 일부 실시예들에서, RF 전력 공급부 (114) 는 서로 독립적으로 고 주파수 및 저 주파수 RF 전력 소스들을 제어하도록 구성될 수도 있다. 예시적인 저 주파수 RF 주파수들은 이로 제한되는 것은 아니지만, 50 ㎑ 내지 500 ㎑의 주파수를 포함할 수도 있다. 예시적인 고 주파수 RF 주파수들은 이로 제한되는 것은 아니지만, 1.8 ㎒ 내지 2.45 ㎓의 주파수들을 포함할 수도 있다. 표면 반응들을 위한 플라즈마 에너지를 제공하도록 임의의 적합한 파라미터들이 이산적으로 또는 연속적으로 조절될 수도 있다는 것이 이해될 것이다. 비제한적인 일 예에서, 플라즈마 전력은 연속적으로 전력이 공급되는 플라즈마들에 대해 기판 표면과의 이온 충돌을 감소시키기 위해 간헐적으로 펄싱될 수도 있다.
일부 실시예들에서, 플라즈마는 하나 이상의 플라즈마 모니터들에 의해 인 시츄 모니터링될 수도 있다. 일 시나리오에서, 플라즈마 전력은 하나 이상의 전압, 전류 센서들 (예를 들어, VI 프로브들) 에 의해 모니터링될 수도 있다. 또 다른 시나리오에서, 플라즈마 밀도 및/또는 프로세스 가스 농도는 하나 이상의 OES (optical emission spectroscopy) 센서들에 의해 측정될 수도 있다. 일부 실시예들에서, 하나 이상의 플라즈마 파라미터들은 이러한 인 시츄 플라즈마 모니터들로부터의 측정치들에 기초하여 프로그램적으로 조정될 수도 있다. 예를 들어, OES 센서는 플라즈마 전력의 프로그램적인 제어를 제공하기 위해 피드백 루프에서 사용될 수도 있다. 일부 실시예들에서, 플라즈마 및 다른 프로세스 특성들을 모니터링하기 위해 다른 모니터들이 사용될 수도 있다는 것이 이해될 것이다. 이러한 모니터들은 이로 제한되는 것은 아니지만, 적외선 (IR) 모니터들, 음향 모니터들, 및 압력 트랜스듀서들을 포함할 수도 있다.
일부 실시예들에서, 플라즈마는 IOC (input/output control) 시퀀싱 인스트럭션들을 통해 제어될 수도 있다. 일 예에서, 플라즈마 활성화 페이즈를 위한 플라즈마 조건들을 설정하기 위한 인스트럭션들은 프로세스 레시피의 대응하는 플라즈마 활성화 레시피 페이즈에 포함될 수도 있다. 일부 경우들에서, 프로세스 레시피 페이즈들은 프로세스 페이즈를 위한 모든 인스트럭션들이 이 프로세스 페이즈와 동시에 실행되도록 순차적으로 배열될 수도 있다. 일부 실시예들에서, 하나 이상의 플라즈마 파라미터들을 설정하기 위한 인스트럭션들은 플라즈마 프로세스 페이즈에 선행하는 레시피 페이즈에 포함될 수도 있다. 예를 들어, 제 1 레시피 페이즈는 불활성 (예를 들어, 헬륨) 및/또는 반응 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 전력 설정 점으로 플라즈마 생성기를 설정하기 위한 인스트럭션들, 및 제 1 레시피 페이즈를 위한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 2, 후속하는 레시피 페이즈는 플라즈마 생성기를 인에이블하기 위한 인스트럭션들 및 제 2 레시피 페이즈를 위한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 3 레시피 페이즈는 플라즈마 생성기를 디스에이블하기 위한 인스트럭션들 및 제 3 레시피 페이즈를 위한 시간 지연 인스트럭션들을 포함할 수도 있다. 이들 레시피 페이즈들은 더 세분화될 수도 있고 그리고/또는 본 개시의 범위 내의 임의의 적합한 방식으로 반복될 수도 있다는 것이 이해될 것이다.
일부 증착 프로세스들에서, 플라즈마 스트라이킹은 지속기간 중에서 몇 초 이상 지속된다. 본 명세서에 기술된 특정한 구현예들에서, 프로세싱 사이클 동안 훨씬 짧은 플라즈마 스트라이킹이 적용될 수도 있다. 이들은 0.25 초가 구체적인 예인, 대략 50 ㎳ 내지 1 초일 수도 있다. 이러한 짧은 RF 플라즈마 스트라이킹은 플라즈마의 고속 안정화를 필요로 한다. 이를 달성하기 위해, 플라즈마 생성기는 주파수가 플로팅하도록 허용되는 동안, 임피던스 매칭이 특정한 전압으로 프리셋되도록 구성될 수도 있다. 관습적으로, 고주파수 플라즈마들이 약 13.56 ㎒의 RF 주파수에서 생성된다. 본 명세서에 개시된 다양한 실시예들에서, 주파수는 이들 표준 값과 상이한 값으로 플로팅되게 된다. 임피던스 매칭을 미리 결정된 전압으로 고정하면서 주파수가 플로팅되게 허용함으로써, 플라즈마는 훨씬 고속으로 안정화될 수 있고, ALD 사이클들과 연관된 매우 짧은 플라즈마 스트라이킹들을 사용할 때 이 결과가 중요할 수도 있다.
일부 실시예들에서, 페데스탈 (108) 은 히터 (110) 를 통해 온도 제어될 수도 있다. 또한, 일부 실시예들에서, 프로세싱 장치 (100) 를 위한 압력 제어가 버터플라이 밸브 (118) 와 같은 하나 이상의 밸브로 동작된 진공 소스들에 의해 제공될 수도 있다. 도 1의 실시예에 도시된 바와 같이, 버터플라이 밸브 (118) 는 다운스트림 진공 펌프 (미도시) 에 의해 제공된 진공을 쓰로틀한다. 그러나, 일부 실시예들에서, 프로세싱 장치 (100) 의 압력 제어는 또한 프로세싱 챔버 (102) 에 도입된 하나 이상의 가스들의 플로우 레이트를 변화시킴으로써 조정될 수도 있다. 일부 실시예들에서, 하나 이상의 밸브로 동작된 진공 소스들―예컨대 버터플라이 밸브 (118)―은 적절한 ALD 작동 동작들 동안 프로세스 스테이션들을 둘러싸는 볼륨들로부터 막 전구체를 제거하기 위해 사용될 수도 있다.
상기 기술된 바와 같이, 하나 이상의 프로세스 스테이션들은 멀티스테이션 기판 프로세싱 툴에 포함될 수도 있다. 도 2는 공통 저압력 프로세싱 챔버 (214) 내에 복수의 프로세스 스테이션들 (201, 202, 203, 204) 을 포함하는 멀티스테이션 프로세싱 툴 (200) 을 포함하는 시스템의 예를 개략적으로 예시한다. 각각의 스테이션을 저압력 분위기로 유지함으로써, 막 증착 프로세스들 사이에서 진공 브레이크로 인한 디펙트들이 방지될 수도 있다.
도 2에 도시된 바와 같이, 시스템 (200) 은 기판 로딩 포트 (220), 및 대기 포트 (220) 를 통해 포드 (228) 로부터 프로세싱 챔버 (214) 내로, 그리고 마지막으로 프로세스 스테이션으로 로딩된 카세트로부터 기판들을 이동시키도록 구성된 기판 핸들러 로봇 (226) 을 갖는다. 구체적으로, 이 경우 기판 핸들러 로봇 (226) 은 프로세스 스테이션들 (201 및 202) 에서 기판들을 로딩하고, 기판 이송 디바이스, 이 경우, 기판 캐로절 (290) 은 다양한 프로세스 스테이션들 (201, 202, 203, 또는 204) 사이에서 기판들을 이송한다. 도 2에 도시된 실시예에서, 기판 로딩 디바이스는 기판 조작을 위해 2 개의 암들을 갖는 기판 핸들러 로봇 (226) 으로서 도시되고, 또한 도시된 바와 같이, 기판 로딩 디바이스는 두 스테이션들 (201 및 202) 에서 (아마도 동시에 또는 아마도 순차적으로) 기판들을 로딩할 수 있다. 이어서, 스테이션들 (201 및 202) 에서 로딩한 후, 기판 이송 디바이스, 도 2에 도시된 캐로절 (290) 은 스테이션들 (201 및 202) 로부터 스테이션들 (203 및 204) 로 2 개의 기판들을 이송시키기 위해 (기판들의 평면에 실질적으로 수직 (페이지로부터 나오는) 이고, 기판들 사이에서 실질적으로 등거리인 중심축을 중심으로) 180도 회전할 수 있다. 이 때, 기판 핸들러 로봇 (226) 은 스테이션들 (201 및 202) 에서 2 개의 새로운 기판들을 로딩할 수 있고, 로딩 프로세스를 완료한다. 언로딩하기 위해, 이들 단계들은, 4 개의 웨이퍼들의 다수의 세트들이 프로세싱된다면, 기판 핸들러 로봇 (226) 에 의한 2 개의 기판들의 각각의 언로딩이 이송 캐로절 (290) 을 180도 회전시키기 전에 2 개의 새로운 기판들을 로딩하는 것을 동반하는 것을 제외하고, 반대일 수 있다. 유사하게, 기판들을 단지 하나의 스테이션, 즉, 201에 위치시키도록 구성된 1-암 핸들러 로봇이, 모든 4 개의 스테이션들에서 기판들을 로딩하기 위해 캐로절 (290) 의 90도의 4 번의 회전에 의해 동반된 4 단계 로딩 프로세스에서 사용될 것이다.
도 2에 도시된 프로세싱 챔버 (214) 는 4 개의 프로세스 스테이션들 (201, 202, 203, 및 204) 을 제공한다. 각각의 스테이션은 가열된 페데스탈 (프로세스 스테이션 (201) 에 대해 218로 도시됨) 및 가스 라인 유입부들을 갖는다. 일부 실시예들에서, 프로세스 스테이션 각각은 상이한 또는 다수의 목적들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세스 스테이션은 ALD 프로세스 모드 및 CVD 프로세스 모드 사이에서 전환가능할 수도 있다. 부가적으로 또는 대안적으로, 일부 실시예들에서, 프로세싱 챔버 (214) 는 ALD/CVD 프로세스 스테이션들의 하나 이상의 매칭된 쌍들을 포함할 수도 있다. 도시된 프로세싱 챔버 (214) 가 4 개의 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세싱 챔버는 1, 또는 2, 또는 3, 또는 4, 또는 5, 또는 6, 또는 7, 또는 8, 또는 9, 또는 10, 또는 11, 또는 12, 또는 13, 또는 14, 또는 15, 또는 16, 이상의 프로세스 스테이션들 (또는 실시예들의 세트는, 반응 챔버 당 2 내지 6 개의 프로세스 스테이션들, 또는 반응 챔버 당 4 내지 8 개의 프로세스 스테이션들, 또는 반응 챔버 당 8 내지 16 개의 프로세스 스테이션들, 등과 같이, 전술한 값들의 임의의 쌍에 의해 규정된 범위 내에서 반응 챔버 당 다수의 프로세스 스테이션들을 갖는 것으로 기술될 수도 있다) 을 가질 수도 있다.
상기에 나타낸 바와 같이, 도 2는 프로세싱 챔버 (214) 내의 프로세스 스테이션들 (201, 202, 203, 및 204) 사이에서 기판들을 이송하기 위한 기판 이송 디바이스 (290) 의 실시예를 도시한다. 임의의 적합한 기판 이송 디바이스가 채용될 수도 있다는 것이 이해될 것이다. 비제한적인 예들은 웨이퍼 캐로절들 및 기판 핸들러 로봇들을 포함한다.
시스템 제어기들
도 2는 또한 프로세스 툴 (200) 및 이의 프로세스 스테이션들의 프로세스 조건들 및 하드웨어 상태들을 제어하기 위해 채용된 시스템 제어기 (250) 를 포함하는 시스템의 실시예를 도시한다. 시스템 제어기 (250) 는 하나 이상의 메모리 디바이스들 (256), 하나 이상의 대용량 저장 디바이스들 (254), 및 하나 이상의 프로세서들 (252) 을 포함할 수도 있다. 프로세서 (252) 는 하나 이상의 CPU들, ASIC들, 범용 컴퓨터(들) 및/또는 특수 목적 컴퓨터(들), 하나 이상의 아날로그 및/또는 디지털 입력/출력 접속부(들), 하나 이상의 스텝퍼 모터 제어기 보드(들), 등을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (250) 는 개별 프로세스 스테이션들을 포함하는, 프로세스 툴 (200) 의 일부 또는 모든 동작들을 제어한다. 시스템 제어기 (250) 는 프로세서 (252) 상에서 머신-판독가능한 시스템 제어 인스트럭션들 (258) 을 실행할 수도 있다―시스템 제어 인스트럭션들 (258) 은 일부 실시예들에서, 대용량 저장 디바이스 (254) 로부터 메모리 디바이스 (256) 로 로딩된다―. 시스템 제어 인스트럭션들 (258) 은 타이밍, 가스상 및 액체 반응물질들의 혼합, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 목표 전력 레벨들, RF 전력 레벨들, RF 노출 시간, 기판 페데스탈, 척 및/또는 서셉터 위치, 및 프로세스 툴 (200) 에 의해 수행된 특정한 프로세스의 다른 파라미터들을 포함할 수도 있다. 이들 프로세스들은 이들로 제한되는 것은 아니지만, 기판들 상의 막의 증착과 관련된 프로세스들을 포함하는, 다양한 타입들의 프로세스들을 포함할 수도 있다. 시스템 제어 인스트럭션들 (258) 은 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들이 다양한 프로세스 툴 프로세스들을 수행하기 위해 필요한 프로세스 툴 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 시스템 제어 인스트럭션들 (258) 은 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다. 일부 실시예들에서, 시스템 제어 인스트럭션들 (258) 은 소프트웨어로 구현되고, 다른 실시예들에서, 인스트럭션들은 하드웨어로 구현될 수도 있다―예를 들어, ASIC (application specific integrated circuit) 내의 로직으로서 하드코딩되거나, 다른 실시예들에서, 소프트웨어 및 하드웨어의 조합으로 구현된다―.
일부 실시예들에서, 시스템 제어 소프트웨어 (258) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (input/output control) 시퀀싱 (sequencing) 인스트럭션들을 포함할 수도 있다. 예를 들어, 증착 프로세스 또는 프로세스들의 각각의 단계는 시스템 제어기 (250) 에 의해 실행할 하나 이상의 인스트럭션들을 포함할 수도 있다. 막 증착 프로세스 단계에 대한 프로세스 조건들을 설정하기 위한 인스트럭션들은, 예를 들어, 대응하는 증착 레시피 단계, 및 유사하게 캡핑 막 증착 단계에 포함될 수도 있다. 일부 실시예들에서, 일 프로세스 단계를 위한 모든 인스트럭션들이 그 프로세스 단계와 동시에 실행되도록 레시피 단계들이 순차적으로 배열될 수도 있다.
시스템 제어기 (250) 와 연관된 대용량 저장 디바이스 (254) 및/또는 메모리 디바이스 (256) 에 저장된 다른 컴퓨터-판독가능 인스트럭션들 및/또는 프로그램들이 일부 실시예들에서 채용될 수도 있다. 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 (positioning) 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 (218) 상에 기판을 로딩하고 기판과 프로세스 툴 (200) 의 다른 부분들 사이의 공간을 제어하도록 사용된 프로세스 툴 컴포넌트들에 대한 인스트럭션들을 포함할 수도 있다. 기판 포지셔닝 프로그램은 기판들 상에 막을 증착하기 위해 필요에 따라 반응 챔버의 내부 및 외부로 기판들을 적절하게 이동시키기 위한 인스트럭션들을 포함할 수도 있다.
프로세스 가스 제어 프로그램은 하나 이상의 프로세스 스테이션들을 둘러싸는 볼륨들 내의 압력을 안정화시키기 위해, 증착 전에 하나 이상의 프로세스 스테이션들을 둘러싸는 볼륨들 내로의 가스 조성 및 플로우 레이트들을 제어하기 위한 인스트럭션들 및 선택가능하게 하나 이상의 프로세스 스테이션들을 둘러싸는 볼륨들 내로 가스, 예를 들어, 커튼 가스를 흘리기 위한 인스트럭션들을 포함할 수도 있다. 일부 실시예들에서, 프로세스 가스 제어 프로그램은 기판들 상에 막 증착 동안 프로세싱 챔버 내의 하나 이상의 프로세스 스테이션들을 둘러싸는 볼륨(들) 내로 특정한 가스들을 도입하기 위한 인스트럭션들을 포함할 수도 있다. 프로세스 가스 제어 프로그램은 또한 동일한 지속기간 동안, 또는 증착될 막의 조성에 따라 상이한 레이트들 및/또는 상이한 지속기간 동안, 이들 가스들을 동일한 레이트들로 전달하기 위한 인스트럭션들을 또한 포함할 수도 있다. 프로세스 가스 제어 프로그램은 가열된 주입 모듈 내에 헬륨 또는 일부 다른 캐리어 가스의 존재 시에 액체 반응물질을 원자화/기화하기 위한 인스트럭션들을 또한 포함할 수도 있다.
압력 제어 프로그램은 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브, 프로세스 스테이션으로의 가스 플로우, 등을 조정함으로써 프로세스 스테이션 내의 압력을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 압력 제어 프로그램은 기판들 상에 다양한 막 타입들의 증착 동안 동일한 압력 또는 상이한 압력들을 유지하기 위한 인스트럭션들을 포함할 수도 있다.
히터 제어 프로그램은 기판을 가열하기 위해 사용된 히팅 유닛으로의 전류를 제어하기 위한 인스트럭션들을 포함할 수도 있다. 대안적으로 또는 부가적으로, 히터 제어 프로그램은 기판으로의 (헬륨과 같은) 열 전달 가스 (heat transfer gas) 의 전달을 제어할 수도 있다. 히터 제어 프로그램은 기판들 상에 다양한 막 타입들의 증착 동안 반응 챔버 및/또는 프로세스 스테이션들을 둘러싸는 볼륨들 내에 동일한 온도 또는 상이한 온도들을 유지하기 위한 인스트럭션들을 포함할 수도 있다.
플라즈마 제어 프로그램은 본 명세서의 실시예들에 따라 하나 이상의 프로세스 스테이션들 내에서 RF 전력 레벨들, 주파수들, 및 노출 시간들을 설정하기 위한 인스트럭션들을 포함할 수도 있다. 일부 실시예들에서, 플라즈마 제어 프로그램은 기판들 상에 다양한 막 타입들의 증착 동안 동일하거나 상이한 RF 전력 레벨들 및/또는 주파수들 및/또는 노출 시간들을 사용하기 위한 인스트럭션들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (250) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치의 그래픽적인 소프트웨어 디스플레이 및/또는 프로세스 조건들의 그래픽적인 소프트웨어 디스플레이, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등의 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (250) 에 의해 조정된 파라미터들은 프로세스 조건들과 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, (RF 바이어스 전력 레벨들 및 노출 시간들과 같은) 플라즈마 조건들, 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는, 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (250) 의 아날로그 입력 접속부 및/또는 디지털 입력 접속부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (200) 의 아날로그 출력 접속부 및 디지털 출력 접속부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 유량 제어기들 (MFC들), (압력계들 (manometers) 과 같은) 압력 센서들, 열전대들 (thermocouple), 등을 포함한다. 적절하게 프로그램된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터를 사용할 수도 있다.
시스템 제어기 (250) 는 본 명세서에 기술된 증착 프로세스들을 구현하기 위한 머신-판독가능한 인스트럭션들을 제공할 수도 있다. 인스트럭션들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 본 명세서에 기술된 다양한 실시예들에 따른 막 스택들의 인 시츄 증착을 동작시키기 위한 파라미터들을 제어할 수도 있다.
상기에 기술된 다양한 장치들 및 방법 실시예들은 예를 들어 반도체 디바이스들, 디스플레이, LED, 광전 패널 등의 제조 또는 제작을 위한 리소그래피 패터닝 툴 또는 프로세스와 함께 사용될 수도 있다. 통상적으로, 이러한 툴들은 반드시 그러한 것은 아니지만 공통 제조 시설 내에서 함께 및/또는 동시에 수행될 수 있다.
막의 리소그래피 패터닝은 통상적으로 각각 다수의 가능한 툴을 사용하여서 실현되는 다음의 단계들 중 몇몇 또는 모두를 포함하며, 이 단계들은 (1) 스핀 온 또는 스프레이 온 툴을 사용하여 예를 들어, 그 위에 실리콘 나이트라이드막이 형성된 기판과 같은 기판 상에 포토레지스트를 도포하는 단계, (2) 고온 플레이트 또는 퍼니스 또는 다른 적합한 경화 툴을 사용하여 포토레지스트를 경화하는 단계, (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 포토레지스트를 가시광선 또는 UV 또는 x 선 광에 노출시키는 단계, (4) 습식 벤치 (wet bench) 또는 스프레이 현상기와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 이를 패터닝하도록 포토레지스트를 현상하는 단계, (5) 건식 또는 플라즈마-보조 에칭 툴을 사용하여 아래에 놓인 막 또는 기판에 레지스트 패턴을 전사하는 단계, 및 (6) RF 또는 마이크로웨이브 플라즈마 레지스트 스트립퍼 (stripper) 와 같은 툴을 사용하여 포토레지스트를 제거하는 단계를 포함할 수 있다. 일부 실시예들에서, (비정질 탄소층과 같은) 애싱가능한 하드 마스크층 및 (반사방지층과 같은) 다른 적합한 하드 마스크가 포토레지스트를 도포하기 전에 증착될 수도 있다.
기판 프로세싱 시스템들에서 커튼 가스를 사용하여 기판의 불균일도 개선
본 명세서에 논의된 시스템들은 상기 기술된 바와 같이 커튼 가스를 사용하여 기판의 불균일도를 제어하기 위한 기법들을 구현하는데 사용될 수도 있다. 일부 이러한 실시예들에서, 멀티-스테이션 반도체 프로세싱 툴에서 막 증착을 수행하기 위한 시스템은 본 명세서에 기술된 시스템, 예컨대 도 1의 가스 전달 시스템 (101) 의 하나 이상의 양태들을 포함할 수도 있다. 시스템은 또한 적어도 2 개의 스테이션들을 포함하는 프로세싱 챔버, 예컨대 도 1의 챔버 (102) 또는 도 2의 프로세싱 챔버 (214) 를 포함할 수도 있다. 프로세싱 챔버는 가스 전달 시스템을 공유할 수도 있고, 스테이션 각각의 주변부 둘레에서 커튼 가스를 흘리도록 구성될 수도 있다. 이러한 구성의 예는 본 명세서 뿐만 아니라 전술되고 인용된, 명칭이 "COMPOSITION-MATCHED CURTAIN GAS MIXTURES FOR EDGE UNIFORMITY MODULATION IN LARGE-VOLUME ALD REACTORS" 및 "METHODS AND APPARATUSES FOR SHOWERHEAD BACKSIDE PARASITIC PLASMA SUPPRESSION IN A SECONDARY PURGE ENABLED ALD SYSTEM"인 특허 출원에 기술된다.
시스템은 또한 분리된 스테이션들에서 프로세싱된 적어도 2 개의 기판들 상에 재료를 증착하도록 시스템을 제어하기 위한, 본 명세서에 기술된 시스템 제어기와 같은 제어기, 예를 들어, 도 2의 시스템 제어기 (250) 를 포함할 수도 있다. 제어기는 도 11에 대해 상기 기술된 이러한 모든 양태들을 포함하여, 상기 논의된 기법들의 일부 또는 모든 양태들을 구현하기 위한 제어 로직을 포함할 수도 있다. 일 실시예에서, 제어기는 챔버 내 막 증착을 수행하기 위한, 챔버 내 스테이션 각각의 주변부 둘레에서 흐르는 커튼 가스의 플로우 조건을 포함하는, 프로세스 조건들에 따라 막 증착 동안 챔버 내 스테이션 각각으로 커튼 가스를 흘리기 위한 제어 로직을 포함할 수도 있다. 커튼 가스의 이러한 흐름은 본 명세서에서 상기 기술된 도 11의 블록 1152과 동일할 수도 있고, 이러한 기술은 본 명세서에 인용되었다.
제어기는 또한 기판 불균일도를 개선하기 위해 챔버의 커튼 가스의 조정된 플로우 조건을 결정하기 위한 제어 로직을 포함할 수도 있다. 커튼 가스의 조정된 플로우 조건은 도 11의 블록 1154에 대해 본 명세서에서 상기 기술된 조정된 플로우 조건들과 유사하게 이루어질 수도 있고, 이러한 논의는 이 시스템에 적용되고 본 명세서에 인용되었다. 예를 들어, 커튼 가스의 조정된 플로우 조건은, 바로 위에 기술된 프로세스 조건들에 따른 막 증착 동안 커튼 가스를 흘리는 단계 후에 일어날 수도 있는, 커튼 가스의 조정된 플로우레이트일 수도 있다.
제어기는 조정된 플로우 조건에 따른 막 증착 동안 커튼 가스를 흘리기 위한 제어 로직을 더 포함할 수도 있다. 다시, 이 흘리는 단계는 블록 1156과 동일할 수도 있고 이러한 논의는 본 명세서에 인용되었다. 게다가, 상기 기술된 바와 같이, 이들 3 개의 양태들 중 하나 이상은 실험적 설정에서 수행될 수도 있는 한편, 기판 제작 동안 다른 양태들 중 하나 이상이 수행될 수도 있다. 예를 들어, (예를 들어, 각각 도 11의 블록들 1152 및 1154와 같은) 프로세스 조건들에 따라 막 증착 동안 커튼 가스를 흘리는 것 및 커튼 가스의 조정된 플로우 조건을 결정하는 것이 실험적 설정 시 일어날 수도 있는 한편, 조정된 플로우 조건에 따라 커튼 가스를 흘리는 것은 기판 제작 동안 수행될 수도 있다.
일부 실시예들에서, 본 명세서에 논의된 바와 같이, 시스템의 제어기는 순환적 증착 프로세스, 예를 들어 ALD의 페이즈들, 예컨대 스테이션 각각에서 기판들을 재료의 전구체에 노출하는 것 (예를 들어, 도징), 챔버로부터 전구체의 적어도 일부를 제거하는 것 (예를 들어, 퍼지), 기판 각각 상에서 전구체의 반응을 활성화하는 것 (예를 들어, 활성화), 및 이 반응 후에 챔버 내 가스들 중 적어도 일부를 제거하는 (예를 들어, 퍼지) 을 수행하기 위한 제어 로직을 더 포함할 수도 있다. 조정에 따라 막 증착 동안 커튼 가스를 흘리는 것은 상기 논의와 유사하게, 이들 페이즈들 중 하나 이상 동안 수행될 수도 있다.
일부 실시예들에서, 시스템의 제어기는 또한 챔버 내에서 막 증착을 수행하기 위한, 커튼 가스의 플로우를 포함하는, 프로세스 조건들을 결정하기 위한 제어 로직을 포함할 수도 있다. 이러한 결정하는 것은 도 11의 1150과 유사할 수도 있고, 이러한 논의는 본 명세서에 인용되었다.
ALD 기법들 및 증착된 막들의 상세한 기술
상기에 논의된 바와 같이, 디바이스들의 사이즈가 계속해서 축소되고, IC들이 3D 트랜지스터들 및 다른 3D 구조들을 채용하는 것으로 이동함에 따라, 컨포멀 막 재료―특히, 유전체들이지만, 또한 다양한 도펀트 함유 재료들―의 정밀한 양 (두께) 을 증착하는 능력이 점점 중요해진다. ALD (Atomic layer deposition) 는 목표된 막 두께를 달성하기 위해 통상적으로 다수의 증착 사이클들을 수반하는 컨포멀 막 증착을 달성하기 위한 일 기법이다.
활성화된 가스상 반응들이 막들을 증착하는데 사용되는 CVD (chemical vapor deposition) 프로세스와는 대조적으로, ALD 프로세스들은 층 단위 (layer-by-layer basis) 로 막들을 증착하도록 표면 매개형 증착 반응들을 사용한다. 예를 들어, 일 부류의 ALD 프로세스들에서, 제 1 막 전구체 (P1) 가 가스상으로 프로세싱 챔버 내로 도입되고, 기판으로 노출되며, 기판의 표면 상으로 (통상적으로 표면 활성 자리들의 분포 지점들로) 흡착되게 된다. P1의 화학흡착된 종들 및 물리흡착된 분자들을 포함하여 P1 중 일부 분자들이 기판 표면 상에서 응결된 상을 형성할 수도 있다. 이어서, 화학흡착된 종들만이 남도록 가스 상의 물리흡착된 P1을 제거하기 위해 기판 표면을 둘러싸는 볼륨이 배기된다. 이어서, 기판 표면에 P2의 일부 분자들이 흡착되도록 제 2 막 전구체 (P2) 가 프로세싱 챔버 내로 도입될 수도 있다. 프로세싱 챔버 내의 기판을 둘러싸는 볼륨이 다시 배기될 수도 있고, 이 때 결합되지 않은 P2를 제거한다. 이어서, 기판에 제공된 에너지 (예를 들어, 열적 에너지 또는 플라즈마 에너지) 가 P1과 P2의 흡착된 분자들 간의 표면 반응들을 활성화하여서 막 층을 형성한다. 마지막으로, 반응되지 않은 P1 및/또는 P2 및/또는 존재한다면 반응 부산물을 제거하도록 다시 기판 주변의 볼륨이 배기되어 단일 ALD 사이클이 종료된다.
다양한 화학물질들을 갖는 컨포멀 막들을 증착하기 위한 ALD 기법들 -그리고 기본적인 ALD 프로세스 시퀀스에 대한 반응 변형들- 은 명칭이 "PLASMA ACTIVATED CONFORMAL FILM DEPOSITION" (대리인 관리 번호 NOVLP405) 인 2011년 4월 11일 출원된 미국 특허 출원 번호 제 13/084,399 호, 명칭이 "PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION"이고, 이제 미국 특허 제 8,637,411 (대리인 관리 번호 NOVLP427) 호인, 2011년 9월 23일 출원된 미국 특허 출원 번호 제 13/242,084 호, 명칭이 "PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION" (대리인 관리 번호 NOVLP428) 인 2011년 9월 1일 출원된 미국 특허 출원 번호 제 13/224,240 호, 및 명칭이 "CONFORMAL DOPING VIA PLASMA ACTIVATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION" (대리인 관리 번호 NOVLP488) 인 2012년 9월 7일 출원된 미국 특허 출원 번호 제 13/607,386 호에 기술되고, 각각은 모든 목적들을 위해 전체가 참조로서 본 명세서에 통합된다. 이들 사전 출원들에 기술된 바와 같이, 기판 상에 재료의 단일 층을 증착하기 위한 기본적인 ALD 사이클은: (i) 막 전구체가 흡착 제한된 층을 형성하도록 프로세스 스테이션에서 막 전구체를 기판 상에 흡착시키는 단계, (ii) 프로세스 스테이션의 근방으로부터, 존재한다면, 흡착되지 않은 전구체 ("흡착되지 않은 전구체"는 탈착된 전구체를 포함하도록 규정됨) 를 제거하는 단계, (iii) 기판 상에 막 층을 형성하도록, 흡착된 막 전구체를 반응시키는 단계, 및 선택가능하게 (iv) 프로세스 스테이션의 근방으로부터 탈착된 막 전구체 및/또는 반응 부산물을 제거하는 단계를 포함할 수도 있다. 동작 (ii) 및 동작 (iv) 에서의 제거는 기판을 둘러싸는 볼륨을 퍼지, 배기, 베이스 압력으로의 펌프 다운 ("pump-to-base") 등을 통해 이루어질 수도 있다. 일부 실시예들에서, 퍼지 가스는 주 플라즈마 피드 가스와 동일할 수도 있다. 전술한 동작들의 시퀀스 (i) 내지 (iv) 는 막의 단일 층의 형성을 발생시키는 단일 ALD 사이클을 나타낸다. 그러나, ALD를 통해 형성된 막의 단일 층이 통상적으로 매우 박형이기 때문에―종종 단지 단일 분자 두께임― 복수의 ALD 사이클들은 인식가능한 두께의 막을 구축하도록 순서대로 반복된다. 따라서, 소위 N 층들의 막이 증착되는 것이 목표된다면 (또는, 동일하게, N 층들의 막이라고 할 수도 있음), 복수의 ALD 사이클들 (동작들 (i) 내지 (iv)) 이 순서대로 N 회 반복될 수도 있다.
이 동작들 (i) 내지 (iv) 의 기본적인 ALD 시퀀스는 상기 기술된 예에서와 같이, 2 개의 화학흡착된 반응성 종들 P1 및 P2를 수반할 필요가 없고, 심지어 제 2 반응 종을 수반할 필요가 없지만, 이들 가능성들/옵션들이 수반된 목표된 증착 화학물질들에 따라 채용될 수도 있다는 것을 주의한다.
그러나, ALD의 흡착 제한된 특성으로 인해, 단일의 사이클의 ALD는 단지 재료의 얇은 막을 증착하고, 종종 재료의 단일 모노레이어 (monolayer) 만을 증착한다. 예를 들어, 막 전구체 도징 동작들의 노출 시간 및 막 전구체들의 (기판 표면으로의) 부착 계수들에 따라, 각각의 ALD 사이클은 단지 약 0.5 내지 3 Å 두께의 막 층을 증착할 수 있다. 따라서, 통상적인 ALD 사이클의 동작들의 시퀀스―방금 기술된 동작들 (i) 내지 (iv)―는 일반적으로 목표된 두께의 컨포멀 막을 형성하도록, 복수 회 반복된다. 따라서, 일부 실시예들에서, 동작들 (i) 내지 (iv) 는 적어도 1 회, 또는 적어도 2 회, 또는 적어도 3 회, 또는 적어도 5 회, 또는 적어도 7 회, 또는 적어도 10 회가 연달아 연속적으로 반복된다. ALD 막은 ALD 사이클 당 약 0.1 Å 내지 약 2.5 Å, 또는 ALD 사이클 당 약 0.2 Å 내지 약 2.0 Å, 또는 ALD 사이클 당 약 0.3 Å 내지 약 1.8 Å, 또는 ALD 사이클 당 약 0.5 Å 내지 약 1.5 Å, 또는 ALD 사이클 당 약 0.1 Å 내지 약 1.5 Å, 또는 ALD 사이클 당 약 0.2 Å 내지 약 1.0 Å, 또는 ALD 사이클 당 약 0.3 Å 내지 약 1.0 Å, 또는 ALD 사이클 당 약 0.5 Å 내지 약 1.0 Å의 레이트로 증착될 수도 있다.
일부 막 형성 화학 물질들에서, 보조 반응물질 또는 공반응물질 (co-reactant)―부가적으로 "막 전구체"라고 지칭되는―이 또한 채용될 수도 있다. 이러한 특정한 실시예들에서, 보조 반응 물질 또는 공반응물질은 단계들 (i) 내지 (iv) 의 서브세트 동안 또는 각각의 단계들 (i) 내지 (iv) 동안, 단계들이 반복되기 때문에 연속적으로 흐를 수도 있다. 일부 실시예들에서, 이러한 다른 반응성 화학물 종들 (보조 반응 물질, 공반응물질, 등) 은 막 전구체 (상기 기술된 전구체들 P1 및 P2를 수반하는 예에서와 같이) 와 반응하기 전에 막 전구체와 함께 기판 표면 상에 흡착될 수도 있지만, 다른 실시예들에서, 다른 반응성 화학물 종들은 그 자체가 미리 기판의 표면 상에 흡착하지 않고 접촉하기 때문에 흡착된 막 전구체와 반응할 수도 있다. 또한, 일부 실시예들에서, 흡착된 막 전구체의 반응 동작은 플라즈마와 흡착된 막 전구체의 반응을 수반할 수도 있다. 플라즈마는 기판 표면 상에서 막-형성 반응을 구동하기 위한 에너지를 제공할 수도 있다. 이러한 특정한 실시예들에서, 플라즈마는 적합한 RF 전력의 인가로 반응 챔버 내 (일부 실시예들에서, 원격으로 생성될 수도 있지만) 에서 생성된 산화성 플라즈마일 수도 있다. 다른 실시예들에서, 산화성 플라즈마 대신, 불활성 플라즈마가 사용될 수도 있다. 산화 플라즈마는 O2, N2O, 또는 CO2와 같은 하나 이상의 산화제들로부터 형성될 수도 있고, Ar, N2, 또는 He와 같은 하나 이상의 희석제들을 선택적으로 포함할 수도 있다. 일 실시예에서, 산화 플라즈마는 O2 및 Ar로부터 형성된다. 적합한 불활성 플라즈마는 He 또는 Ar과 같은 하나 이상의 불활성 가스들로부터 형성될 수도 있다. 또한 ALD 프로세스들에 대한 변화들이 인용된 (그리고 참조로서 통합된) 종래의 특허 출원들에서 상세히 기술된다.
일부 실시예들에서, 다층 증착된 막은 교번하는 조성으로, 예를 들어, 일 조성을 갖는 다수의 층들을 순차적으로 컨포멀하게 증착하고, 이어서 다른 조성을 갖는 다수의 층들을 순차적으로 컨포멀하게 증착하고, 이어서 이들 두 시퀀스들을 잠재적으로 반복 및 교번함으로써, 형성된 영역들/부분들을 포함할 수도 있다. 이러한 양태들의 증착된 ALD 막들은, 예를 들어, 모든 목적들을 위해 전체가 본 명세서에 참조로서 통합된, 명칭이 "CONFORMAL DOPING VIA PLASMA ACTIVATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION" (대리인 관리 번호 NOVLP488) 인, 2012년 9월 7일 출원된 미국 특허 출원 번호 제 13/607,386 호에 기술된다. 교번하는 조성의 부분들 -아래에 놓인 목표 IC 구조체 또는 기판 영역을 도핑하기 위해 사용된 막들을 포함하는- 을 갖는 컨포멀 막들 및 이들 막들을 형성하는 방법들의 추가 예들은, 명칭이 "PLASMA ACTIVATED CONFORMAL FILM DEPOSITION" (대리인 관리 번호 NOVLP405) 인 2011년 4월 11일 출원된 미국 특허 출원 번호 제 13/084,399 호, 명칭이 "PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION"이고, 이제 미국 특허 제 8,637,411 (대리인 관리 번호 NOVLP427) 호인, 2011년 9월 23일 출원된 미국 특허 출원 번호 제 13/242,084 호, 명칭이 "PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION" (대리인 관리 번호 NOVLP428) 인 2011년 9월 1일 출원된 미국 특허 출원 번호 제 13/224,240 호, 및 명칭이 "CONFORMAL DOPING VIA PLASMA ACTIVATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION" (대리인 관리 번호 NOVLP488) 인 2012년 9월 7일 출원된 미국 특허 출원 번호 제 13/607,386 호; 명칭이 "CAPPED ALD FILMS FOR DOPING FIN-SHAPED CHANNEL REGIONS OF 3-D IC TRANSISTORS"인 2014년 2월 28일 출원된 미국 특허 출원 번호 제 14/194,549 호에 기술되고, 각각은 모든 목적들을 위해 전체가 참조로서 본 명세서에 통합된다.
상기 참조된 명세서들에 상세히 설명된 바와 같이, ALD 프로세스들은 종종 컨포멀한 실리콘 옥사이드 막들 (SiOx) 을 증착하도록 사용되지만, ALD 프로세스들은 또한 전술한 통합된 명세서들에 개시된 바와 같이 다른 화학물질들의 컨포멀한 유전체 막들을 증착하기 위해 사용될 수도 있다. ALD-형성된 유전체 막들은, 일부 실시예들에서, 실리콘 카바이드 (SiC) 재료, 실리콘 나이트라이드 (SiN) 재료, 실리콘 카보나이트라이드 (SiCN) 재료 또는 이들의 조합을 포함할 수도 있다. 실리콘-카본-옥사이드들 및 실리콘-카본-옥시나이트라이드들, 및 실리콘-카본-나이트라이드들이 또한 일부 실시예에서 ALD-형성된 막들로 형성될 수도 있다. 이들 타입들의 막들을 증착하기 위한 방법들, 기법들, 및 동작들은 모든 목적들을 위해 본 명세서에 참조로서 통합된, 명칭이 "REMOTE PLASMA BASED DEPOSITION OF SiOC CLASS OF FILMS"이고, 대리인 관리 번호 NOVLP466/NVLS003722인 2012년 6월 12일 출원된 미국 특허 출원 번호 제 13/494,836 호; 명칭이 "METHOD TO OBTAIN SiC CLASS OF FILMS OF DESIRED COMPOSITION AND FILM PROPERTIES"이고, 대리인 관리 번호 LAMRP046/3149인 2013년 5월 31일 출원된 미국 특허 출원 번호 제 13/907,699 호; 명칭이 "GROUND STATE HYDROGEN RADICAL SOURCES FOR CHEMICAL VAPOR DEPOSITION OF SILICON-CARBON-CONTAINING FILMS"인 미국 특허 출원 번호 제 14/062,648 호; 및 명칭이 "CAPPED ALD FILMS FOR DOPING FIN-SHAPED CHANNEL REGIONS OF 3-D IC TRANSISTORS"인 미국 특허 출원 번호 제 14/194,549 호에 상세히 기술되었다.
ALD를 통한 막 증착의 다른 예들은 상기에 참조로서 통합되고 열거된 특허 출원들 (미국 특허 출원 번호 13/084,399, 13/242,084, 13/224,240, 및 14/194,549) 에 기술된 바와 같이 도펀트-함유 막들을 증착하기 위한 화학물질들을 포함한다. 상기 출원들에 기술된 바와 같이, 다양한 도펀트-함유 막 전구체들이 붕소-도핑된 실리케이트 유리 (BSG), 인-도핑된 실리케이트 유리 (PSG), 붕소 인 도핑된 실리케이트 유리 (BPSG) 비소 (As) 도핑된 실리케이트 유리 (ASG) 등과 같은 도펀트-함유 막들을 형성하도록, 사용될 수도 있다. 도펀트-함유 막들은 B2O3, B2O, P2O5, P2O3, As2O3, As2O5, 등을 포함할 수도 있다. 따라서, 붕소 이외의 도펀트들을 갖는 도펀트-함유 막들이 실현될 수도 있다. 예들은 갈륨, 인, 또는 비소 도펀트들, 또는 다른 원자가 III 원소 또는 V 원소들과 같은 반도체 기판을 도핑하기에 적절한 다른 원소들을 포함한다.
ALD 프로세스 조건들에 따라, ALD 프로세스들은 다양한 온도들에서 수행될 수도 있다. 일부 실시예들에서, ALD 반응 챔버 내의 적합한 온도들은 약 25 ℃ 내지 450 ℃, 또는 약 50 ℃ 내지 300 ℃, 또는 약 20 ℃ 내지 400 ℃, 또는 약 200 ℃ 내지 400 ℃, 또는 약 100 ℃ 내지 350 ℃의 범위일 수도 있다.
유사하게, ALD 프로세스들은 다양한 ALD 반응 챔버 압력들에서 수행될 수도 있다. 일부 실시예들에서, 반응 챔버 내의 적합한 압력들은 약 10 mTorr 내지 10 Torr, 또는 약 20 mTorr 내지 8 Torr, 또는 약 50 mTorr 내지 5 Torr, 또는 약 100 mTorr 내지 2 Torr의 범위일 수도 있다.
다양한 RF 전력 레벨들이 동작 (iii) 에서 사용된다면 플라즈마를 생성하도록 채용될 수도 있다. 일부 실시예들에서, 적합한 RF 전력은 약 100 W 내지 10 kW, 또는 약 200 W 내지 6 kW, 또는 약 500 W, 및 3 kW, 또는 약 1 kW 내지 2 kW의 범위일 수도 있다.
다양한 막 전구체 플로우 레이트들이 동작 (i) 에서 채용될 수도 있다. 일부 실시예들에서, 적합한 플로우 레이트들은 약 0.1 mL/min 내지 약 10 mL/min, 또는 약 0.5 mL/min 내지 약 5 mL/min, 또는 약 1 mL/min 내지 약 3 mL/min의 범위일 수도 있다.
다양한 가스 플로우 레이트들이 다양한 동작들에서 사용될 수도 있다. 일부 실시예들에서, 일반적인 가스 플로우 레이트들은 약 1 L/min 내지 약 20 L/min, 또는 약 2 L/min 내지 약 10 L/min의 범위일 수도 있다. 동작들 (ii) 및 (iv) 에서 선택적인 불활성 퍼지 단계들에 대해, 채용된 버스트 (burst) 플로우 레이트는 약 20 L/min 내지 약 100 L/min, 또는 약 40 L/min 내지 약 60 L/min의 범위일 수도 있다.
다시 한번, 일부 실시예들에서, 펌프 다운 (pump-to-base) 단계는 하나 이상의 진공 펌프들에 반응 챔버를 직접적으로 노출시킴으로써 반응 챔버를 기준 압력으로 펌핑하는 것을 지칭한다. 일부 실시예들에서, 기준 압력은 통상적으로 겨우 몇 milliTorr (예를 들어, 약 1 내지 20 mTorr) 일 수 있다. 또한, 상기에 나타낸 바와 같이, 펌프 다운 단계는 불활성 퍼지에 의해 동반되거나 동반되지 않을 수도 있고, 따라서 하나 이상의 밸브들이 진공 펌프로의 컨덕턴스 경로로 개방될 때 캐리어 가스들이 흐르거나 흐르지 않을 수도 있다.
또한, 다시 한번, 다수의 ALD 사이클들이 컨포멀 층들의 스택들의 구축을 위해 반복될 수도 있다. 일부 실시예들에서, 각각의 층은 실질적으로 동일한 조성을 가지지만, 다른 실시예들에서, 순차적으로 ALD 증착된 층들은 상이한 조성들을 가질 수도 있지만, 특정한 이러한 실시예들에서, 조성은 층단위로 교번할 수도 있거나, 상기에 기술된 바와 같이, 상이한 조성들을 갖는 층들의 반복하는 시퀀스가 있을 수도 있다. 따라서, 실시예에 따라, 특정한 스택 엔지니어링 개념들은, 상기에 참조로서 통합되고 열거된 특허 출원서들 (미국 특허 출원 번호 13/084,399, 13/242,084, 및 13/224,240) 에 개시된 바와 같이, 이들 막들에서 붕소, 인, 또는 비소 농도를 조정하도록 사용될 수도 있다.
샹들리에 샤워헤드 및 샤워헤드 칼라들의 상세한 기술
ALD 프로세스들에서, 막 전구체는 일반적으로 반응 챔버 내에 교번적으로 존재하여야 하고 그리고 배기되어야 한다. 기생 증착을 방지하기 위해, 프로세싱 챔버 내 과잉 전구체는 다음 전구체를 도입하기 전에 공유된 전구체 경로들 (예컨대 샤워헤드의 스템 내) 프로세싱 챔버로부터 제거된다. 과잉 전구체의 제거는 보통 불활성 가스로 전달 경로 및 챔버를 퍼지함으로써 이루어진다. 그러나, 샹들리에-타입 샤워헤드를 사용할 때, 샤워헤드의 헤드 부분의 하단 표면으로부터 챔버로 흐른 (플라즈마 피드 가스와 동일할 수도 있는) 퍼지 가스는 샤워헤드의 뒤 그리고/또는 뒤쪽, 예를 들어, 스템 둘레에 존재하는 과잉 전구체를 효과적으로 제거하지 못할 수도 있다. 따라서, 인식가능한 양의 기생 증착이 샤워헤드 뒤쪽, 상단 플레이트 상, 그리고/또는 프로세싱 챔버 벽 상에서 일어날 수도 있다. 이는 접지로의 RF 커플링을 종종/발생시킬 수 있기 때문에 고체 유전체로 샤워헤드의 뒤 및/또는 뒤쪽의 사각지대 (dead space) 를 충진하는 것이 일반적으로 불가능하고 그리고/또는 바람직하지 않을 것이다. 따라서, 상기 기술된 바와 같이, 커튼 가스―주 목적이 프로세스 스테이션들 사이를 흐르는 것이어서 스테이션들 사이에 어느 정도의 체적 분리를 제공하는―가 샤워헤드 뒤쪽 프로세싱 챔버로 도입될 수도 있어서, 상기 체적 분리를 제공하는 것에 부가하여, 커튼 가스의 플로우가 또한 샤워헤드 뒤쪽 기생 증착을 방지하도록 작용할 수도 있다. 커튼 가스의 이러한 릴리즈를 구현하기 위한 하드웨어는 상세히 기술되지 않을 것이다.
이제 도 6을 참조하면, 샤워헤드 (670) 를 갖는 프로세싱 챔버 (660) 를 포함하는 기판 프로세싱 시스템 (650) 의 예가 도시된다. 샤워헤드 (670) 는 스템 부분 (672) 및 헤드 부분 (674) 을 포함한다. 헤드 부분 (674) 은 내측 캐비티 (675) 를 규정한다. 유체들, 예컨대 전구체 또는 플라즈마 피드 가스 또는 퍼지 가스 (플라즈마 피드 가스 및 퍼지 가스는 하나 일수도 있고 동일할 수도 있다) 가 스템 부분 (672) 을 통해, 확산 플레이트 (676) 상으로 그리고 내측 캐비티 (675) 내로 흐른다. 이어서 유체들은 샤워헤드의 헤드 부분 (674) 의 하단 표면의 어퍼처들/이격된 홀들 (678) 을 통해 프로세싱 챔버 내로 통과된다.
샤워헤드 (670) 의 스템 부분 (672) 은 샤워헤드 칼라 (680) 에 의해 프로세싱 챔버 (660) 의 상단 벽에 연결된다. 샤워헤드 칼라 (680) 는 일반적으로 단면이 "T"-형상이고 헤드 부분 (681) 및 스템 부분 (683) 을 포함한다. 샤워헤드 칼라 (680) 는 실린더 형상이고 샤워헤드 (670) 의 스템 부분 (672) 을 수용하는 내측 캐비티 (684) 를 규정한다. 복수의 슬롯 형상 어퍼처들 (686) 이 내측 캐비티 (684) 로부터 샤워헤드 칼라 스템 부분 (683) 의 외측 표면 상으로 커튼 가스의 플로우를 허용하도록 스템 부분 (683) 내에 형성된다. 도 6의 슬롯 형상 어퍼처들, 뿐만 아니라 도 3 및 도 4에 도시된 라인들 320의 배향으로부터 명백한 바와 같이, 커튼 가스는 샤워헤드 칼라 내 어퍼처들을 통해 기판의 평면에 실질적으로 평행하고 또한 샤워헤드의 헤드 부분의 하단 표면의 평면에 실질적으로 평행한 방향으로 프로세싱 챔버 내로 흐를 수도 있다.
유체 커넥터 (690) 는 샤워헤드 칼라 (680) 의 헤드 부분 (691) 의 에지에 연결될 수도 있고, 유체 예컨대 커튼 가스를 공급하도록 사용된다. 유체 커넥터 (690) 는 일반적으로 692에서 식별되는 하나 이상의 도관들 및/또는 커넥터들을 포함한다. 샤워헤드 칼라 (680) 의 헤드 부분 (681) 은 유사하게 일반적으로 샤워헤드 칼라 (680) 의 내측 캐비티 (684) 로 유체 (예컨대 커튼 가스) 의 플로우를 지향시키기 위한, 693에서 식별되는 도관들 및/또는 커넥터들을 포함한다.
커튼 가스는 커튼 가스 소스 (695) 에 의해 샤워헤드 칼라 (680) 로, 예를 들어, 유체 커넥터 (690) 로 공급될 수도 있다.
플레이트 (700) 가 샤워헤드 (670) 의 헤드 부분 (674) 과 샤워헤드 칼라 (680) 사이에 배치된다. 플레이트 (700) 는 상부 표면 (704), 센터링 개구 또는 보어 (710), 및 하단 표면 (714) 을 포함한다. 일부 예들에서, 플레이트 (700) 는 세라믹으로 이루어진다. 플레이트 (700) 의 두께는 재료를 최소화하고 접지 또는 기생 플라즈마에 용량성 결합할 수도 있다. 플레이트 (700) 의 상부 표면 (704) 은 유체로 하여금 샤워헤드 칼라 (680) 의 하단 에지와의 사이를 통과하게 하도록 샤워헤드 칼라 (680) 의 하단 에지로부터 이격된다. 센터링 보어 (710) 가 또한 유체로 하여금 스템 부분 (672) 과의 사이를 통과하게 하도록 스템 부분 (672) 으로부터 이격된다. 플레이트의 하단 표면 (714) 은 유체로 하여금 샤워헤드 (670) 의 상부 표면과의 사이를 흐르게 하도록 샤워헤드 (670) 의 상부 표면으로부터 이격된다. 일부 예들에서, 플레이트 (700) 가 생략될 수도 있고, 프로세싱 챔버는 플레이트 (700) 없이 동작될 수도 있다.
다양한 프로세스 스테이션들의 샤워헤드들과 연관된 샤워헤드 칼라들의 스템 부분들의 어퍼처들을 통해 프로세싱 챔버 내로 커튼 가스를 흘리는 것은 프로세싱 챔버의 원격 영역들의 (예컨대 샤워헤드들의 백사이드에서) 원치 않은 거짓 증착을 실질적으로 감소시키고 그리고/또는 억제한다. 슬롯들 및 다른 갭들의 치수들은 이들에서 플라즈마 발광을 방지하고, Peclet 조건으로 하여금 목표된 가스 플로우 레이트들에 대한 역 확산을 방지하기 위해 만족되게 하도록 선택될 수도 있다.
이제 도 7을 참조하면, 샤워헤드 칼라 (680) 의 예가 도시된다. 샤워헤드 칼라 (680) 는 헤드 부분 (681) 및 스템 부분 (683) 을 포함한다. 슬롯들 (686) 은 아치 형상을 가질 수도 있고 스템 부분 (683) 둘레에 배치될 수도 있다. 슬롯들 (686) 은 유체로 하여금 내측 캐비티 (684) 로부터 슬롯들을 통해 흐르게 한다. 헤드 부분 (681) 은 유체 커넥터 (690) 상에 대응하는 매이팅 부분 (mating portion) 과 매이팅하는 매이팅 부분 (718) 을 포함할 수도 있다. 연결될 때, 샤워헤드 칼라 (680) 의 도관 (693) 은 유체 커넥터 (690) 의 도관 (692) 과 정렬된다.
이제 도 8을 참조하면, 샤워헤드 칼라 (680) 를 위한 유체 커넥터 (690) 의 예가 도시된다. 유체 커넥터 (690) 가 제 2 매이팅 부분 (720), 도관 (730), 커넥터 (732), 도관 (734) 및 커넥터 (736) 를 포함하도록 도시되고 유체 커넥터의 다른 구성들이 고려된다.
이제 도 9a 및 도 9b를 참조하면, 플레이트 (700) 의 예들이 도시된다. 도 9a에서, 플레이트 (700) 의 상부 표면 (704) 은 일반적으로 원형 단면 및 플레이트 (700) 의 중심에 배치된 센터링 보어 (710) 를 갖는 것으로 도시된다. 센터링 보어 (710) 는 센터링 보어 (710) 로부터 방사상 내측으로 연장하는 하나 이상의 돌출부들 (740) 을 포함한다. 돌출부들 (740) 은 플레이트 (700) 와 스템 부분 (672) 사이에 균일한 간격을 제공한다. 도 9b에서, 플레이트 (700) 의 하단 표면 (714) 은 프로세싱 챔버의 상단에 대해 하향으로 연장하는 돌출부들 (744) 을 포함하도록 도시된다. 돌출부들 (744) 은 플레이트 (700) 의 하단 표면 (714) 과 샤워헤드 (670) 의 헤드 부분 (674) 의 상부 표면 사이에 균일한 간격을 제공한다. RF 격리/억제 디바이스들은 샤워헤드 뒤쪽 캐비티의 전기장들을 감소시킬 수도 있고 샤워헤드 뒤쪽 영역에서 기생 플라즈마 생성 정도 또는 기회를 더 감소시키는 것을 도울 수도 있다는 것을 또한 주의한다. 예를 들어, 돌출부들 (740 및 744) 은 ―예를 들어 대략 3 ㎜ 이하의 간격이 채용된다면― 기생 플라즈마 생성을 감소시키기 위해 충분히 가까운 간격을 제공할 수도 있다. 통상적인 프로세스 조건들에 대한, 이러한 간격은 플라즈마 시스들과 함께 플라즈마를 형성하기 불충분한 공간을 발생시킨다 (2 개의 플라즈마 시스 길이 미만). 플라즈마의 형성은 플라즈마 밀도, 플라즈마 전자 온도, 및 시스에 걸친 전압에 의해 영향을 받을 수도 있다. 물론, 상기 상세히 논의된 바와 같이, 샤워헤드 칼라들로부터 방출된 커튼 가스로서 Ar 및 O2의 혼합물의 사용은 기생 플라즈마 생성을 방지/최소화 (뿐만 아니라 증착된 막 균일도를 개선) 하기 위한 효과적인 기법이다.

Claims (18)

  1. 멀티-스테이션 반도체 프로세싱 챔버에서 막을 증착하는 방법에 있어서,
    기판 상에 재료를 증착하는 순환적 증착 프로세스의 증착 사이클들 중 제 1 세트 동안, 제 1 프로세스 조건 하의 커튼 가스를 프로세싱 챔버 내의 복수의 스테이션들 중 제 1 스테이션으로 흘리고, 그리고 제 2 프로세스 조건 하의 상기 커튼 가스를 상기 복수의 스테이션들 중 제 2 스테이션으로 흘리는 단계;
    상기 제 1 프로세스 조건을 제 1 조정된 프로세스 조건으로, 상기 제 2 프로세스 조건을 제 2 조정된 프로세스 조건으로, 또는 모두를 조정하는 단계; 및
    상기 제 1 조정된 프로세스 조건, 상기 제 2 조정된 프로세스 조건, 또는 모두에 따라 상기 순환적 증착 프로세스의 상기 증착 사이클들 중 제 2 세트 동안 상기 커튼 가스를 상기 제 1 스테이션 및 상기 제 2 스테이션으로 흘리는 단계로서, 상기 흘림은 상기 기판 상에 증착된 상기 재료의 두께의 균일도를 개선하는, 상기 흘리는 단계를 포함하는, 막을 증착하는 방법.
  2. 제 1 항에 있어서,
    상기 제 1 프로세스 조건 및 상기 제 2 프로세스 조건은 각각의 상기 커튼 가스의 제 1 플로우 조건 및 상기 커튼 가스의 제 2 플로우 조건을 포함하는, 막을 증착하는 방법.
  3. 제 2 항에 있어서,
    상기 제 1 플로우 조건 및 상기 제 2 플로우 조건 각각은 각각의 상기 커튼 가스의 플로우레이트 및/또는 상기 커튼 가스의 조성을 포함하고, 그리고 상기 제 1 조정된 프로세스 조건 및 상기 제 2 조정된 프로세스 조건 각각은 각각의 상기 커튼 가스의 조정된 플로우레이트를 포함하는, 막을 증착하는 방법.
  4. 제 3 항에 있어서,
    상기 각각의 상기 커튼 가스의 조정된 플로우레이트는 상기 증착 사이클들 중 제 2 세트의 반응 페이즈의 일부 동안 흐르는, 막을 증착하는 방법.
  5. 제 1 항에 있어서,
    상기 제 1 조정된 프로세스 조건 및 상기 제 2 조정된 프로세스 조건 각각은 각각의 상기 커튼 가스의 조정된 조성을 포함하는, 막을 증착하는 방법.
  6. 제 1 항에 있어서,
    상기 커튼 가스는 순수 분자 산소를 포함하는, 막을 증착하는 방법.
  7. 제 1 항에 있어서,
    상기 커튼 가스는 산소와 불활성 가스의 혼합물을 포함하는, 막을 증착하는 방법.
  8. 제 7 항에 있어서,
    상기 불활성 가스는 아르곤 또는 질소를 포함하고; 그리고
    상기 제 1 조정된 프로세스 조건 및 상기 제 2 조정된 프로세스 조건 각각은 각각의 상기 커튼 가스의 조정된 조성을 포함하는, 막을 증착하는 방법.
  9. 제 1 항에 있어서,
    상기 순환적 증착 프로세스는 원자 층 증착 (atomic layer deposition) 프로세스를 포함하는, 막을 증착하는 방법.
  10. 멀티-스테이션 반도체 프로세싱 장치에 있어서,
    가스 전달 시스템;
    상기 가스 전달 시스템에 커플링되고, 적어도 제 1 스테이션 및 제 2 스테이션을 포함하는 프로세싱 챔버; 및
    제어기를 포함하고, 상기 제어기는, 멀티-스테이션 반도체 프로세싱 장치로 하여금,
    기판 상에 재료를 증착하는 순환적 증착 프로세스의 증착 사이클들 중 제 1 세트 동안, 제 1 프로세스 조건 하의 커튼 가스를 상기 제 1 스테이션으로 흘리고, 그리고 제 2 프로세스 조건 하의 상기 커튼 가스를 상기 제 2 스테이션으로 흘리게 하고;
    상기 제 1 프로세스 조건을 제 1 조정된 프로세스 조건으로, 상기 제 2 프로세스 조건을 제 2 조정된 프로세스 조건으로, 또는 모두를 조정하게 하고; 그리고
    상기 제 1 조정된 프로세스 조건, 상기 제 2 조정된 프로세스 조건, 또는 모두에 따라 상기 순환적 증착 프로세스의 증착 사이클들 중 제 2 세트 동안 상기 커튼 가스를 상기 제 1 스테이션 및 상기 제 2 스테이션으로 흘리게 하고, 이에 따라 상기 기판 상에 증착된 상기 재료의 두께의 균일도를 개선하도록 구성되는, 멀티-스테이션 반도체 프로세싱 장치.
  11. 제 10 항에 있어서,
    상기 제 1 프로세스 조건 및 상기 제 2 프로세스 조건은 각각의 상기 커튼 가스의 제 1 플로우 조건 및 상기 커튼 가스의 제 2 플로우 조건을 포함하는, 멀티-스테이션 반도체 프로세싱 장치.
  12. 제 11 항에 있어서,
    상기 제 1 플로우 조건 및 상기 제 2 플로우 조건 각각은 각각의 상기 커튼 가스의 플로우레이트 및/또는 상기 커튼 가스의 조성을 포함하고, 그리고 상기 제 1 조정된 프로세스 조건 및 상기 제 2 조정된 프로세스 조건 각각은 각각의 상기 커튼 가스의 조정된 플로우레이트를 포함하는, 멀티-스테이션 반도체 프로세싱 장치.
  13. 제 12 항에 있어서,
    상기 각각의 상기 커튼 가스의 조정된 플로우레이트는 상기 증착 사이클들 중 제 2 세트의 반응 페이즈의 일부 동안 흐르는, 멀티-스테이션 반도체 프로세싱 장치.
  14. 제 11 항에 있어서,
    상기 제 1 조정된 프로세스 조건 및 상기 제 2 조정된 프로세스 조건 각각은 각각의 상기 커튼 가스의 조정된 조성을 포함하는, 멀티-스테이션 반도체 프로세싱 장치.
  15. 제 10 항에 있어서,
    상기 커튼 가스는 순수 분자 산소를 포함하는, 멀티-스테이션 반도체 프로세싱 장치.
  16. 제 10 항에 있어서,
    상기 커튼 가스는 산소와 불활성 가스의 혼합물을 포함하는, 멀티-스테이션 반도체 프로세싱 장치.
  17. 제 16 항에 있어서,
    상기 불활성 가스는 아르곤 또는 질소를 포함하고; 그리고
    상기 제 1 조정된 프로세스 조건 및 상기 제 2 조정된 프로세스 조건 각각은 각각의 상기 커튼 가스의 조정된 조성을 포함하는, 멀티-스테이션 반도체 프로세싱 장치.
  18. 제 10 항에 있어서,
    상기 순환적 증착 프로세스는 원자 층 증착 프로세스를 포함하는, 멀티-스테이션 반도체 프로세싱 장치.
KR1020230160858A 2016-06-17 2023-11-20 막 프로파일 조정을 위한 샤워헤드 커튼 가스 방법 및 시스템 KR20230164622A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/186,275 US9738977B1 (en) 2016-06-17 2016-06-17 Showerhead curtain gas method and system for film profile modulation
US15/186,275 2016-06-17
KR1020220055269A KR102605484B1 (ko) 2016-06-17 2022-05-04 막 프로파일 조정을 위한 샤워헤드 커튼 가스 방법 및 시스템

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020220055269A Division KR102605484B1 (ko) 2016-06-17 2022-05-04 막 프로파일 조정을 위한 샤워헤드 커튼 가스 방법 및 시스템

Publications (1)

Publication Number Publication Date
KR20230164622A true KR20230164622A (ko) 2023-12-04

Family

ID=59581456

Family Applications (4)

Application Number Title Priority Date Filing Date
KR1020170072972A KR102333807B1 (ko) 2016-06-17 2017-06-12 막 프로파일 조정을 위한 샤워헤드 커튼 가스 방법 및 시스템
KR1020210166181A KR102396162B1 (ko) 2016-06-17 2021-11-26 막 프로파일 조정을 위한 샤워헤드 커튼 가스 방법 및 시스템
KR1020220055269A KR102605484B1 (ko) 2016-06-17 2022-05-04 막 프로파일 조정을 위한 샤워헤드 커튼 가스 방법 및 시스템
KR1020230160858A KR20230164622A (ko) 2016-06-17 2023-11-20 막 프로파일 조정을 위한 샤워헤드 커튼 가스 방법 및 시스템

Family Applications Before (3)

Application Number Title Priority Date Filing Date
KR1020170072972A KR102333807B1 (ko) 2016-06-17 2017-06-12 막 프로파일 조정을 위한 샤워헤드 커튼 가스 방법 및 시스템
KR1020210166181A KR102396162B1 (ko) 2016-06-17 2021-11-26 막 프로파일 조정을 위한 샤워헤드 커튼 가스 방법 및 시스템
KR1020220055269A KR102605484B1 (ko) 2016-06-17 2022-05-04 막 프로파일 조정을 위한 샤워헤드 커튼 가스 방법 및 시스템

Country Status (6)

Country Link
US (2) US9738977B1 (ko)
JP (1) JP7171165B2 (ko)
KR (4) KR102333807B1 (ko)
CN (4) CN115584488A (ko)
SG (2) SG10201704782VA (ko)
TW (1) TWI743135B (ko)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9617638B2 (en) * 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US9738977B1 (en) 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation
US10927459B2 (en) 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
KR102560283B1 (ko) * 2018-01-24 2023-07-26 삼성전자주식회사 샤워 헤드를 설계하고 제조하는 장치 및 방법
US11241720B2 (en) * 2018-03-22 2022-02-08 Tel Manufacturing And Engineering Of America, Inc. Pressure control strategies to provide uniform treatment streams in the manufacture of microelectronic devices
KR102501472B1 (ko) * 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7205021B2 (ja) * 2018-05-24 2023-01-17 東京エレクトロン株式会社 気相ラジカルの制御のための複数ゾーンガス噴射
US11913113B2 (en) * 2018-08-22 2024-02-27 Lam Research Corporation Method and apparatus for modulating film uniformity
US11220747B2 (en) * 2018-10-29 2022-01-11 Applied Materials, Inc. Complementary pattern station designs
CN109390435B (zh) * 2018-12-03 2024-01-26 乐山新天源太阳能科技有限公司 用于太阳能电池抗pid设备的氮气和氧气单向混合装置
US11302526B2 (en) * 2019-01-14 2022-04-12 Samsung Electronics Co., Ltd. Supercritical drying apparatus and method of drying substrate using the same
KR20210127259A (ko) * 2019-03-11 2021-10-21 램 리써치 코포레이션 플라즈마 챔버들을 세정하기 위한 장치
WO2020210031A1 (en) * 2019-04-11 2020-10-15 Applied Materials, Inc. Plasma densification within a processing chamber
WO2020247966A1 (en) * 2019-06-07 2020-12-10 Lam Research Corporation Independently adjustable flowpath conductance in multi-station semiconductor processing
US20230030188A1 (en) * 2021-07-22 2023-02-02 Entegris, Inc. Adsorbents and methods for reducing contamination in wafer container microenvironments
US20230151489A1 (en) * 2021-11-12 2023-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition Apparatus and Method
US20230195078A1 (en) * 2021-12-21 2023-06-22 Applied Materials, Inc. Methods and mechanisms for adjusting process chamber parameters during substrate manufacturing

Family Cites Families (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2075455B (en) 1980-04-30 1984-08-22 Nippon Steel Corp Apparatus and method for supporting a metal strip under a static gas pressure
US5755886A (en) 1986-12-19 1998-05-26 Applied Materials, Inc. Apparatus for preventing deposition gases from contacting a selected region of a substrate during deposition processing
US6002109A (en) 1995-07-10 1999-12-14 Mattson Technology, Inc. System and method for thermal processing of a semiconductor substrate
US5892235A (en) 1996-05-15 1999-04-06 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for doping
US6143081A (en) 1996-07-12 2000-11-07 Tokyo Electron Limited Film forming apparatus and method, and film modifying apparatus and method
US6217715B1 (en) 1997-02-06 2001-04-17 Applied Materials, Inc. Coating of vacuum chambers to reduce pump down time and base pressure
DE19852552C2 (de) 1998-11-13 2000-10-05 Daimler Chrysler Ag Verfahren zum Betrieb eines im Viertakt arbeitenden Verbrennungsmotors
US6333272B1 (en) * 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US20020104556A1 (en) 2001-02-05 2002-08-08 Suraj Puri Controlled fluid flow and fluid mix system for treating objects
US6902620B1 (en) * 2001-12-19 2005-06-07 Novellus Systems, Inc. Atomic layer deposition systems and methods
US6866255B2 (en) 2002-04-12 2005-03-15 Xerox Corporation Sputtered spring films with low stress anisotropy
US6932871B2 (en) * 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US6890596B2 (en) * 2002-08-15 2005-05-10 Micron Technology, Inc. Deposition methods
KR100520900B1 (ko) * 2003-03-13 2005-10-12 주식회사 아이피에스 Ald 박막증착방법
KR100505367B1 (ko) * 2003-03-27 2005-08-04 주식회사 아이피에스 박막증착용 반응용기
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
WO2006088463A1 (en) * 2005-02-17 2006-08-24 Selitser Simon I Atmospheric pressure molecular layer cvd
KR100673979B1 (ko) 2005-03-17 2007-01-24 안강호 초미립자 제조장치 및 그 방법
KR101218114B1 (ko) 2005-08-04 2013-01-18 주성엔지니어링(주) 플라즈마 식각 장치
KR20070098104A (ko) * 2006-03-31 2007-10-05 삼성전자주식회사 가스커튼을 구비한 박막증착장치
US8409351B2 (en) 2007-08-08 2013-04-02 Sic Systems, Inc. Production of bulk silicon carbide with hot-filament chemical vapor deposition
JP2009071017A (ja) * 2007-09-13 2009-04-02 Nuflare Technology Inc 気相成長装置及び気相成長方法
US20090109595A1 (en) 2007-10-31 2009-04-30 Sokudo Co., Ltd. Method and system for performing electrostatic chuck clamping in track lithography tools
JP4933409B2 (ja) 2007-11-29 2012-05-16 株式会社ニューフレアテクノロジー 半導体製造装置および半導体製造方法
KR101417728B1 (ko) 2008-03-12 2014-07-11 삼성전자주식회사 지르코늄 유기산질화막 형성방법 및 이를 이용하는 반도체장치 및 그 제조방법
US20090270849A1 (en) 2008-03-17 2009-10-29 Arqos Surgical Inc. Electrosurgical Device and Method
JP5253933B2 (ja) * 2008-09-04 2013-07-31 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
DE102008049494A1 (de) 2008-09-27 2010-04-08 Xtreme Technologies Gmbh Verfahren und Anordnung zum Betreiben von plasmabasierten kurzwelligen Strahlungsquellen
JP5107285B2 (ja) 2009-03-04 2012-12-26 東京エレクトロン株式会社 成膜装置、成膜方法、プログラム、およびコンピュータ可読記憶媒体
CN102087955B (zh) 2009-12-04 2012-10-31 中芯国际集成电路制造(上海)有限公司 改善等离子体工艺中反应腔室内部颗粒状况的方法
CN102136410B (zh) 2010-01-27 2013-04-10 中芯国际集成电路制造(上海)有限公司 用于半导体工艺腔的清洁方法
US9028924B2 (en) * 2010-03-25 2015-05-12 Novellus Systems, Inc. In-situ deposition of film stacks
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
CN103003924B (zh) 2010-06-28 2015-07-08 东京毅力科创株式会社 等离子体处理装置及方法
US20120043198A1 (en) 2010-08-18 2012-02-23 Semiconductor Energy Laboratory Co., Ltd. Film formation apparatus and film formation method
CN102031498B (zh) * 2010-12-17 2016-05-18 中微半导体设备(上海)有限公司 用于iii-v族薄膜生长反应室的基片支撑座、其反应室及工艺处理方法
EP2481833A1 (en) * 2011-01-31 2012-08-01 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus for atomic layer deposition
US9695510B2 (en) * 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
NL2006962C2 (nl) * 2011-06-17 2012-12-18 Draka Comteq Bv Inrichting en werkwijze voor het vervaardigen van een optische voorvorm.
US10132008B2 (en) 2012-02-07 2018-11-20 Mitsubishi Chemical Corporation Horizontal heat treatment device
KR101430657B1 (ko) * 2012-05-29 2014-09-23 주식회사 에스에프에이 원자층 증착장치
KR101832404B1 (ko) * 2012-06-22 2018-02-26 주식회사 원익아이피에스 가스분사장치 및 기판처리장치
US9388494B2 (en) * 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
JP5953994B2 (ja) * 2012-07-06 2016-07-20 東京エレクトロン株式会社 成膜装置及び成膜方法
US20140044889A1 (en) 2012-08-10 2014-02-13 Globalfoundries Inc. Methods of making stressed material layers and a system for forming such layers
KR20140033911A (ko) 2012-09-11 2014-03-19 에이에스엠 아이피 홀딩 비.브이. 증착 장치 및 증착 방법
TWI480417B (zh) 2012-11-02 2015-04-11 Ind Tech Res Inst 具氣幕之氣體噴灑裝置及其薄膜沉積裝置
US9399228B2 (en) 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
TWI624560B (zh) 2013-02-18 2018-05-21 應用材料股份有限公司 用於原子層沉積的氣體分配板及原子層沉積系統
US8940646B1 (en) 2013-07-12 2015-01-27 Lam Research Corporation Sequential precursor dosing in an ALD multi-station/batch reactor
US20150030766A1 (en) * 2013-07-25 2015-01-29 Novellus Systems, Inc. Pedestal bottom clean for improved fluorine utilization and integrated symmetric foreline
US9355819B2 (en) 2013-08-16 2016-05-31 Applied Materials, Inc. Elongated capacitively coupled plasma source for high temperature low pressure environments
KR102271731B1 (ko) 2013-11-26 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 배치 프로세싱을 위한 경사진 플레이트 및 사용 방법들
JP6616070B2 (ja) 2013-12-01 2019-12-04 ユージェヌス インコーポレイテッド 誘電性複合体構造の作製方法及び装置
US9514933B2 (en) 2014-01-05 2016-12-06 Applied Materials, Inc. Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition
CN105917445B (zh) 2014-01-13 2020-05-22 应用材料公司 具有空间原子层沉积的自对准式双图案化
KR102135740B1 (ko) 2014-02-27 2020-07-20 주식회사 원익아이피에스 기판 처리 장치 및 기판 처리 방법
US9336997B2 (en) 2014-03-17 2016-05-10 Applied Materials, Inc. RF multi-feed structure to improve plasma uniformity
TW201610215A (zh) 2014-03-27 2016-03-16 應用材料股份有限公司 用於低熱預算處理的循環尖峰退火化學曝露
CN206516610U (zh) 2014-04-18 2017-09-22 应用材料公司 基板处理腔室
US9797042B2 (en) * 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
US20150380221A1 (en) 2014-06-30 2015-12-31 Applied Materials, Inc. Hole Pattern For Uniform Illumination Of Workpiece Below A Capacitively Coupled Plasma Source
US9617638B2 (en) * 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
JP6298383B2 (ja) * 2014-08-19 2018-03-20 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
TWI696724B (zh) 2014-09-10 2020-06-21 美商應用材料股份有限公司 空間原子層沈積中的氣體分離控制
US10273578B2 (en) 2014-10-03 2019-04-30 Applied Materials, Inc. Top lamp module for carousel deposition chamber
US20160138160A1 (en) 2014-11-18 2016-05-19 Lam Research Corporation Reactive ultraviolet thermal processing of low dielectric constant materials
US9508547B1 (en) 2015-08-17 2016-11-29 Lam Research Corporation Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors
US9738977B1 (en) 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation

Also Published As

Publication number Publication date
US10202691B2 (en) 2019-02-12
KR20220066008A (ko) 2022-05-23
SG10201704782VA (en) 2018-01-30
US20170362713A1 (en) 2017-12-21
TWI743135B (zh) 2021-10-21
SG10202012689YA (en) 2021-01-28
KR102396162B1 (ko) 2022-05-09
CN115584489A (zh) 2023-01-10
KR102605484B1 (ko) 2023-11-23
CN115584488A (zh) 2023-01-10
JP2017224816A (ja) 2017-12-21
JP7171165B2 (ja) 2022-11-15
US9738977B1 (en) 2017-08-22
KR20170142891A (ko) 2017-12-28
KR102333807B1 (ko) 2021-12-01
TW201809342A (zh) 2018-03-16
KR20210150331A (ko) 2021-12-10
CN115584490A (zh) 2023-01-10
CN107523804A (zh) 2017-12-29

Similar Documents

Publication Publication Date Title
KR102605484B1 (ko) 막 프로파일 조정을 위한 샤워헤드 커튼 가스 방법 및 시스템
KR102563427B1 (ko) 2차 퍼지 가능한 ald 시스템에서 샤워헤드 후면 기생 플라즈마 억제를 위한 방법들 및 장치들
US11075127B2 (en) Suppressing interfacial reactions by varying the wafer temperature throughout deposition
KR102635018B1 (ko) 대체적 ald 반응기들 내에서 에지 균일도 조정을 위한 조성 매칭된 커튼 가스 혼합물들
US10577691B2 (en) Single ALD cycle thickness control in multi-station substrate deposition systems
KR20230144995A (ko) 갭충진을 위한 컨포멀 막 증착
US20210395885A1 (en) Throughput improvement with interval conditioning purging

Legal Events

Date Code Title Description
A107 Divisional application of patent
E701 Decision to grant or registration of patent right