CN206516610U - 基板处理腔室 - Google Patents

基板处理腔室 Download PDF

Info

Publication number
CN206516610U
CN206516610U CN201590000446.2U CN201590000446U CN206516610U CN 206516610 U CN206516610 U CN 206516610U CN 201590000446 U CN201590000446 U CN 201590000446U CN 206516610 U CN206516610 U CN 206516610U
Authority
CN
China
Prior art keywords
processing chamber
gas
base assembly
substrate processing
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201590000446.2U
Other languages
English (en)
Inventor
J·约德伏斯基
K·格里芬
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN201720975030.3U priority Critical patent/CN207376114U/zh
Application granted granted Critical
Publication of CN206516610U publication Critical patent/CN206516610U/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping

Abstract

本实用新型描述了基板处理腔室。本文描述了用于处理半导体晶片的装置,其中传感器(例如,接触式热电偶)定位在气体分配组件中,在沉积之前、期间和/或之后测量温度和/或膜参数。

Description

基板处理腔室
技术领域
本公开的实施方式总体涉及一种用于处理基板的装置。具体来说,本公开的实施方式涉及用于在处理之前、期间和/或之后测量基板的温度和其他参数的装置。
背景技术
形成半导体器件的工艺常常在包含有多个腔室的基板处理平台中进行。在一些实例中,多腔室式处理平台或群集工具用于在受控环境中、顺序地对基板执行一个或多个工艺。在其他实例中,多腔室式处理平台可以对基板执行单个处理步骤;附加的腔室用于使平台处理基板的速率最大化。在后一种情况下,对基板执行的工艺通常是批量工艺,其中同时在给定的腔室中处理相对大量的 (例如,25个或50个)基板。批量处理对于以经济上可行的方式对多个单独的基板执行起来过于耗时的工艺(诸如,ALD工艺和一些化学气相沉积(CVD) 工艺)是尤其有益的。
基板处理平台或系统的有效性通常由拥有成本(COO)来量化。COO虽然受到许多因素影响,但是在很大程度上受系统占地面积(即,在制造工厂中用于运行系统的总占地面积)和系统产量(即,每小时经处理的基板的数量) 的影响。占地面积通常包括邻近于系统的、用于维护的接取区域。因此,虽然基板处理平台可能是相对小的,但是如果系统需要从所有侧进行接取来进行操作和维护,那么系统的有效占地面积仍会不可抑制地大。
半导体行业对工艺波动的耐受度随着半导体器件的尺寸收缩而继续减小。为了满足这些更严格的工艺要求,行业已开发出通常花费更长时间来完成的一系列新工艺。例如,对于将铜扩散阻挡层适形地形成到高深宽比、65nm或更小的互连特征的表面上,使用ALD工艺可以是有用的。ALD是CVD的变型,表现出相比CVD优越的阶梯覆盖。ALD基于最初用来制造电致发光显示器的原子层外延(ALE)。ALD采用化学吸附来将反应性前体分子的饱和单层沉积在基板表面上。这通过循环地交替适当的反应性前体至沉积腔室的脉动来实现。反应性前体或反应气体的每一次注入通常通过惰性净化气体分开,以便将新原子层提供到先前沉积的层,从而在基板表面上形成均匀的材料层。重复反应性前体和惰性净化气体的循环,以便形成达到预定厚度的材料层。ALD技术的最大缺点在于,沉积速率比典型CVD技术远远低了至少一个量级。例如,一些ALD工艺可能需要从约10分钟至约200分钟的腔室处理时间,以便在基板表面上沉积高质量层。在为实现更好的器件性能而选择此类ALD和外延工艺的情况下,在常规的单个基板处理腔室中制造器件的成本将由于非常低的基板处理产量而增大。因此,当实现此类工艺时,需要经济上可行的连续的基板处理方法。
评估沉积工艺动态地提供用于确定所沉积的膜的质量和工艺的完成度的快速且准确的手段。然而,在转盘型的处理腔室中对晶片的光学测量(例如,温度、膜特性)无法在处理的同时执行。在沉积期间将光学器件(例如,高温计)定位在处理腔室中可能是有问题的,因为光学仪器被沉积反应污染,从而使它们不适合使用。
由于气体分配组件与基座组件之间的距离,测量批量处理系统中的大压板基座的温度可能也是困难的。因此,需要能够准确地测量基座温度而不干扰处理腔室功能的装置。
实用新型内容
本公开的实施方式涉及包括基座组件和气体分配组件的基板处理腔室。所述基座组件包括顶表面,所述顶表面用于支撑多个基板并围绕中心轴旋转多个基板。所述顶表面具有内周边缘和外周边缘。所述气体分配组件在所述基座组件上方,并且包括多个细长的气体端口和至少一个传感器,所述多个细长的气体端口用于将气流引导向所述基座组件,所述至少一个传感器定位成在测量期间与所述基座组件接触。
本公开的附加实施方式涉及包括基座组件、气体分配组件和接触式热电偶的基板处理腔室。所述基座组件包括顶表面,所述顶表面用于支撑多个基板并围绕中心轴旋转多个基板。所述顶表面具有内周边缘和外周边缘。所述气体分配组件在所述基座组件上方,并且包括用于将气流引导向所述基座组件的多个细长的气体端口。所述接触式热电偶定位在所述气体分配组件的惰性气体区域内,以便在测量期间接触所述基座组件。
附图说明
因此,为了能够详细地理解本公开的上述特征的方式,可以通过参考实施方式来进行对上文简要概述的本公开的更具体的描述,在附图中例示实施方式中的一些。然而,应当注意,附图仅仅示出本公开的典型实施方式,并且因此不应视为限制本公开的范围,因为本公开可允许其他等效实施方式。
图1是根据本公开的一个或多个实施方式的空间原子层沉积腔室的局部横截面侧视图;
图2示出根据本公开的一个或多个实施方式的基座的透视图;
图3示出根据本公开的一个或多个实施方式的饼形气体分配组件的示意图;
图4是根据本公开的一个或多个实施方式的基板处理系统的适应性平面图,所述基板处理腔室配置有具有装载站的四个气体分配组件和四个电感耦合的饼形等离子体源;
图5是根据本公开的一个或多个实施方式的具有传感器的气体分配板的主视图;以及
图6示出根据本公开的一个或多个实施方式的可移动接触式热电偶。
为了促进理解,已尽可能使用相同元件符号指定各图所共有的相同元件。应预见到,一个实施方式的要素和特征可有益地并入其他实施方式,而无需进一步叙述。
具体实施方式
本公开的实施方式涉及用于在处理之前、期间和/或之后对晶片进行光学测量的装置。如本说明书和所附权利要求书中所使用,术语“基板”和“晶片”可互换地使用,两者均指工艺作用于其上的表面或表面的部分。本领域技术人员还将理解,除非上下文以其他方式明确地指示,否则提到基板也可指基板的部分。例如,在参考图1来描述的空间上分开的ALD中,每一种前体被递送到基板,但是在任何给定的时刻,任何单独的前体流仅被递送到基板的部分。另外,提到在基板上沉积可以表示裸基板以及具有沉积或形成在其上的一个或多个膜或特征的基板两者。
如本说明书和所附权利要求书中所使用,术语“反应气体”、“前体”、“反应剂”等可互换地使用以表示包括在原子层沉积工艺中具有反应性的物质的气体。例如,第一“反应气体”可简单地吸附到基板表面上,并且可用于与第二反应气体进行进一步的化学反应。
本公开的实施方式提供用于在转盘式处理期间进行光学测量的装置。如本说明书和所附权利要求书中所使用,术语“在转盘式处理期间”等表示主题动作可以在工艺序列的任何点上进行,包括但不限于晶片装载/卸载以及沉积。本领域技术人员将理解,一些测量可以在基座正在旋转时进行,而一些测量则可以在基座固定时进行。测量时序可取决于例如测量类型以及测量设备的类型。
一个或多个实施方式的转盘式空间ALD喷淋头在注入器设计内具有被隔离的区段,这些被隔离的区段基本不含反应气流。因此,没有膜会沉积在定位在被隔离部分的位置中的光学仪器上。由于注入器设计内的被隔离的区段,可安装高温计、干涉仪和相关设备来获得实际工艺环境的活动温度和膜特性数据。可在处理之前、期间和/或之后获取数据。光学仪器的放置可以从晶片的顶侧在内径、中间或外径区域读出基座或晶片。
图1是根据本公开的一个或多个实施方式的处理腔室20的部分的横截面图。处理腔室20一般是在真空下或在至少低压力条件下操作的可密封的罩壳。腔室100包括气体分配组件30,所述气体分配组件能够跨基板60的表面61 分配一种或多种气体。气体分配组件30可以是为本领域的技术人员所知的任何合适的组件,并且所述特定的气体分配组件不应视为限制本公开的范围。气体分配组件30的输出面面对基板60的第一表面61。
用于与本公开的实施方式一起使用的基板可以是任何合适的基板。在一些实施方式中,基板是刚性的、分立的、大体上平坦的基板。如本说明书和所附权利要求书中所使用,在提到基板时,术语“分立的”表示此基板具有固定的尺寸。一个或多个实施方式的基板是半导体基板,诸如,200nm或300nm直径的硅基板。在一些实施方式中,基板是硅、硅锗、砷化镓、氮化镓、锗、磷化镓、磷化铟、蓝宝石和碳化硅中的一种或多种。
气体分配组件30包括:多个气体端口,用于将一个或多个气流传输到基板60;以及多个真空端口,所述真空端口设置在每一个气体端口之间,用于将气流传输出处理腔室20外部。在图1的实施方式中,气体分配组件30包括第一前体注入器120、第二前体注入器130和净化气体注入器140。注入器120、 130、140可由系统计算机(未示出)(诸如,主机)或由腔室专用控制器(诸如,可编程逻辑控制器)控制。前体注入器120通过多个气体端口125将化合物A的反应性前体的连续(或脉冲)注入到处理腔室20中。前体注入器130 通过多个气体端口135将化合物B的反应性前体的连续(或脉冲)流注入到处理腔室20中。净化气体注入器140通过多个气体端口145将非反应或净化气体的连续(或脉冲)流注入到处理腔室20中。净化气体将反应材料和反应副产物从处理腔室20中去除。净化气体通常为惰性气体,诸如,氮、氩和氦。气体端口145设置在气体端口125与气体端口135之间,以便将化合物A的前体与化合物B的前体分开,从而避免这两种前体之间的交叉污染。
另一方面,在将前体注入到处理腔室20中之前,远程等离子体源(未示出)可连接到前体注入器120和前体注入器130。可以通过将电场施加到远程等离子体源内的化合物来生成反应物质的等离子体。可以使用能够将预期的化合物活化的任何功率源。例如,可以使用用基于DC、射频(RF)和微波(MW) 的放电技术的功率源。如果使用RF功率源,则此功率源可以是电容性或电感性耦合的。还可通过基于热的技术、气体击穿技术、高能光源(例如,UV能量)或暴露于x射线源来产生活化。示例性远程等离子体源可从诸如MKS仪器公司(MKSInstruments,Inc.)和先进能源工业公司(Advanced Energy Industries,Inc.)获得。
腔室100进一步包括连接到处理腔室20的泵送系统150。泵送系统150 一般被配置成通过一个或多个真空端口155将气流从处理腔室20抽空。真空端口155设置在每一个气体端口之间,以便在气流与基板表面发生反应之后将气流从处理腔室20抽空,并且进一步限制前体之间的交叉污染。腔室100包括多个分区160,所述分区设置在处理腔室20上,在每一个端口之间。每一个分区的下部延伸至靠近基板60的第一表面61,例如,距第一表面61约0.5mm 或更远。以此方式,分区160的下部与基板表面分开一距离,所述距离足以允许气流在气流与基板表面发生反应后围绕下部而流向真空端口155。箭头198 指示气流的方向。由于分区160充当对气流的实体阻挡,因此,它们还限制前体之间的交叉污染。所示布置仅是说明性的,并且不应视为限制本公开的范围。本领域技术人员将理解,所示气体分配系统仅是一个可能的分配系统,并且也可采用其他类型的喷淋头和气体分配组件。
这种原子层沉积系统(即,其中同时使多种气体单独地流向基板)称为空间ALD。在操作中,基板60(例如,由机器人)递送到处理腔室20,并且可以在进入处理腔室之前或之后被放置在滑梭(shuttle)65上。沿轨道70或某个其他合适的移动机构移动滑梭65通过处理腔室20,从而在气体分配组件30 下方(或上方)经过。在图1所示实施方式中,沿线性路径移动滑梭65通过腔室。如以下进一步解释,图3示出其中沿圆形路径移动晶片通过转盘式处理系统的实施方式。
返回参考图1,当基板60移动通过处理腔室20时,基板60的第一表面 61重复地暴露于来自气体端口125的反应气体A和来自气体端口135的反应气体B,并且来自气体端口145的净化气体在反应气体A与反应气体B之间。净化气体的注入设计成用于在将基板表面110暴露于下一种前体之前,将未反应的材料从先前的前体去除。在每一次暴露于各种气流(例如,反应气体或净化气体)之后,由泵送系统150通过真空端口155抽空气流。由于真空端口可设置在每一个气体端口的两侧上,因此通过两侧上的真空端口155抽空气流。因此,来自相应气体端口的气流竖直地向下朝基板60的第一表面61流动,跨过基板表面110并且围绕分区160的下部,并最后向上朝真空端口155流动。以此方式,可以跨基板表面110均匀地分配每一种气体。箭头198指示气流的方向。还可在基板60正暴露于各种气流的同时旋转此基板60。基板的旋转对于防止或最小化在所形成的层中形成条带可能是有帮助的。基板的旋转可以是连续的或按分立步骤进行的,并且可以在基板正在气体分配组件30下方经过时或在基板在气体分配组件30之前和/或之后的区域中时发生。
在气体分配组件30之后一般提供足够的空间以确保完全暴露于最后一个气体端口。一旦基板60已在气体分配组件30下方经过,第一表面61就已暴露于处理腔室20中的每一个气体端口。可在相反方向上往回传输基板或向前传输基板。如果基板60在相反方向上移动,那么基板表面能以与第一次暴露相反的顺序再次暴露于反应气体A、净化气体和反应气体B。
基板表面110暴露于每种气体的程度可由例如来自气体端口的每一种气体的流率以及基板60移动速率来确定。在一个实施方式中,控制每一种气体的流率,以便不会从基板表面61上去除所吸附的前体。在每一个分区之间的宽度、设置在处理腔室20上的气体端口的数量以及基板经过气体分配组件的次数也可确定基板表面61暴露于各种气体的程度。因此,可通过改变以上所提到的因素来优化所沉积的膜的数量和质量。
虽然已经针对向下朝定位在气体分配组件下方的基板引导气流的气体分配组件30来描述工艺,但是本领域技术人员将理解,这个取向可以是不同的。在一些实施方式中,气体分配组件30向上朝基板表面引导气流。如本说明书和所附权利要求书中所用,术语“经过”表示基板已经从气体分配组件的一侧移动到另一侧,使得基板的整个表面被暴露于来自气体分配板的每一种气流。在没有附加描述的情况下,术语“经过”不暗示气体分配组件、气流或基板位置的任何特定取向。
在一些实施方式中,滑梭65是用于承载基板60的基座66。一般来说,基座66是帮助跨基板而形成均匀温度的载体。基座66在两个方向上(相对于图1的布置,从左至右以及从右至左)或在圆形方向(相对于图3)上是可移动的。基座66具有用于承载基板60的顶表面67。基座66可以是经加热的基座,使得基板60可经加热以进行处理。作为示例,基座66可由设置在基座66 下方的辐射热灯90、加热板、电阻线圈或其他加热设备加热。
在另一实施方式中,基座66的顶表面67包括用于接受基板60的凹口68,如图2所示。基座66一般厚于基板的厚度,使得在基板下方存在基座材料。在一些实施方式中,凹口68的尺寸设定为使得当基板60设置在凹口68内时,基座60的第一表面61与基座66的顶表面67齐平或基本上共平面。换句话说,一些实施方式中的凹口68的尺寸设定为使得当基板60被设置在其中时,基板 60的第一表面61不伸出到基座66的顶表面67上方。如本说明书和所附权利要求书中所使用,术语“基本上共平面”表示晶片的顶表面和基座组件的顶表面是共平面的,偏差在±0.2mm内。在一些实施方式中,顶表面是共平面的,偏差在±0.15mm、±0.10mm或±0.05mm内。
图1示出处理腔室的横截面图,在所述处理腔室中示出多个单独的气体端口。这个实施方式可以是线性处理系统或饼形节段,在所述线性处理系统中,多个单独的气体端口宽度跨气体分配板的整个宽度是基本上相同的,在所述饼形节段中,多个单独的气体端口改变宽度以符合饼形形状。图3示出饼形气体分配组件30的部分。将沿弧形路径32使基板经过这个气体分配组件30。多个单独的气体端口125、135、145、155中的每一个气体端口从气体分配组件30 的内周区域延伸到外周区域,并且在气体分配组件30的内周边缘33附近具有较窄的宽度而在气体分配组件30的外周边缘34附近具有较大的宽度。多个单独的端口的形状或深宽比可与气体分配组件30的节段的形状或深宽比成比例或不同。在一些实施方式中,多个单独的端口成形为使得沿路径32经过气体分配组件30的晶片的每一个点在每一个气体端口下将具有大致相同的驻留时间。基板的路径可垂直于气体端口。在一些实施方式中,气体分配组件中的每一个都包括多个细长的气体端口,所述细长的气体端口在基本上垂直于由基板越过的路径的方向上延伸。如本说明书和所附权利要求书中所使用,术语“基本上垂直的”表示移动的大体方向近似于垂直于气体端口的轴线。对于饼形气体端口,气体端口的轴线可视为被限定为端口宽度的中点沿端口的长度延伸的线。
具有多个气体注入器的处理腔室可以用于同时处理多个晶片,使得晶片经历相同的工艺流程。例如,如图4所示,处理腔室100具有四个气体分配组件 30和四个基板60。在处理的开始时,基板60可定位在气体分配组件30之间。使转盘的基座66旋转45°将导致每一个基板60被移动到气体分配组件30以进行膜沉积。附加的45°旋转将基板60移离气体分配组件30。这是图4中示出的位置。利用空间ALD注入器,在晶片相对于注入组件的移动期间,膜沉积在晶片上。在一些实施方式中,使基座66旋转,使得基板60不会停在气体分配组件30下方。基板60和气体分配组件30的数量可以是相同或不同的。在一些实施方式中,正在处理的晶片的数量与气体分配组件相同。在一个或多个实施方式中,正在处理的晶片数量是气体分配组件数量的整数倍。例如,如果存在四个气体分配组件,那么存在4x个正在处理的晶片,其中x是大于或等于1的整数值。
图4中示出的处理腔室100仅代表一个可能的配置,并且不应视为限制本公开的范围。在此,处理腔室100包括多个气体分配组件30。在所示实施方式中,存在围绕处理腔室100均匀地间隔的四个气体分配组件30。所示的处理腔室100是八边形的,然而,本领域技术人员将理解,这是一个可能的形状,并且不应视为限制本公开的范围。所示气体分配组件30是梯形的,但是本领域的技术人员将理解,气体分配组件可以是任何合适的形状,例如,图3中示出的饼形节段。
处理腔室100包括示出为圆形基座66或基座组件的基板支撑装置。基板支撑装置或基座66能够在气体分配组件30中的每一个的下方移动多个基板 60。负载锁定装置82可连接到处理腔室100的侧以允许将基板60装载到腔室 100/从腔室100中卸载基板60。
在一些实施方式中,处理腔室包括多个气帘(未示出),这些气帘定位在气体分配组件30与等离子体站80之间。每一个气帘可以形成阻挡以防止来自气体分配组件30的处理气体的移动从气体分配组件区域迁移或使来自气体分配组件30的处理气体的移动最小化,并且防止来自等离子体源80的气体从等离子体区域迁移或使来自等离子体区域80的气体最小化。气帘可以包括可将单独的处理区段与相邻区段隔离的气流和真空流的任何合适的组合。在一些实施方式中,气帘是净化(或惰性)气流。在一个或多个实施方式中,气帘是将气体从处理腔室去除的真空气流。在一些实施方式中,气帘是净化气体和真空气流的组合,使得按顺序存在净化气流、真空气流和净化气流。在一个或多个实施方式中,气帘是真空气流和净化气体的组合,使得按顺序存在真空气流、净化气流和真空气流。
在一些实施方式中,传感器定位在空间ALD处理腔室中的气体分配组件的净化气体端口内。虽然传感器可以示出在净化气体端口中,但是本领域技术人员将理解,传感器可以放在任何合适的位置。将传感器定位在净化气体通道中可有助于最小化或消除传感器暴露于腐蚀性化学物质或沉积气体,所述腐蚀性化学物质或沉积气体会降低传感器的准确度和/或精度。
在一个或多个实施方式中,包括接触式传感器,所述接触式传感器在不接触的位置与接触位置之间移动。当不在使用时,接触式传感器可收缩至不接触的位置,在此,甚至整个的传感器被包封在惰性气罩中。当采用时,接触式传感器从净化气体通道延伸到接触位置,在此,它们触及基座组件。
大批量型处理腔室可以具有围绕处理腔室内部而定位的任何合适数量的传感器。在一些实施方式中,在净化气体端口中的每一个端口中存在1个或2 个传感器。传感器可以是任何类型合适的传感器,包括但不限于接触式热电偶和高温计。所使用的传感器的类型贯穿整个处理腔室可以是相同的,或者可以包括不同的类型。例如,在一个或多个实施方式中,净化气体端口中的每一个净化气体端口都具有接触式热电偶和高温计。
在处理期间,监测基座组件和/或晶片的温度或监测正在沉积的膜的特定性质可能是有用的。例如,在形成期间测量膜的发射率。本公开的实施方式在气体分配组件上或在气体分配组件中具有传感器,所述传感器可在处理期间直接测量温度以及多个其他参数。本领域技术人员将理解,术语“在处理期间”可以表示当基座正在移动时,或者在旋转暂停期间。
因此,本公开的一个或多个实施方式涉及包括基座组件66和气体分配组件30的处理腔室。基座组件66包括顶表面67,所述顶表面67用于支撑多个基板60并围绕中心轴18来支撑和旋转多个基板60。基座组件66的顶表面67 具有内周边缘92和外周边缘91。气体分配组件30定位在基座组件66上方。如图5所示,气体分配组件30包括用于将气流引导向基座组件66的多个细长的气体端口125、135、145、以及用于将气流引导出处理腔室的细长的真空端口155。在一些实施方式中,细长的气体端口包括递送第一反应气体的第一反应气体端口(即,气体端口125)以及递送第二反应气体的第二反应气体端口 (即,气体端口135)。细长的气体端口还可包括至少一个真空端口。气体分配组件30还包括朝基座组件66指向的至少一个传感器95。在一些实施方式中,至少一个传感器95包括接触式热电偶,并且定位成触及基座组件66的表面。
图5中示出的传感器95位于真空端口155(也称为泵送端口)与净化端口145(也可称为惰性区域或惰性气体区域)之间。惰性区域或惰性气体区域是气体相对于传感器基本上为惰性的区域。在理论上,在惰性区域中,仅净化气体和/或真空料流可与传感器95接触。在一些实施方式中,传感器95位于净化气体端口145内。在理论上,在这个位置中,仅净化气体可流过传感器95,并且可围绕传感器95保持稳定的惰性气流(即,形成惰性气体包络)。取决于在处理期间在哪一点处进行测量,传感器95定位成测量基座组件上的位于基座组件的内周边缘和外周边缘以及可以是基座组件或晶片的中间区域附近的点。
传感器95可直接定位在气体分配组件30的表面上,或定位在气体分配板中的凹口或孔96中。取决于传感器95的尺寸,孔96可以是任何合适的尺寸。在一些实施方式中,孔96的直径为高达约10mm。在一些实施方式中,存在至少一个孔95,至少一个传感器95可定位在其中。
在一些实施方式中,传感器95包括接触式热电偶、接触式热敏电阻器、接触式电阻温度检测器中的一者或多者。如本说明书和所附权利要求书中所使用,术语“接触式热电偶”用于描述接触所测量的表面的任何类型的温度测量传感器。
图6示出具有可移动接触式传感器95的本公开的另一实施方式。在此,三个传感器95连接到支杆97,所述支杆由连接到杠杆92的柄部99来移动。基部98的位置是固定的,使得支杆97可以在不接触的位置与接触位置之间滑动或移动。图6中示出的实施方式包括手动柄部99,但是本领域技术人员将理解,也可采用机动化致动器,所述机动化致动器可由任何合适的控制器(诸如,操纵处理腔室系统的其余部分的控制器)控制。
在一些实施方式中,存在用于测量温度的至少两个接触式热电偶。接触式热电偶中的至少一者定位成测量位于基座组件的内周边缘附近的温度,并且所述接触式热电偶中的至少一者定位成测量位于基座组件的外周边缘附近的温度。
虽然在本文中已参考特定的实施方式描述了本公开,但是这些实施方式仅说明本公开的原理和应用。本领域技术人员将理解,可对本公开的装置作出各种修改和变型而不背离本公开的精神和范围。因此,本公开包括落在所附权利要求书及其等效方案的范围内的修改和变型。

Claims (15)

1.一种基板处理腔室,所述处理腔室包括:
基座组件,所述基座组件包括顶表面,所述顶表面用于支撑多个基板并围绕中心轴旋转多个基板,所述顶表面具有内周边缘和外周边缘;以及
气体分配组件,所述气体分配组件在所述基座组件上方,所述气体分配组件包括多个细长的气体端口以及至少一个传感器,所述多个细长的气体端口用于将气流引导向所述基座组件,所述至少一个传感器定位成在测量期间与所述基座组件接触。
2.根据权利要求1所述的基板处理腔室,其中所述至少一个传感器定位在所述气体端口中的一个气体端口内。
3.根据权利要求1所述的基板处理腔室,其中所述多个细长的气体端口包括第一反应气体端口、第二反应气体端口、净化气体端口和至少一个真空端口。
4.根据权利要求3所述的基板处理腔室,其中所述至少一个传感器定位在净化气体端口内。
5.根据权利要求1所述的基板处理腔室,其中所述气体分配组件进一步包括至少一个孔,所述至少一个孔位于所述气体分配组件的不暴露于反应气体的区域中,并且所述至少一个传感器定位在所述孔内。
6.根据权利要求1所述的基板处理腔室,其中所述至少一个传感器包括接触式热电偶。
7.根据权利要求6所述的基板处理腔室,其中所述接触式热电偶从不接触的位置移动到接触位置,以便进行测量。
8.根据权利要求7所述的基板处理腔室,其中存在至少两个传感器用来测量温度,至少一个传感器定位成测量位于所述基座组件的所述内周边缘附近的温度,并且至少一个传感器定位成测量位于所述基座组件的所述外周边缘附近的温度。
9.根据权利要求1所述的基板处理腔室,其中所述基座组件的所述顶表面包括用于支撑晶片的边缘的至少一个凹口。
10.根据权利要求9所述的基板处理腔室,其中所述基座组件的所述顶表面中的所述至少一个凹口的尺寸设定为使得被支撑在所述凹口中的晶片具有与所述基座组件的所述顶表面基本上共面的顶表面。
11.根据权利要求1所述的基板处理腔室,所述处理腔室进一步包括控制器,所述控制器与所述至少一个传感器通信以分析来自所述传感器的数据。
12.一种基板处理腔室,所述处理腔室包括:
基座组件,所述基座组件包括顶表面,所述顶表面用于支撑多个基板并围绕中心轴旋转多个基板,所述顶表面具有内周边缘和外周边缘;
气体分配组件,所述气体分配组件在所述基座组件上方,所述气体分配组件包括多个细长的气体端口,所述多个细长的气体端口用于将气流引导向所述基座组件;以及
接触式热电偶,所述接触式热电偶定位在所述气体分配组件的惰性气体区域内,以便在测量期间接触所述基座组件。
13.根据权利要求12所述的基板处理腔室,所述处理腔室进一步包括致动器,所述致动器连接至所述接触式热电偶以在所述接触式热电偶不接触所述基座组件的不接触的位置到所述接触式热电偶接触所述基座组件的接触的位置之间移动所述接触式热电偶。
14.根据权利要求12所述的基板处理腔室,其中存在至少两个接触式热电偶用来测量温度,至少一个接触式热电偶定位成测量位于所述基座组件的所述内周边缘附近的温度,并且至少一个接触式热电偶定位成测量位于所述基座组件的所述外周边缘附近的温度。
15.根据权利要求12所述的基板处理腔室,其中所述基座组件的所述顶表面包括至少一个凹口,所述至少一个凹口的尺寸设定为使得被支撑在所述凹口中的晶片具有与所述基座组件的所述顶表面基本上共面的顶表面。
CN201590000446.2U 2014-04-18 2015-04-17 基板处理腔室 Active CN206516610U (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201720975030.3U CN207376114U (zh) 2014-04-18 2015-04-17 气体分配组件

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201461981731P 2014-04-18 2014-04-18
US61/981,731 2014-04-18
PCT/US2015/026429 WO2015161225A1 (en) 2014-04-18 2015-04-17 Apparatus for susceptor temperature verification and methods of use

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201720975030.3U Division CN207376114U (zh) 2014-04-18 2015-04-17 气体分配组件

Publications (1)

Publication Number Publication Date
CN206516610U true CN206516610U (zh) 2017-09-22

Family

ID=54321507

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201720975030.3U Active CN207376114U (zh) 2014-04-18 2015-04-17 气体分配组件
CN201590000446.2U Active CN206516610U (zh) 2014-04-18 2015-04-17 基板处理腔室

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201720975030.3U Active CN207376114U (zh) 2014-04-18 2015-04-17 气体分配组件

Country Status (4)

Country Link
US (2) US9765434B2 (zh)
KR (2) KR102371535B1 (zh)
CN (2) CN207376114U (zh)
WO (1) WO2015161225A1 (zh)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
TWI683382B (zh) * 2013-03-15 2020-01-21 應用材料股份有限公司 具有光學測量的旋轉氣體分配組件
US9617638B2 (en) 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
US10273578B2 (en) * 2014-10-03 2019-04-30 Applied Materials, Inc. Top lamp module for carousel deposition chamber
US10954597B2 (en) * 2015-03-17 2021-03-23 Asm Ip Holding B.V. Atomic layer deposition apparatus
US9508547B1 (en) * 2015-08-17 2016-11-29 Lam Research Corporation Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors
US10550469B2 (en) * 2015-09-04 2020-02-04 Lam Research Corporation Plasma excitation for spatial atomic layer deposition (ALD) reactors
US9738977B1 (en) 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation
WO2018093874A1 (en) * 2016-11-15 2018-05-24 Applied Materials, Inc. Dynamic phased array plasma source for complete plasma coverage of a moving substrate
JP6640781B2 (ja) * 2017-03-23 2020-02-05 キオクシア株式会社 半導体製造装置
KR102595355B1 (ko) * 2017-12-28 2023-10-30 삼성디스플레이 주식회사 증착 장치 및 그것을 이용한 증착 방법
US20230076170A1 (en) * 2021-09-03 2023-03-09 Applied Materials, Inc. Metrology slot plates

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3539759A (en) 1968-11-08 1970-11-10 Ibm Susceptor structure in silicon epitaxy
JPH0697676B2 (ja) 1985-11-26 1994-11-30 忠弘 大見 ウエハサセプタ装置
JPH081922B2 (ja) 1991-01-25 1996-01-10 株式会社東芝 ウェハ−保持装置
US5976261A (en) * 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
US6197117B1 (en) 1997-07-23 2001-03-06 Applied Materials, Inc. Wafer out-of-pocket detector and susceptor leveling tool
US6461428B2 (en) * 1999-12-06 2002-10-08 Toshiba Ceramics Co., Ltd. Method and apparatus for controlling rise and fall of temperature in semiconductor substrates
JP3982402B2 (ja) 2002-02-28 2007-09-26 東京エレクトロン株式会社 処理装置及び処理方法
US6950774B2 (en) 2003-01-16 2005-09-27 Asm America, Inc. Out-of-pocket detection system using wafer rotation as an indicator
US6823753B1 (en) 2003-05-16 2004-11-30 Asm America, Inc. Sensor signal transmission from processing system
US7352440B2 (en) 2004-12-10 2008-04-01 Asml Netherlands B.V. Substrate placement in immersion lithography
JP5156446B2 (ja) 2008-03-21 2013-03-06 株式会社Sumco 気相成長装置用サセプタ
US8961691B2 (en) 2008-09-04 2015-02-24 Tokyo Electron Limited Film deposition apparatus, film deposition method, computer readable storage medium for storing a program causing the apparatus to perform the method
DE102009010555A1 (de) 2009-02-25 2010-09-02 Siltronic Ag Verfahren zum Erkennen einer Fehllage einer Halbleiterscheibe während einer thermischen Behandlung
KR101069846B1 (ko) * 2009-07-22 2011-10-04 한국원자력연구원 삽입형 충격 지지판과 이동가능한 탄성 지지판으로 구성된 지지격자체
WO2011052817A1 (ko) * 2009-10-28 2011-05-05 엘아이지에이디피 주식회사 금속 유기물 화학 기상 증착장치 및 이를 위한 온도제어방법
KR101128738B1 (ko) * 2009-12-30 2012-03-23 엘아이지에이디피 주식회사 증착장치
KR20110095633A (ko) * 2010-02-19 2011-08-25 주성엔지니어링(주) 기판처리장치 및 방법
KR20130051013A (ko) 2010-06-09 2013-05-16 솔렉셀, 인크. 고생산성 박막 증착 방법 및 시스템
US20120135609A1 (en) * 2010-11-30 2012-05-31 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
KR101302157B1 (ko) * 2010-12-27 2013-08-30 엘아이지에이디피 주식회사 화학기상 증착장치
US20120225191A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US20130196078A1 (en) 2012-01-31 2013-08-01 Joseph Yudovsky Multi-Chamber Substrate Processing System
US20130210238A1 (en) 2012-01-31 2013-08-15 Joseph Yudovsky Multi-Injector Spatial ALD Carousel and Methods of Use
KR101385258B1 (ko) 2012-08-03 2014-04-21 엘아이지에이디피 주식회사 화학기상 증착장치
US20160056032A1 (en) * 2014-08-22 2016-02-25 Lam Research Corporation Methods and apparatuses for stable deposition rate control in low temperature ald systems by showerhead active heating and/or pedestal cooling

Also Published As

Publication number Publication date
KR102421679B1 (ko) 2022-07-14
KR20160145747A (ko) 2016-12-20
US9765434B2 (en) 2017-09-19
KR20220044535A (ko) 2022-04-08
US20170370001A1 (en) 2017-12-28
US20150299855A1 (en) 2015-10-22
US10900125B2 (en) 2021-01-26
CN207376114U (zh) 2018-05-18
KR102371535B1 (ko) 2022-03-04
WO2015161225A1 (en) 2015-10-22

Similar Documents

Publication Publication Date Title
CN206516610U (zh) 基板处理腔室
US11821083B2 (en) Gas separation control in spatial atomic layer deposition
KR101888828B1 (ko) 연속적으로 회전되는 원자층 증착 캐러셀 및 사용 방법들
US9617640B2 (en) Apparatus and methods for injector to substrate gap control
US20120225204A1 (en) Apparatus and Process for Atomic Layer Deposition
US20160024653A1 (en) Plasma Source For Rotating Platen ALD Chambers
US10959294B2 (en) High temperature heater for processing chamber
TWI683382B (zh) 具有光學測量的旋轉氣體分配組件
US20130108792A1 (en) Loading and unloading system for thin film formation and method thereof
US20160068958A1 (en) Lamp Heater For Atomic Layer Deposition
US20220298672A1 (en) Wafer temperature gradient control to suppress slip formation in high-temperature epitaxial film growth
KR102604028B1 (ko) 웨이퍼 포켓 이탈 검출
KR102664779B1 (ko) 공간적인 원자 층 증착에서의 가스 분리 제어

Legal Events

Date Code Title Description
GR01 Patent grant
GR01 Patent grant